diff options
author | Alyssa Ross <hi@alyssa.is> | 2019-04-27 17:06:57 +0000 |
---|---|---|
committer | Alyssa Ross <hi@alyssa.is> | 2019-04-27 17:06:57 +0000 |
commit | 373507e28edeacd168b5c240840db2dac854882a (patch) | |
tree | c73c8912afbd1a533dbc0d27da25dcd7f0d13d58 | |
parent | b2ac96a35da6f6b95c7197811e421270319e8d50 (diff) | |
parent | dfd8f84aef129f1978e446b5d45ef05cd4421821 (diff) | |
download | nixlib-373507e28edeacd168b5c240840db2dac854882a.tar nixlib-373507e28edeacd168b5c240840db2dac854882a.tar.gz nixlib-373507e28edeacd168b5c240840db2dac854882a.tar.bz2 nixlib-373507e28edeacd168b5c240840db2dac854882a.tar.lz nixlib-373507e28edeacd168b5c240840db2dac854882a.tar.xz nixlib-373507e28edeacd168b5c240840db2dac854882a.tar.zst nixlib-373507e28edeacd168b5c240840db2dac854882a.zip |
Merge commit 'dfd8f84aef129f1978e446b5d45ef05cd4421821'
881 files changed, 19565 insertions, 9538 deletions
diff --git a/nixpkgs/.github/CODEOWNERS b/nixpkgs/.github/CODEOWNERS index a6a07deae497..ba6a3501e38f 100644 --- a/nixpkgs/.github/CODEOWNERS +++ b/nixpkgs/.github/CODEOWNERS @@ -122,6 +122,14 @@ /nixos/modules/services/databases/postgresql.nix @thoughtpolice /nixos/tests/postgresql.nix @thoughtpolice +# Hardened profile & related modules +/nixos/modules/profiles/hardened.nix @joachifm +/nixos/modules/security/hidepid.nix @joachifm +/nixos/modules/security/lock-kernel-modules.nix @joachifm +/nixos/modules/security/misc.nix @joachifm +/nixos/tests/hardened.nix @joachifm +/pkgs/os-specific/linux/kernel/hardened-config.nix @joachifm + # Dhall /pkgs/development/dhall-modules @Gabriel439 @Profpatsch /pkgs/development/interpreters/dhall @Gabriel439 @Profpatsch diff --git a/nixpkgs/doc/languages-frameworks/haskell.section.md b/nixpkgs/doc/languages-frameworks/haskell.section.md index 81f662f1a17d..b36cf67b51c0 100644 --- a/nixpkgs/doc/languages-frameworks/haskell.section.md +++ b/nixpkgs/doc/languages-frameworks/haskell.section.md @@ -366,7 +366,7 @@ automatically select the right version of GHC and other build tools to build, test and execute apps in an existing project downloaded from somewhere on the Internet. Pass the `--nix` flag to any `stack` command to do so, e.g. ```shell -git clone --recursive http://github.com/yesodweb/wai +git clone --recursive https://github.com/yesodweb/wai cd wai stack --nix build ``` diff --git a/nixpkgs/doc/languages-frameworks/python.section.md b/nixpkgs/doc/languages-frameworks/python.section.md index 9d49eb9e17ad..6b59b767652a 100644 --- a/nixpkgs/doc/languages-frameworks/python.section.md +++ b/nixpkgs/doc/languages-frameworks/python.section.md @@ -445,7 +445,7 @@ buildPythonPackage rec { }; meta = with lib; { - homepage = "http://github.com/pytoolz/toolz/"; + homepage = "https://github.com/pytoolz/toolz/"; description = "List processing tools and functional utilities"; license = licenses.bsd3; maintainers = with maintainers; [ fridh ]; @@ -510,7 +510,7 @@ Each interpreter has the following attributes: ### Building packages and applications Python libraries and applications that use `setuptools` or -`distutils` are typically build with respectively the `buildPythonPackage` and +`distutils` are typically built with respectively the `buildPythonPackage` and `buildPythonApplication` functions. These two functions also support installing a `wheel`. All Python packages reside in `pkgs/top-level/python-packages.nix` and all diff --git a/nixpkgs/doc/languages-frameworks/vim.section.md b/nixpkgs/doc/languages-frameworks/vim.section.md index e4c486a0e52e..c450a09f7bd2 100644 --- a/nixpkgs/doc/languages-frameworks/vim.section.md +++ b/nixpkgs/doc/languages-frameworks/vim.section.md @@ -250,6 +250,6 @@ override to the `pkgs/misc/vim-plugins/default.nix` in the same directory. - [vim-pi](https://bitbucket.org/vimcommunity/vim-pi) is a plugin repository from VAM plugin manager meant to be used by others as well used by -- [vim2nix](http://github.com/MarcWeber/vim-addon-vim2nix) which generates the +- [vim2nix](https://github.com/MarcWeber/vim-addon-vim2nix) which generates the .nix code diff --git a/nixpkgs/lib/default.nix b/nixpkgs/lib/default.nix index 688c117d52b4..8735b6476795 100644 --- a/nixpkgs/lib/default.nix +++ b/nixpkgs/lib/default.nix @@ -81,7 +81,7 @@ let intersperse concatStringsSep concatMapStringsSep concatImapStringsSep makeSearchPath makeSearchPathOutput makeLibraryPath makeBinPath optionalString - hasPrefix hasSuffix stringToCharacters stringAsChars escape + hasInfix hasPrefix hasSuffix stringToCharacters stringAsChars escape escapeShellArg escapeShellArgs replaceChars lowerChars upperChars toLower toUpper addContextFrom splitString removePrefix removeSuffix versionOlder versionAtLeast getVersion diff --git a/nixpkgs/lib/fixed-points.nix b/nixpkgs/lib/fixed-points.nix index 2f818c88de5d..968930526a63 100644 --- a/nixpkgs/lib/fixed-points.nix +++ b/nixpkgs/lib/fixed-points.nix @@ -30,9 +30,12 @@ rec { # nix-repl> converge (x: x / 2) 16 # 0 converge = f: x: - if (f x) == x - then x - else converge f (f x); + let + x' = f x; + in + if x' == x + then x + else converge f x'; # Modify the contents of an explicitly recursive attribute set in a way that # honors `self`-references. This is accomplished with a function diff --git a/nixpkgs/lib/systems/default.nix b/nixpkgs/lib/systems/default.nix index 52b9bd46e600..b45a5fd8d2ba 100644 --- a/nixpkgs/lib/systems/default.nix +++ b/nixpkgs/lib/systems/default.nix @@ -72,16 +72,22 @@ rec { release = null; }; + kernelArch = + if final.isAarch32 then "arm" + else if final.isAarch64 then "arm64" + else if final.isx86_32 then "x86" + else if final.isx86_64 then "ia64" + else final.parsed.cpu.name; + qemuArch = if final.isArm then "arm" else if final.isx86_64 then "x86_64" else if final.isx86 then "i386" else { "powerpc" = "ppc"; + "powerpcle" = "ppc"; "powerpc64" = "ppc64"; - "powerpc64le" = "ppc64"; - "mips64" = "mips"; - "mipsel64" = "mipsel"; + "powerpc64le" = "ppc64le"; }.${final.parsed.cpu.name} or final.parsed.cpu.name; emulator = pkgs: let @@ -103,7 +109,7 @@ rec { in if final.parsed.kernel.name == pkgs.stdenv.hostPlatform.parsed.kernel.name && pkgs.stdenv.hostPlatform.isCompatible final - then "${pkgs.runtimeShell} -c" + then "${pkgs.runtimeShell} -c '\"$@\"' --" else if final.isWindows then "${wine}/bin/${wine-name}" else if final.isLinux && pkgs.stdenv.hostPlatform.isLinux diff --git a/nixpkgs/lib/systems/examples.nix b/nixpkgs/lib/systems/examples.nix index 3e90c5ec2273..1a5b80449bf2 100644 --- a/nixpkgs/lib/systems/examples.nix +++ b/nixpkgs/lib/systems/examples.nix @@ -131,11 +131,6 @@ rec { config = "powerpcle-none-eabi"; libc = "newlib"; }; - - alpha-embedded = { - config = "alpha-elf"; - libc = "newlib"; - }; i686-embedded = { config = "i686-elf"; diff --git a/nixpkgs/lib/systems/parse.nix b/nixpkgs/lib/systems/parse.nix index 8cc7d3ae271f..3e23a721f0d9 100644 --- a/nixpkgs/lib/systems/parse.nix +++ b/nixpkgs/lib/systems/parse.nix @@ -127,22 +127,42 @@ rec { (b == i386 && isCompatible a i486) (b == i486 && isCompatible a i586) (b == i586 && isCompatible a i686) - # NOTE: Not true in some cases. Like in WSL mode. + + # XXX: Not true in some cases. Like in WSL mode. (b == i686 && isCompatible a x86_64) - # ARM + # ARMv4 (b == arm && isCompatible a armv5tel) - (b == armv5tel && isCompatible a armv6m) - (b == armv6m && isCompatible a armv6l) - (b == armv6l && isCompatible a armv7a) - (b == armv7a && isCompatible a armv7r) - (b == armv7r && isCompatible a armv7m) - (b == armv7m && isCompatible a armv7l) - (b == armv7l && isCompatible a armv8a) - (b == armv8a && isCompatible a armv8r) - (b == armv8r && isCompatible a armv8m) - # NOTE: not always true! Some arm64 cpus don’t support arm32 mode. - (b == armv8m && isCompatible a aarch64) + + # ARMv5 + (b == armv5tel && isCompatible a armv6l) + + # ARMv6 + (b == armv6l && isCompatible a armv6m) + (b == armv6m && isCompatible a armv7l) + + # ARMv7 + (b == armv7l && isCompatible a armv7a) + (b == armv7l && isCompatible a armv7r) + (b == armv7l && isCompatible a armv7m) + (b == armv7a && isCompatible a armv8a) + (b == armv7r && isCompatible a armv8a) + (b == armv7m && isCompatible a armv8a) + (b == armv7a && isCompatible a armv8r) + (b == armv7r && isCompatible a armv8r) + (b == armv7m && isCompatible a armv8r) + (b == armv7a && isCompatible a armv8m) + (b == armv7r && isCompatible a armv8m) + (b == armv7m && isCompatible a armv8m) + + # ARMv8 + (b == armv8r && isCompatible a armv8a) + (b == armv8m && isCompatible a armv8a) + + # XXX: not always true! Some arm64 cpus don’t support arm32 mode. + (b == aarch64 && a == armv8a) + (b == armv8a && isCompatible a aarch64) + (b == aarch64 && a == aarch64_be) (b == aarch64_be && isCompatible a aarch64) diff --git a/nixpkgs/maintainers/maintainer-list.nix b/nixpkgs/maintainers/maintainer-list.nix index de8d00f94337..4e9bdebe43f6 100644 --- a/nixpkgs/maintainers/maintainer-list.nix +++ b/nixpkgs/maintainers/maintainer-list.nix @@ -365,6 +365,11 @@ github = "ankhers"; name = "Justin Wood"; }; + anton-dessiatov = { + email = "anton.dessiatov@gmail.com"; + github = "anton-dessiatov"; + name = "Anton Desyatov"; + }; Anton-Latukha = { email = "anton.latuka+nixpkgs@gmail.com"; github = "Anton-Latukha"; @@ -1313,6 +1318,11 @@ github = "dtzWill"; name = "Will Dietz"; }; + dxf = { + email = "dingxiangfei2009@gmail.com"; + github = "dingxiangfei2009"; + name = "Ding Xiang Fei"; + }; dysinger = { email = "tim@dysinger.net"; github = "dysinger"; @@ -1682,6 +1692,11 @@ github = "fps"; name = "Florian Paul Schmidt"; }; + fredeb = { + email = "im@fredeb.dev"; + github = "fredeeb"; + name = "Frede Emil"; + }; freepotion = { email = "freepotion@protonmail.com"; github = "freepotion"; @@ -2172,6 +2187,11 @@ github = "jbgi"; name = "Jean-Baptiste Giraudeau"; }; + jchw = { + email = "johnwchadwick@gmail.com"; + github = "jchv"; + name = "John Chadwick"; + }; jcumming = { email = "jack@mudshark.org"; name = "Jack Cummings"; @@ -4031,6 +4051,11 @@ github = "renatoGarcia"; name = "Renato Garcia"; }; + rencire = { + email = "546296+rencire@users.noreply.github.com"; + github = "rencire"; + name = "Eric Ren"; + }; renzo = { email = "renzocarbonara@gmail.com"; github = "k0001"; @@ -4823,6 +4848,15 @@ github = "the-kenny"; name = "Moritz Ulrich"; }; + thesola10 = { + email = "thesola10@bobile.fr"; + github = "thesola10"; + keys = [{ + longkeyid = "rsa4096/0x89245619BEBB95BA"; + fingerprint = "1D05 13A6 1AC4 0D8D C6D6 5F2C 8924 5619 BEBB 95BA"; + }]; + name = "Karim Vergnes"; + }; theuni = { email = "ct@flyingcircus.io"; github = "ctheune"; @@ -5421,6 +5455,11 @@ github = "zohl"; name = "Al Zohali"; }; + zookatron = { + email = "tim@zookatron.com"; + github = "zookatron"; + name = "Tim Zook"; + }; zoomulator = { email = "zoomulator@gmail.com"; github = "zoomulator"; diff --git a/nixpkgs/nixos/modules/installer/cd-dvd/system-tarball.nix b/nixpkgs/nixos/modules/installer/cd-dvd/system-tarball.nix index e72d4a5b4910..b84096861f56 100644 --- a/nixpkgs/nixos/modules/installer/cd-dvd/system-tarball.nix +++ b/nixpkgs/nixos/modules/installer/cd-dvd/system-tarball.nix @@ -68,7 +68,7 @@ in # Create the tarball system.build.tarball = import ../../../lib/make-system-tarball.nix { - inherit (pkgs) stdenv perl xz pathsFromGraph; + inherit (pkgs) stdenv closureInfo pixz; inherit (config.tarball) contents storeContents; }; diff --git a/nixpkgs/nixos/modules/module-list.nix b/nixpkgs/nixos/modules/module-list.nix index 5012a3a303c9..5fb559d2e6ef 100644 --- a/nixpkgs/nixos/modules/module-list.nix +++ b/nixpkgs/nixos/modules/module-list.nix @@ -188,6 +188,7 @@ ./services/audio/snapserver.nix ./services/audio/squeezelite.nix ./services/audio/ympd.nix + ./services/backup/automysqlbackup.nix ./services/backup/bacula.nix ./services/backup/borgbackup.nix ./services/backup/duplicati.nix @@ -673,6 +674,7 @@ ./services/networking/syncthing-relay.nix ./services/networking/tcpcrypt.nix ./services/networking/teamspeak3.nix + ./services/networking/tedicross.nix ./services/networking/tinc.nix ./services/networking/tinydns.nix ./services/networking/tftpd.nix @@ -685,6 +687,7 @@ ./services/networking/vsftpd.nix ./services/networking/wakeonlan.nix ./services/networking/websockify.nix + ./services/networking/wg-quick.nix ./services/networking/wicd.nix ./services/networking/wireguard.nix ./services/networking/wpa_supplicant.nix @@ -706,6 +709,7 @@ ./services/search/hound.nix ./services/search/kibana.nix ./services/search/solr.nix + ./services/security/bitwarden_rs/default.nix ./services/security/certmgr.nix ./services/security/cfssl.nix ./services/security/clamav.nix diff --git a/nixpkgs/nixos/modules/security/misc.nix b/nixpkgs/nixos/modules/security/misc.nix index 4506a67487d4..ecf22bf81c59 100644 --- a/nixpkgs/nixos/modules/security/misc.nix +++ b/nixpkgs/nixos/modules/security/misc.nix @@ -12,14 +12,24 @@ with lib; type = types.bool; default = true; description = '' - Whether to allow creation of user namespaces. A recurring problem - with user namespaces is the presence of code paths where the kernel's - permission checking logic fails to account for namespacing, instead - permitting a namespaced process to act outside the namespace with the - same privileges as it would have inside it. This is particularly + Whether to allow creation of user namespaces. + </para> + + <para> + The motivation for disabling user namespaces is the potential + presence of code paths where the kernel's permission checking + logic fails to account for namespacing, instead permitting a + namespaced process to act outside the namespace with the same + privileges as it would have inside it. This is particularly damaging in the common case of running as root within the namespace. - When user namespace creation is disallowed, attempting to create - a user namespace fails with "no space left on device" (ENOSPC). + </para> + + <para> + When user namespace creation is disallowed, attempting to create a + user namespace fails with "no space left on device" (ENOSPC). + root may re-enable user namespace creation at runtime. + </para> + <para> ''; }; diff --git a/nixpkgs/nixos/modules/services/admin/oxidized.nix b/nixpkgs/nixos/modules/services/admin/oxidized.nix index 70f7dd9e3647..687cdfb5ba57 100644 --- a/nixpkgs/nixos/modules/services/admin/oxidized.nix +++ b/nixpkgs/nixos/modules/services/admin/oxidized.nix @@ -7,7 +7,7 @@ let in { options.services.oxidized = { - enable = mkEnableOption "the oxidized configuation backup service."; + enable = mkEnableOption "the oxidized configuration backup service"; user = mkOption { type = types.str; diff --git a/nixpkgs/nixos/modules/services/amqp/rabbitmq.nix b/nixpkgs/nixos/modules/services/amqp/rabbitmq.nix index 7373be2a9b0b..302b94de1965 100644 --- a/nixpkgs/nixos/modules/services/amqp/rabbitmq.nix +++ b/nixpkgs/nixos/modules/services/amqp/rabbitmq.nix @@ -179,11 +179,11 @@ in { } // optionalAttrs (cfg.config != "") { RABBITMQ_ADVANCED_CONFIG_FILE = advanced_config_file; }; serviceConfig = { - PermissionsStartOnly = true; # preStart must be run as root ExecStart = "${cfg.package}/sbin/rabbitmq-server"; ExecStop = "${cfg.package}/sbin/rabbitmqctl shutdown"; User = "rabbitmq"; Group = "rabbitmq"; + LogsDirectory = "rabbitmq"; WorkingDirectory = cfg.dataDir; Type = "notify"; NotifyAccess = "all"; @@ -197,11 +197,8 @@ in { preStart = '' ${optionalString (cfg.cookie != "") '' echo -n ${cfg.cookie} > ${cfg.dataDir}/.erlang.cookie - chown rabbitmq:rabbitmq ${cfg.dataDir}/.erlang.cookie chmod 600 ${cfg.dataDir}/.erlang.cookie ''} - mkdir -p /var/log/rabbitmq - chown rabbitmq:rabbitmq /var/log/rabbitmq ''; }; diff --git a/nixpkgs/nixos/modules/services/audio/liquidsoap.nix b/nixpkgs/nixos/modules/services/audio/liquidsoap.nix index 66f84ef20762..3a047d10a631 100644 --- a/nixpkgs/nixos/modules/services/audio/liquidsoap.nix +++ b/nixpkgs/nixos/modules/services/audio/liquidsoap.nix @@ -14,15 +14,10 @@ let description = "${name} liquidsoap stream"; wantedBy = [ "multi-user.target" ]; path = [ pkgs.wget ]; - preStart = - '' - mkdir -p /var/log/liquidsoap - chown liquidsoap -R /var/log/liquidsoap - ''; serviceConfig = { - PermissionsStartOnly="true"; ExecStart = "${pkgs.liquidsoap}/bin/liquidsoap ${stream}"; User = "liquidsoap"; + LogsDirectory = "liquidsoap"; }; }; }; diff --git a/nixpkgs/nixos/modules/services/audio/mpd.nix b/nixpkgs/nixos/modules/services/audio/mpd.nix index 5bfe2b6a22ad..0df8f9688d25 100644 --- a/nixpkgs/nixos/modules/services/audio/mpd.nix +++ b/nixpkgs/nixos/modules/services/audio/mpd.nix @@ -158,18 +158,18 @@ in { }; }; + systemd.tmpfiles.rules = [ + "d '${cfg.dataDir}' - ${cfg.user} ${cfg.group} - -" + "d '${cfg.playlistDirectory}' - ${cfg.user} ${cfg.group} - -" + ]; + systemd.services.mpd = { after = [ "network.target" "sound.target" ]; description = "Music Player Daemon"; wantedBy = optional (!cfg.startWhenNeeded) "multi-user.target"; - preStart = '' - mkdir -p "${cfg.dataDir}" && chown -R ${cfg.user}:${cfg.group} "${cfg.dataDir}" - mkdir -p "${cfg.playlistDirectory}" && chown -R ${cfg.user}:${cfg.group} "${cfg.playlistDirectory}" - ''; serviceConfig = { User = "${cfg.user}"; - PermissionsStartOnly = true; ExecStart = "${pkgs.mpd}/bin/mpd --no-daemon ${mpdConf}"; Type = "notify"; LimitRTPRIO = 50; diff --git a/nixpkgs/nixos/modules/services/backup/automysqlbackup.nix b/nixpkgs/nixos/modules/services/backup/automysqlbackup.nix new file mode 100644 index 000000000000..b845f370fb70 --- /dev/null +++ b/nixpkgs/nixos/modules/services/backup/automysqlbackup.nix @@ -0,0 +1,115 @@ +{ config, lib, pkgs, ... }: + +let + + inherit (lib) concatMapStringsSep concatStringsSep isInt isList literalExample; + inherit (lib) mapAttrs mapAttrsToList mkDefault mkEnableOption mkIf mkOption optional types; + + cfg = config.services.automysqlbackup; + pkg = pkgs.automysqlbackup; + user = "automysqlbackup"; + group = "automysqlbackup"; + + toStr = val: + if isList val then "( ${concatMapStringsSep " " (val: "'${val}'") val} )" + else if isInt val then toString val + else if true == val then "'yes'" + else if false == val then "'no'" + else "'${toString val}'"; + + configFile = pkgs.writeText "automysqlbackup.conf" '' + #version=${pkg.version} + # DONT'T REMOVE THE PREVIOUS VERSION LINE! + # + ${concatStringsSep "\n" (mapAttrsToList (name: value: "CONFIG_${name}=${toStr value}") cfg.config)} + ''; + +in +{ + # interface + options = { + services.automysqlbackup = { + + enable = mkEnableOption "AutoMySQLBackup"; + + calendar = mkOption { + type = types.str; + default = "01:15:00"; + description = '' + Configured when to run the backup service systemd unit (DayOfWeek Year-Month-Day Hour:Minute:Second). + ''; + }; + + config = mkOption { + type = with types; attrsOf (either (either str (either int bool)) (listOf str)); + default = {}; + description = '' + automysqlbackup configuration. Refer to + <filename>''${pkgs.automysqlbackup}/etc/automysqlbackup.conf</filename> + for details on supported values. + ''; + example = literalExample '' + { + db_names = [ "nextcloud" "matomo" ]; + table_exclude = [ "nextcloud.oc_users" "nextcloud.oc_whats_new" ]; + mailcontent = "log"; + mail_address = "admin@example.org"; + } + ''; + }; + + }; + }; + + # implementation + config = mkIf cfg.enable { + + assertions = [ + { assertion = !config.services.mysqlBackup.enable; + message = "Please choose one of services.mysqlBackup or services.automysqlbackup."; + } + ]; + + services.automysqlbackup.config = mapAttrs (name: mkDefault) { + mysql_dump_username = user; + mysql_dump_host = "localhost"; + backup_dir = "/var/backup/mysql"; + db_exclude = [ "information_schema" "performance_schema" ]; + mailcontent = "stdout"; + mysql_dump_single_transaction = true; + }; + + systemd.timers.automysqlbackup = { + description = "automysqlbackup timer"; + wantedBy = [ "timers.target" ]; + timerConfig = { + OnCalendar = cfg.calendar; + AccuracySec = "5m"; + }; + }; + + systemd.services.automysqlbackup = { + description = "automysqlbackup service"; + serviceConfig = { + User = user; + Group = group; + ExecStart = "${pkg}/bin/automysqlbackup ${configFile}"; + }; + }; + + environment.systemPackages = [ pkg ]; + + users.users.${user}.group = group; + users.groups.${group} = { }; + + systemd.tmpfiles.rules = [ + "d '${cfg.config.backup_dir}' 0750 ${user} ${group} - -" + ]; + + services.mysql.ensureUsers = optional (config.services.mysql.enable && cfg.config.mysql_dump_host == "localhost") { + name = user; + ensurePermissions = { "*.*" = "SELECT, SHOW VIEW, TRIGGER, LOCK TABLES"; }; + }; + + }; +} diff --git a/nixpkgs/nixos/modules/services/backup/mysql-backup.nix b/nixpkgs/nixos/modules/services/backup/mysql-backup.nix index f0c273ffebf1..ba6e154f6b3d 100644 --- a/nixpkgs/nixos/modules/services/backup/mysql-backup.nix +++ b/nixpkgs/nixos/modules/services/backup/mysql-backup.nix @@ -117,14 +117,12 @@ in enable = true; serviceConfig = { User = cfg.user; - PermissionsStartOnly = true; }; - preStart = '' - mkdir -m 0700 -p ${cfg.location} - chown -R ${cfg.user} ${cfg.location} - ''; script = backupScript; }; + tmpfiles.rules = [ + "d ${cfg.location} 0700 ${cfg.user} - - -" + ]; }; }; diff --git a/nixpkgs/nixos/modules/services/backup/postgresql-backup.nix b/nixpkgs/nixos/modules/services/backup/postgresql-backup.nix index 11efa47ec5b2..17b410a97f3e 100644 --- a/nixpkgs/nixos/modules/services/backup/postgresql-backup.nix +++ b/nixpkgs/nixos/modules/services/backup/postgresql-backup.nix @@ -14,11 +14,6 @@ let requires = [ "postgresql.service" ]; - preStart = '' - mkdir -m 0700 -p ${cfg.location} - chown postgres ${cfg.location} - ''; - script = '' umask 0077 # ensure backup is only readable by postgres user @@ -32,7 +27,6 @@ let serviceConfig = { Type = "oneshot"; - PermissionsStartOnly = "true"; User = "postgres"; }; @@ -107,6 +101,11 @@ in { message = "config.services.postgresqlBackup.backupAll cannot be used together with config.services.postgresqlBackup.databases"; }]; } + (mkIf cfg.enable { + systemd.tmpfiles.rules = [ + "d '${cfg.location}' 0700 postgres - - -" + ]; + }) (mkIf (cfg.enable && cfg.backupAll) { systemd.services.postgresqlBackup = postgresqlBackupService "all" "${config.services.postgresql.package}/bin/pg_dumpall"; diff --git a/nixpkgs/nixos/modules/services/cluster/kubernetes/addon-manager.nix b/nixpkgs/nixos/modules/services/cluster/kubernetes/addon-manager.nix index 17f2dde31a71..ad7d17c9c283 100644 --- a/nixpkgs/nixos/modules/services/cluster/kubernetes/addon-manager.nix +++ b/nixpkgs/nixos/modules/services/cluster/kubernetes/addon-manager.nix @@ -62,19 +62,50 @@ in ''; }; - enable = mkEnableOption "Whether to enable Kubernetes addon manager."; + enable = mkEnableOption "Kubernetes addon manager"; + + kubeconfig = top.lib.mkKubeConfigOptions "Kubernetes addon manager"; + bootstrapAddonsKubeconfig = top.lib.mkKubeConfigOptions "Kubernetes addon manager bootstrap"; }; ###### implementation - config = mkIf cfg.enable { + config = let + + addonManagerPaths = filter (a: a != null) [ + cfg.kubeconfig.caFile + cfg.kubeconfig.certFile + cfg.kubeconfig.keyFile + ]; + bootstrapAddonsPaths = filter (a: a != null) [ + cfg.bootstrapAddonsKubeconfig.caFile + cfg.bootstrapAddonsKubeconfig.certFile + cfg.bootstrapAddonsKubeconfig.keyFile + ]; + + in mkIf cfg.enable { environment.etc."kubernetes/addons".source = "${addons}/"; + #TODO: Get rid of kube-addon-manager in the future for the following reasons + # - it is basically just a shell script wrapped around kubectl + # - it assumes that it is clusterAdmin or can gain clusterAdmin rights through serviceAccount + # - it is designed to be used with k8s system components only + # - it would be better with a more Nix-oriented way of managing addons systemd.services.kube-addon-manager = { description = "Kubernetes addon manager"; wantedBy = [ "kubernetes.target" ]; - after = [ "kube-apiserver.service" ]; - environment.ADDON_PATH = "/etc/kubernetes/addons/"; - path = [ pkgs.gawk ]; + after = [ "kube-node-online.target" ]; + before = [ "kubernetes.target" ]; + environment = { + ADDON_PATH = "/etc/kubernetes/addons/"; + KUBECONFIG = top.lib.mkKubeConfig "kube-addon-manager" cfg.kubeconfig; + }; + path = with pkgs; [ gawk kubectl ]; + preStart = '' + until kubectl -n kube-system get serviceaccounts/default 2>/dev/null; do + echo kubectl -n kube-system get serviceaccounts/default: exit status $? + sleep 2 + done + ''; serviceConfig = { Slice = "kubernetes.slice"; ExecStart = "${top.package}/bin/kube-addons"; @@ -84,8 +115,52 @@ in Restart = "on-failure"; RestartSec = 10; }; + unitConfig.ConditionPathExists = addonManagerPaths; }; + systemd.paths.kube-addon-manager = { + wantedBy = [ "kube-addon-manager.service" ]; + pathConfig = { + PathExists = addonManagerPaths; + PathChanged = addonManagerPaths; + }; + }; + + services.kubernetes.addonManager.kubeconfig.server = mkDefault top.apiserverAddress; + + systemd.services.kube-addon-manager-bootstrap = mkIf (top.apiserver.enable && top.addonManager.bootstrapAddons != {}) { + wantedBy = [ "kube-control-plane-online.target" ]; + after = [ "kube-apiserver.service" ]; + before = [ "kube-control-plane-online.target" ]; + path = [ pkgs.kubectl ]; + environment = { + KUBECONFIG = top.lib.mkKubeConfig "kube-addon-manager-bootstrap" cfg.bootstrapAddonsKubeconfig; + }; + preStart = with pkgs; let + files = mapAttrsToList (n: v: writeText "${n}.json" (builtins.toJSON v)) + cfg.bootstrapAddons; + in '' + until kubectl auth can-i '*' '*' -q 2>/dev/null; do + echo kubectl auth can-i '*' '*': exit status $? + sleep 2 + done + + kubectl apply -f ${concatStringsSep " \\\n -f " files} + ''; + script = "echo Ok"; + unitConfig.ConditionPathExists = bootstrapAddonsPaths; + }; + + systemd.paths.kube-addon-manager-bootstrap = { + wantedBy = [ "kube-addon-manager-bootstrap.service" ]; + pathConfig = { + PathExists = bootstrapAddonsPaths; + PathChanged = bootstrapAddonsPaths; + }; + }; + + services.kubernetes.addonManager.bootstrapAddonsKubeconfig.server = mkDefault top.apiserverAddress; + services.kubernetes.addonManager.bootstrapAddons = mkIf isRBACEnabled (let name = system:kube-addon-manager; diff --git a/nixpkgs/nixos/modules/services/cluster/kubernetes/addons/dashboard.nix b/nixpkgs/nixos/modules/services/cluster/kubernetes/addons/dashboard.nix index 454e7d35bc01..2295694ffc74 100644 --- a/nixpkgs/nixos/modules/services/cluster/kubernetes/addons/dashboard.nix +++ b/nixpkgs/nixos/modules/services/cluster/kubernetes/addons/dashboard.nix @@ -169,6 +169,23 @@ in { }; }; + kubernetes-dashboard-cm = { + apiVersion = "v1"; + kind = "ConfigMap"; + metadata = { + labels = { + k8s-app = "kubernetes-dashboard"; + # Allows editing resource and makes sure it is created first. + "addonmanager.kubernetes.io/mode" = "EnsureExists"; + }; + name = "kubernetes-dashboard-settings"; + namespace = "kube-system"; + }; + }; + }; + + services.kubernetes.addonManager.bootstrapAddons = mkMerge [{ + kubernetes-dashboard-sa = { apiVersion = "v1"; kind = "ServiceAccount"; @@ -210,20 +227,9 @@ in { }; type = "Opaque"; }; - kubernetes-dashboard-cm = { - apiVersion = "v1"; - kind = "ConfigMap"; - metadata = { - labels = { - k8s-app = "kubernetes-dashboard"; - # Allows editing resource and makes sure it is created first. - "addonmanager.kubernetes.io/mode" = "EnsureExists"; - }; - name = "kubernetes-dashboard-settings"; - namespace = "kube-system"; - }; - }; - } // (optionalAttrs cfg.rbac.enable + } + + (optionalAttrs cfg.rbac.enable (let subjects = [{ kind = "ServiceAccount"; @@ -323,6 +329,6 @@ in { inherit subjects; }; }) - )); + ))]; }; } diff --git a/nixpkgs/nixos/modules/services/cluster/kubernetes/apiserver.nix b/nixpkgs/nixos/modules/services/cluster/kubernetes/apiserver.nix index 455d02396040..0c04648355b4 100644 --- a/nixpkgs/nixos/modules/services/cluster/kubernetes/apiserver.nix +++ b/nixpkgs/nixos/modules/services/cluster/kubernetes/apiserver.nix @@ -184,6 +184,18 @@ in type = bool; }; + proxyClientCertFile = mkOption { + description = "Client certificate to use for connections to proxy."; + default = null; + type = nullOr path; + }; + + proxyClientKeyFile = mkOption { + description = "Key to use for connections to proxy."; + default = null; + type = nullOr path; + }; + runtimeConfig = mkOption { description = '' Api runtime configuration. See @@ -272,11 +284,32 @@ in ###### implementation config = mkMerge [ - (mkIf cfg.enable { + (let + + apiserverPaths = filter (a: a != null) [ + cfg.clientCaFile + cfg.etcd.caFile + cfg.etcd.certFile + cfg.etcd.keyFile + cfg.kubeletClientCaFile + cfg.kubeletClientCertFile + cfg.kubeletClientKeyFile + cfg.serviceAccountKeyFile + cfg.tlsCertFile + cfg.tlsKeyFile + ]; + etcdPaths = filter (a: a != null) [ + config.services.etcd.trustedCaFile + config.services.etcd.certFile + config.services.etcd.keyFile + ]; + + in mkIf cfg.enable { systemd.services.kube-apiserver = { description = "Kubernetes APIServer Service"; - wantedBy = [ "kubernetes.target" ]; - after = [ "network.target" ]; + wantedBy = [ "kube-control-plane-online.target" ]; + after = [ "certmgr.service" ]; + before = [ "kube-control-plane-online.target" ]; serviceConfig = { Slice = "kubernetes.slice"; ExecStart = ''${top.package}/bin/kube-apiserver \ @@ -316,6 +349,10 @@ in "--kubelet-client-certificate=${cfg.kubeletClientCertFile}"} \ ${optionalString (cfg.kubeletClientKeyFile != null) "--kubelet-client-key=${cfg.kubeletClientKeyFile}"} \ + ${optionalString (cfg.proxyClientCertFile != null) + "--proxy-client-cert-file=${cfg.proxyClientCertFile}"} \ + ${optionalString (cfg.proxyClientKeyFile != null) + "--proxy-client-key-file=${cfg.proxyClientKeyFile}"} \ --insecure-bind-address=${cfg.insecureBindAddress} \ --insecure-port=${toString cfg.insecurePort} \ ${optionalString (cfg.runtimeConfig != "") @@ -341,6 +378,15 @@ in Restart = "on-failure"; RestartSec = 5; }; + unitConfig.ConditionPathExists = apiserverPaths; + }; + + systemd.paths.kube-apiserver = mkIf top.apiserver.enable { + wantedBy = [ "kube-apiserver.service" ]; + pathConfig = { + PathExists = apiserverPaths; + PathChanged = apiserverPaths; + }; }; services.etcd = { @@ -354,6 +400,18 @@ in initialAdvertisePeerUrls = mkDefault ["https://${top.masterAddress}:2380"]; }; + systemd.services.etcd = { + unitConfig.ConditionPathExists = etcdPaths; + }; + + systemd.paths.etcd = { + wantedBy = [ "etcd.service" ]; + pathConfig = { + PathExists = etcdPaths; + PathChanged = etcdPaths; + }; + }; + services.kubernetes.addonManager.bootstrapAddons = mkIf isRBACEnabled { apiserver-kubelet-api-admin-crb = { @@ -389,6 +447,11 @@ in ] ++ cfg.extraSANs; action = "systemctl restart kube-apiserver.service"; }; + apiserverProxyClient = mkCert { + name = "kube-apiserver-proxy-client"; + CN = "front-proxy-client"; + action = "systemctl restart kube-apiserver.service"; + }; apiserverKubeletClient = mkCert { name = "kube-apiserver-kubelet-client"; CN = "system:kube-apiserver"; diff --git a/nixpkgs/nixos/modules/services/cluster/kubernetes/controller-manager.nix b/nixpkgs/nixos/modules/services/cluster/kubernetes/controller-manager.nix index 060fd9b78db6..b94e8bd86d4c 100644 --- a/nixpkgs/nixos/modules/services/cluster/kubernetes/controller-manager.nix +++ b/nixpkgs/nixos/modules/services/cluster/kubernetes/controller-manager.nix @@ -28,7 +28,7 @@ in type = str; }; - enable = mkEnableOption "Kubernetes controller manager."; + enable = mkEnableOption "Kubernetes controller manager"; extraOpts = mkOption { description = "Kubernetes controller manager extra command line options."; @@ -104,11 +104,31 @@ in }; ###### implementation - config = mkIf cfg.enable { - systemd.services.kube-controller-manager = { + config = let + + controllerManagerPaths = filter (a: a != null) [ + cfg.kubeconfig.caFile + cfg.kubeconfig.certFile + cfg.kubeconfig.keyFile + cfg.rootCaFile + cfg.serviceAccountKeyFile + cfg.tlsCertFile + cfg.tlsKeyFile + ]; + + in mkIf cfg.enable { + systemd.services.kube-controller-manager = rec { description = "Kubernetes Controller Manager Service"; - wantedBy = [ "kubernetes.target" ]; + wantedBy = [ "kube-control-plane-online.target" ]; after = [ "kube-apiserver.service" ]; + before = [ "kube-control-plane-online.target" ]; + environment.KUBECONFIG = top.lib.mkKubeConfig "kube-controller-manager" cfg.kubeconfig; + preStart = '' + until kubectl auth can-i get /api -q 2>/dev/null; do + echo kubectl auth can-i get /api: exit status $? + sleep 2 + done + ''; serviceConfig = { RestartSec = "30s"; Restart = "on-failure"; @@ -120,7 +140,7 @@ in "--cluster-cidr=${cfg.clusterCidr}"} \ ${optionalString (cfg.featureGates != []) "--feature-gates=${concatMapStringsSep "," (feature: "${feature}=true") cfg.featureGates}"} \ - --kubeconfig=${top.lib.mkKubeConfig "kube-controller-manager" cfg.kubeconfig} \ + --kubeconfig=${environment.KUBECONFIG} \ --leader-elect=${boolToString cfg.leaderElect} \ ${optionalString (cfg.rootCaFile!=null) "--root-ca-file=${cfg.rootCaFile}"} \ @@ -141,7 +161,16 @@ in User = "kubernetes"; Group = "kubernetes"; }; - path = top.path; + path = top.path ++ [ pkgs.kubectl ]; + unitConfig.ConditionPathExists = controllerManagerPaths; + }; + + systemd.paths.kube-controller-manager = { + wantedBy = [ "kube-controller-manager.service" ]; + pathConfig = { + PathExists = controllerManagerPaths; + PathChanged = controllerManagerPaths; + }; }; services.kubernetes.pki.certs = with top.lib; { diff --git a/nixpkgs/nixos/modules/services/cluster/kubernetes/default.nix b/nixpkgs/nixos/modules/services/cluster/kubernetes/default.nix index 3e53d18f8bbf..192c893f8a16 100644 --- a/nixpkgs/nixos/modules/services/cluster/kubernetes/default.nix +++ b/nixpkgs/nixos/modules/services/cluster/kubernetes/default.nix @@ -263,6 +263,30 @@ in { wantedBy = [ "multi-user.target" ]; }; + systemd.targets.kube-control-plane-online = { + wantedBy = [ "kubernetes.target" ]; + before = [ "kubernetes.target" ]; + }; + + systemd.services.kube-control-plane-online = rec { + description = "Kubernetes control plane is online"; + wantedBy = [ "kube-control-plane-online.target" ]; + after = [ "kube-scheduler.service" "kube-controller-manager.service" ]; + before = [ "kube-control-plane-online.target" ]; + environment.KUBECONFIG = cfg.lib.mkKubeConfig "default" cfg.kubeconfig; + path = [ pkgs.kubectl ]; + preStart = '' + until kubectl get --raw=/healthz 2>/dev/null; do + echo kubectl get --raw=/healthz: exit status $? + sleep 3 + done + ''; + script = "echo Ok"; + serviceConfig = { + TimeoutSec = "500"; + }; + }; + systemd.tmpfiles.rules = [ "d /opt/cni/bin 0755 root root -" "d /run/kubernetes 0755 kubernetes kubernetes -" @@ -286,6 +310,8 @@ in { services.kubernetes.apiserverAddress = mkDefault ("https://${if cfg.apiserver.advertiseAddress != null then cfg.apiserver.advertiseAddress else "${cfg.masterAddress}:${toString cfg.apiserver.securePort}"}"); + + services.kubernetes.kubeconfig.server = mkDefault cfg.apiserverAddress; }) ]; } diff --git a/nixpkgs/nixos/modules/services/cluster/kubernetes/flannel.nix b/nixpkgs/nixos/modules/services/cluster/kubernetes/flannel.nix index 93ee2fd65eeb..d9437427d6d1 100644 --- a/nixpkgs/nixos/modules/services/cluster/kubernetes/flannel.nix +++ b/nixpkgs/nixos/modules/services/cluster/kubernetes/flannel.nix @@ -23,17 +23,27 @@ in { ###### interface options.services.kubernetes.flannel = { - enable = mkEnableOption "enable flannel networking"; + enable = mkEnableOption "flannel networking"; + kubeconfig = top.lib.mkKubeConfigOptions "Kubernetes flannel"; }; ###### implementation - config = mkIf cfg.enable { + config = let + + flannelPaths = filter (a: a != null) [ + cfg.kubeconfig.caFile + cfg.kubeconfig.certFile + cfg.kubeconfig.keyFile + ]; + kubeconfig = top.lib.mkKubeConfig "flannel" cfg.kubeconfig; + + in mkIf cfg.enable { services.flannel = { enable = mkDefault true; network = mkDefault top.clusterCidr; - inherit storageBackend; - nodeName = config.services.kubernetes.kubelet.hostname; + inherit storageBackend kubeconfig; + nodeName = top.kubelet.hostname; }; services.kubernetes.kubelet = { @@ -48,24 +58,66 @@ in }]; }; - systemd.services."mk-docker-opts" = { + systemd.services.mk-docker-opts = { description = "Pre-Docker Actions"; + wantedBy = [ "flannel.target" ]; + before = [ "flannel.target" ]; path = with pkgs; [ gawk gnugrep ]; script = '' ${mkDockerOpts}/mk-docker-opts -d /run/flannel/docker systemctl restart docker ''; + unitConfig.ConditionPathExists = [ "/run/flannel/subnet.env" ]; serviceConfig.Type = "oneshot"; }; - systemd.paths."flannel-subnet-env" = { - wantedBy = [ "flannel.service" ]; + systemd.paths.flannel-subnet-env = { + wantedBy = [ "mk-docker-opts.service" ]; pathConfig = { - PathModified = "/run/flannel/subnet.env"; + PathExists = [ "/run/flannel/subnet.env" ]; + PathChanged = [ "/run/flannel/subnet.env" ]; Unit = "mk-docker-opts.service"; }; }; + systemd.targets.flannel = { + wantedBy = [ "kube-node-online.target" ]; + before = [ "kube-node-online.target" ]; + }; + + systemd.services.flannel = { + wantedBy = [ "flannel.target" ]; + after = [ "kubelet.target" ]; + before = [ "flannel.target" ]; + path = with pkgs; [ iptables kubectl ]; + environment.KUBECONFIG = kubeconfig; + preStart = let + args = [ + "--selector=kubernetes.io/hostname=${top.kubelet.hostname}" + # flannel exits if node is not registered yet, before that there is no podCIDR + "--output=jsonpath={.items[0].spec.podCIDR}" + # if jsonpath cannot be resolved exit with status 1 + "--allow-missing-template-keys=false" + ]; + in '' + until kubectl get nodes ${concatStringsSep " " args} 2>/dev/null; do + echo Waiting for ${top.kubelet.hostname} to be RegisteredNode + sleep 1 + done + ''; + unitConfig.ConditionPathExists = flannelPaths; + }; + + systemd.paths.flannel = { + wantedBy = [ "flannel.service" ]; + pathConfig = { + PathExists = flannelPaths; + PathChanged = flannelPaths; + }; + }; + + services.kubernetes.flannel.kubeconfig.server = mkDefault top.apiserverAddress; + systemd.services.docker = { environment.DOCKER_OPTS = "-b none"; serviceConfig.EnvironmentFile = "-/run/flannel/docker"; @@ -92,7 +144,6 @@ in # give flannel som kubernetes rbac permissions if applicable services.kubernetes.addonManager.bootstrapAddons = mkIf ((storageBackend == "kubernetes") && (elem "RBAC" top.apiserver.authorizationMode)) { - flannel-cr = { apiVersion = "rbac.authorization.k8s.io/v1beta1"; kind = "ClusterRole"; @@ -128,7 +179,6 @@ in name = "flannel-client"; }]; }; - }; }; } diff --git a/nixpkgs/nixos/modules/services/cluster/kubernetes/kubelet.nix b/nixpkgs/nixos/modules/services/cluster/kubernetes/kubelet.nix index c94bb28bf7fb..2a4a0624555d 100644 --- a/nixpkgs/nixos/modules/services/cluster/kubernetes/kubelet.nix +++ b/nixpkgs/nixos/modules/services/cluster/kubernetes/kubelet.nix @@ -241,21 +241,28 @@ in ###### implementation config = mkMerge [ - (mkIf cfg.enable { + (let + + kubeletPaths = filter (a: a != null) [ + cfg.kubeconfig.caFile + cfg.kubeconfig.certFile + cfg.kubeconfig.keyFile + cfg.clientCaFile + cfg.tlsCertFile + cfg.tlsKeyFile + ]; + + in mkIf cfg.enable { services.kubernetes.kubelet.seedDockerImages = [infraContainer]; systemd.services.kubelet = { description = "Kubernetes Kubelet Service"; - wantedBy = [ "kubernetes.target" ]; - after = [ "network.target" "docker.service" "kube-apiserver.service" ]; + wantedBy = [ "kubelet.target" ]; + after = [ "kube-control-plane-online.target" ]; + before = [ "kubelet.target" ]; path = with pkgs; [ gitMinimal openssh docker utillinux iproute ethtool thin-provisioning-tools iptables socat ] ++ top.path; preStart = '' - ${concatMapStrings (img: '' - echo "Seeding docker image: ${img}" - docker load <${img} - '') cfg.seedDockerImages} - - rm /opt/cni/bin/* || true + rm -f /opt/cni/bin/* || true ${concatMapStrings (package: '' echo "Linking cni package: ${package}" ln -fs ${package}/bin/* /opt/cni/bin @@ -308,6 +315,56 @@ in ''; WorkingDirectory = top.dataDir; }; + unitConfig.ConditionPathExists = kubeletPaths; + }; + + systemd.paths.kubelet = { + wantedBy = [ "kubelet.service" ]; + pathConfig = { + PathExists = kubeletPaths; + PathChanged = kubeletPaths; + }; + }; + + systemd.services.docker.before = [ "kubelet.service" ]; + + systemd.services.docker-seed-images = { + wantedBy = [ "docker.service" ]; + after = [ "docker.service" ]; + before = [ "kubelet.service" ]; + path = with pkgs; [ docker ]; + preStart = '' + ${concatMapStrings (img: '' + echo "Seeding docker image: ${img}" + docker load <${img} + '') cfg.seedDockerImages} + ''; + script = "echo Ok"; + serviceConfig.Type = "oneshot"; + serviceConfig.RemainAfterExit = true; + serviceConfig.Slice = "kubernetes.slice"; + }; + + systemd.services.kubelet-online = { + wantedBy = [ "kube-node-online.target" ]; + after = [ "flannel.target" "kubelet.target" ]; + before = [ "kube-node-online.target" ]; + # it is complicated. flannel needs kubelet to run the pause container before + # it discusses the node CIDR with apiserver and afterwards configures and restarts + # dockerd. Until then prevent creating any pods because they have to be recreated anyway + # because the network of docker0 has been changed by flannel. + script = let + docker-env = "/run/flannel/docker"; + flannel-date = "stat --print=%Y ${docker-env}"; + docker-date = "systemctl show --property=ActiveEnterTimestamp --value docker"; + in '' + until test -f ${docker-env} ; do sleep 1 ; done + while test `${flannel-date}` -gt `date +%s --date="$(${docker-date})"` ; do + sleep 1 + done + ''; + serviceConfig.Type = "oneshot"; + serviceConfig.Slice = "kubernetes.slice"; }; # Allways include cni plugins @@ -354,5 +411,16 @@ in }; }) + { + systemd.targets.kubelet = { + wantedBy = [ "kube-node-online.target" ]; + before = [ "kube-node-online.target" ]; + }; + + systemd.targets.kube-node-online = { + wantedBy = [ "kubernetes.target" ]; + before = [ "kubernetes.target" ]; + }; + } ]; } diff --git a/nixpkgs/nixos/modules/services/cluster/kubernetes/pki.nix b/nixpkgs/nixos/modules/services/cluster/kubernetes/pki.nix index 38deca23a990..32eacad9025f 100644 --- a/nixpkgs/nixos/modules/services/cluster/kubernetes/pki.nix +++ b/nixpkgs/nixos/modules/services/cluster/kubernetes/pki.nix @@ -27,12 +27,11 @@ let certmgrAPITokenPath = "${top.secretsPath}/${cfsslAPITokenBaseName}"; cfsslAPITokenLength = 32; - clusterAdminKubeconfig = with cfg.certs.clusterAdmin; - top.lib.mkKubeConfig "cluster-admin" { - server = top.apiserverAddress; - certFile = cert; - keyFile = key; - }; + clusterAdminKubeconfig = with cfg.certs.clusterAdmin; { + server = top.apiserverAddress; + certFile = cert; + keyFile = key; + }; remote = with config.services; "https://${kubernetes.masterAddress}:${toString cfssl.port}"; in @@ -40,7 +39,7 @@ in ###### interface options.services.kubernetes.pki = with lib.types; { - enable = mkEnableOption "Whether to enable easyCert issuer service."; + enable = mkEnableOption "easyCert issuer service"; certs = mkOption { description = "List of certificate specs to feed to cert generator."; @@ -119,6 +118,12 @@ in cfsslCertPathPrefix = "${config.services.cfssl.dataDir}/cfssl"; cfsslCert = "${cfsslCertPathPrefix}.pem"; cfsslKey = "${cfsslCertPathPrefix}-key.pem"; + cfsslPort = toString config.services.cfssl.port; + + certmgrPaths = [ + top.caFile + certmgrAPITokenPath + ]; in { @@ -168,13 +173,40 @@ in chown cfssl "${cfsslAPITokenPath}" && chmod 400 "${cfsslAPITokenPath}" '')]); + systemd.targets.cfssl-online = { + wantedBy = [ "network-online.target" ]; + after = [ "cfssl.service" "network-online.target" "cfssl-online.service" ]; + }; + + systemd.services.cfssl-online = { + description = "Wait for ${remote} to be reachable."; + wantedBy = [ "cfssl-online.target" ]; + before = [ "cfssl-online.target" ]; + path = [ pkgs.curl ]; + preStart = '' + until curl --fail-early -fskd '{}' ${remote}/api/v1/cfssl/info -o /dev/null; do + echo curl ${remote}/api/v1/cfssl/info: exit status $? + sleep 2 + done + ''; + script = "echo Ok"; + serviceConfig = { + TimeoutSec = "300"; + }; + }; + systemd.services.kube-certmgr-bootstrap = { description = "Kubernetes certmgr bootstrapper"; - wantedBy = [ "certmgr.service" ]; - after = [ "cfssl.target" ]; + wantedBy = [ "cfssl-online.target" ]; + after = [ "cfssl-online.target" ]; + before = [ "certmgr.service" ]; + path = with pkgs; [ curl cfssl ]; script = concatStringsSep "\n" ['' set -e + mkdir -p $(dirname ${certmgrAPITokenPath}) + mkdir -p $(dirname ${top.caFile}) + # If there's a cfssl (cert issuer) running locally, then don't rely on user to # manually paste it in place. Just symlink. # otherwise, create the target file, ready for users to insert the token @@ -186,15 +218,18 @@ in fi '' (optionalString (cfg.pkiTrustOnBootstrap) '' - if [ ! -f "${top.caFile}" ] || [ $(cat "${top.caFile}" | wc -c) -lt 1 ]; then - ${pkgs.curl}/bin/curl --fail-early -f -kd '{}' ${remote}/api/v1/cfssl/info | \ - ${pkgs.cfssl}/bin/cfssljson -stdout >${top.caFile} + if [ ! -s "${top.caFile}" ]; then + until test -s ${top.caFile}.json; do + sleep 2 + curl --fail-early -fskd '{}' ${remote}/api/v1/cfssl/info -o ${top.caFile}.json + done + cfssljson -f ${top.caFile}.json -stdout >${top.caFile} + rm ${top.caFile}.json fi '') ]; serviceConfig = { - RestartSec = "10s"; - Restart = "on-failure"; + TimeoutSec = "500"; }; }; @@ -230,35 +265,28 @@ in mapAttrs mkSpec cfg.certs; }; - #TODO: Get rid of kube-addon-manager in the future for the following reasons - # - it is basically just a shell script wrapped around kubectl - # - it assumes that it is clusterAdmin or can gain clusterAdmin rights through serviceAccount - # - it is designed to be used with k8s system components only - # - it would be better with a more Nix-oriented way of managing addons - systemd.services.kube-addon-manager = mkIf top.addonManager.enable (mkMerge [{ - environment.KUBECONFIG = with cfg.certs.addonManager; - top.lib.mkKubeConfig "addon-manager" { - server = top.apiserverAddress; - certFile = cert; - keyFile = key; - }; - } - - (optionalAttrs (top.addonManager.bootstrapAddons != {}) { - serviceConfig.PermissionsStartOnly = true; - preStart = with pkgs; - let - files = mapAttrsToList (n: v: writeText "${n}.json" (builtins.toJSON v)) - top.addonManager.bootstrapAddons; - in - '' - export KUBECONFIG=${clusterAdminKubeconfig} - ${kubectl}/bin/kubectl apply -f ${concatStringsSep " \\\n -f " files} - ''; - })]); + systemd.services.certmgr = { + wantedBy = [ "cfssl-online.target" ]; + after = [ "cfssl-online.target" "kube-certmgr-bootstrap.service" ]; + preStart = '' + while ! test -s ${certmgrAPITokenPath} ; do + sleep 1 + echo Waiting for ${certmgrAPITokenPath} + done + ''; + unitConfig.ConditionPathExists = certmgrPaths; + }; + + systemd.paths.certmgr = { + wantedBy = [ "certmgr.service" ]; + pathConfig = { + PathExists = certmgrPaths; + PathChanged = certmgrPaths; + }; + }; environment.etc.${cfg.etcClusterAdminKubeconfig}.source = mkIf (!isNull cfg.etcClusterAdminKubeconfig) - clusterAdminKubeconfig; + (top.lib.mkKubeConfig "cluster-admin" clusterAdminKubeconfig); environment.systemPackages = mkIf (top.kubelet.enable || top.proxy.enable) [ (pkgs.writeScriptBin "nixos-kubernetes-node-join" '' @@ -284,38 +312,22 @@ in exit 1 fi + do_restart=$(test -s ${certmgrAPITokenPath} && echo -n y || echo -n n) + echo $token > ${certmgrAPITokenPath} chmod 600 ${certmgrAPITokenPath} - echo "Restarting certmgr..." >&1 - systemctl restart certmgr - - echo "Waiting for certs to appear..." >&1 - - ${optionalString top.kubelet.enable '' - while [ ! -f ${cfg.certs.kubelet.cert} ]; do sleep 1; done - echo "Restarting kubelet..." >&1 - systemctl restart kubelet - ''} - - ${optionalString top.proxy.enable '' - while [ ! -f ${cfg.certs.kubeProxyClient.cert} ]; do sleep 1; done - echo "Restarting kube-proxy..." >&1 - systemctl restart kube-proxy - ''} - - ${optionalString top.flannel.enable '' - while [ ! -f ${cfg.certs.flannelClient.cert} ]; do sleep 1; done - echo "Restarting flannel..." >&1 - systemctl restart flannel - ''} + if [ y = $do_restart ]; then + echo "Restarting certmgr..." >&1 + systemctl restart certmgr + fi - echo "Node joined succesfully" + echo "Node joined succesfully" >&1 '')]; # isolate etcd on loopback at the master node # easyCerts doesn't support multimaster clusters anyway atm. - services.etcd = with cfg.certs.etcd; { + services.etcd = mkIf top.apiserver.enable (with cfg.certs.etcd; { listenClientUrls = ["https://127.0.0.1:2379"]; listenPeerUrls = ["https://127.0.0.1:2380"]; advertiseClientUrls = ["https://etcd.local:2379"]; @@ -324,19 +336,11 @@ in certFile = mkDefault cert; keyFile = mkDefault key; trustedCaFile = mkDefault caCert; - }; + }); networking.extraHosts = mkIf (config.services.etcd.enable) '' 127.0.0.1 etcd.${top.addons.dns.clusterDomain} etcd.local ''; - services.flannel = with cfg.certs.flannelClient; { - kubeconfig = top.lib.mkKubeConfig "flannel" { - server = top.apiserverAddress; - certFile = cert; - keyFile = key; - }; - }; - services.kubernetes = { apiserver = mkIf top.apiserver.enable (with cfg.certs.apiServer; { @@ -353,7 +357,16 @@ in kubeletClientCaFile = mkDefault caCert; kubeletClientCertFile = mkDefault cfg.certs.apiserverKubeletClient.cert; kubeletClientKeyFile = mkDefault cfg.certs.apiserverKubeletClient.key; + proxyClientCertFile = mkDefault cfg.certs.apiserverProxyClient.cert; + proxyClientKeyFile = mkDefault cfg.certs.apiserverProxyClient.key; }); + addonManager = mkIf top.addonManager.enable { + kubeconfig = with cfg.certs.addonManager; { + certFile = mkDefault cert; + keyFile = mkDefault key; + }; + bootstrapAddonsKubeconfig = clusterAdminKubeconfig; + }; controllerManager = mkIf top.controllerManager.enable { serviceAccountKeyFile = mkDefault cfg.certs.serviceAccount.key; rootCaFile = cfg.certs.controllerManagerClient.caCert; @@ -362,6 +375,12 @@ in keyFile = mkDefault key; }; }; + flannel = mkIf top.flannel.enable { + kubeconfig = with cfg.certs.flannelClient; { + certFile = cert; + keyFile = key; + }; + }; scheduler = mkIf top.scheduler.enable { kubeconfig = with cfg.certs.schedulerClient; { certFile = mkDefault cert; diff --git a/nixpkgs/nixos/modules/services/cluster/kubernetes/proxy.nix b/nixpkgs/nixos/modules/services/cluster/kubernetes/proxy.nix index 83cd3e231000..23f4d97b7030 100644 --- a/nixpkgs/nixos/modules/services/cluster/kubernetes/proxy.nix +++ b/nixpkgs/nixos/modules/services/cluster/kubernetes/proxy.nix @@ -17,7 +17,7 @@ in type = str; }; - enable = mkEnableOption "Whether to enable Kubernetes proxy."; + enable = mkEnableOption "Kubernetes proxy"; extraOpts = mkOption { description = "Kubernetes proxy extra command line options."; @@ -45,12 +45,28 @@ in }; ###### implementation - config = mkIf cfg.enable { - systemd.services.kube-proxy = { + config = let + + proxyPaths = filter (a: a != null) [ + cfg.kubeconfig.caFile + cfg.kubeconfig.certFile + cfg.kubeconfig.keyFile + ]; + + in mkIf cfg.enable { + systemd.services.kube-proxy = rec { description = "Kubernetes Proxy Service"; - wantedBy = [ "kubernetes.target" ]; - after = [ "kube-apiserver.service" ]; - path = with pkgs; [ iptables conntrack_tools ]; + wantedBy = [ "kube-node-online.target" ]; + after = [ "kubelet-online.service" ]; + before = [ "kube-node-online.target" ]; + environment.KUBECONFIG = top.lib.mkKubeConfig "kube-proxy" cfg.kubeconfig; + path = with pkgs; [ iptables conntrack_tools kubectl ]; + preStart = '' + until kubectl auth can-i get nodes/${top.kubelet.hostname} -q 2>/dev/null; do + echo kubectl auth can-i get nodes/${top.kubelet.hostname}: exit status $? + sleep 2 + done + ''; serviceConfig = { Slice = "kubernetes.slice"; ExecStart = ''${top.package}/bin/kube-proxy \ @@ -59,7 +75,7 @@ in "--cluster-cidr=${top.clusterCidr}"} \ ${optionalString (cfg.featureGates != []) "--feature-gates=${concatMapStringsSep "," (feature: "${feature}=true") cfg.featureGates}"} \ - --kubeconfig=${top.lib.mkKubeConfig "kube-proxy" cfg.kubeconfig} \ + --kubeconfig=${environment.KUBECONFIG} \ ${optionalString (cfg.verbosity != null) "--v=${toString cfg.verbosity}"} \ ${cfg.extraOpts} ''; @@ -67,6 +83,15 @@ in Restart = "on-failure"; RestartSec = 5; }; + unitConfig.ConditionPathExists = proxyPaths; + }; + + systemd.paths.kube-proxy = { + wantedBy = [ "kube-proxy.service" ]; + pathConfig = { + PathExists = proxyPaths; + PathChanged = proxyPaths; + }; }; services.kubernetes.pki.certs = { diff --git a/nixpkgs/nixos/modules/services/cluster/kubernetes/scheduler.nix b/nixpkgs/nixos/modules/services/cluster/kubernetes/scheduler.nix index 0305b9aefe59..a0e484542951 100644 --- a/nixpkgs/nixos/modules/services/cluster/kubernetes/scheduler.nix +++ b/nixpkgs/nixos/modules/services/cluster/kubernetes/scheduler.nix @@ -16,7 +16,7 @@ in type = str; }; - enable = mkEnableOption "Whether to enable Kubernetes scheduler."; + enable = mkEnableOption "Kubernetes scheduler"; extraOpts = mkOption { description = "Kubernetes scheduler extra command line options."; @@ -56,18 +56,35 @@ in }; ###### implementation - config = mkIf cfg.enable { - systemd.services.kube-scheduler = { + config = let + + schedulerPaths = filter (a: a != null) [ + cfg.kubeconfig.caFile + cfg.kubeconfig.certFile + cfg.kubeconfig.keyFile + ]; + + in mkIf cfg.enable { + systemd.services.kube-scheduler = rec { description = "Kubernetes Scheduler Service"; - wantedBy = [ "kubernetes.target" ]; + wantedBy = [ "kube-control-plane-online.target" ]; after = [ "kube-apiserver.service" ]; + before = [ "kube-control-plane-online.target" ]; + environment.KUBECONFIG = top.lib.mkKubeConfig "kube-scheduler" cfg.kubeconfig; + path = [ pkgs.kubectl ]; + preStart = '' + until kubectl auth can-i get /api -q 2>/dev/null; do + echo kubectl auth can-i get /api: exit status $? + sleep 2 + done + ''; serviceConfig = { Slice = "kubernetes.slice"; ExecStart = ''${top.package}/bin/kube-scheduler \ --address=${cfg.address} \ ${optionalString (cfg.featureGates != []) "--feature-gates=${concatMapStringsSep "," (feature: "${feature}=true") cfg.featureGates}"} \ - --kubeconfig=${top.lib.mkKubeConfig "kube-scheduler" cfg.kubeconfig} \ + --kubeconfig=${environment.KUBECONFIG} \ --leader-elect=${boolToString cfg.leaderElect} \ --port=${toString cfg.port} \ ${optionalString (cfg.verbosity != null) "--v=${toString cfg.verbosity}"} \ @@ -79,6 +96,15 @@ in Restart = "on-failure"; RestartSec = 5; }; + unitConfig.ConditionPathExists = schedulerPaths; + }; + + systemd.paths.kube-scheduler = { + wantedBy = [ "kube-scheduler.service" ]; + pathConfig = { + PathExists = schedulerPaths; + PathChanged = schedulerPaths; + }; }; services.kubernetes.pki.certs = { diff --git a/nixpkgs/nixos/modules/services/databases/clickhouse.nix b/nixpkgs/nixos/modules/services/databases/clickhouse.nix index 21e0cee34151..dbabcae43ee5 100644 --- a/nixpkgs/nixos/modules/services/databases/clickhouse.nix +++ b/nixpkgs/nixos/modules/services/databases/clickhouse.nix @@ -1,8 +1,6 @@ { config, lib, pkgs, ... }: let cfg = config.services.clickhouse; - confDir = "/etc/clickhouse-server"; - stateDir = "/var/lib/clickhouse"; in with lib; { @@ -43,20 +41,13 @@ with lib; after = [ "network.target" ]; - preStart = '' - mkdir -p ${stateDir} - chown clickhouse:clickhouse ${confDir} ${stateDir} - ''; - - script = '' - cd "${confDir}" - exec ${pkgs.clickhouse}/bin/clickhouse-server - ''; - serviceConfig = { User = "clickhouse"; Group = "clickhouse"; - PermissionsStartOnly = true; + ConfigurationDirectory = "clickhouse-server"; + StateDirectory = "clickhouse"; + LogsDirectory = "clickhouse"; + ExecStart = "${pkgs.clickhouse}/bin/clickhouse-server --config-file=${pkgs.clickhouse}/etc/clickhouse-server/config.xml"; }; }; diff --git a/nixpkgs/nixos/modules/services/databases/couchdb.nix b/nixpkgs/nixos/modules/services/databases/couchdb.nix index 84d108d9c747..5ddf8ba4bfbd 100644 --- a/nixpkgs/nixos/modules/services/databases/couchdb.nix +++ b/nixpkgs/nixos/modules/services/databases/couchdb.nix @@ -158,27 +158,21 @@ in { services.couchdb.configFile = mkDefault (if useVersion2 then "/var/lib/couchdb/local.ini" else "/var/lib/couchdb/couchdb.ini"); + systemd.tmpfiles.rules = [ + "d '${dirOf cfg.uriFile}' - ${cfg.user} ${cfg.group} - -" + "d '${dirOf cfg.logFile}' - ${cfg.user} ${cfg.group} - -" + "d '${cfg.databaseDir}' - ${cfg.user} ${cfg.group} - -" + "d '${cfg.viewIndexDir}' - ${cfg.user} ${cfg.group} - -" + ]; + systemd.services.couchdb = { description = "CouchDB Server"; wantedBy = [ "multi-user.target" ]; preStart = '' - mkdir -p `dirname ${cfg.uriFile}`; - mkdir -p `dirname ${cfg.logFile}`; - mkdir -p ${cfg.databaseDir}; - mkdir -p ${cfg.viewIndexDir}; touch ${cfg.configFile} touch -a ${cfg.logFile} - - if [ "$(id -u)" = 0 ]; then - chown ${cfg.user}:${cfg.group} `dirname ${cfg.uriFile}`; - (test -f ${cfg.uriFile} && chown ${cfg.user}:${cfg.group} ${cfg.uriFile}) || true - chown ${cfg.user}:${cfg.group} ${cfg.databaseDir} - chown ${cfg.user}:${cfg.group} ${cfg.viewIndexDir} - chown ${cfg.user}:${cfg.group} ${cfg.configFile} - chown ${cfg.user}:${cfg.group} ${cfg.logFile} - fi ''; environment = mkIf useVersion2 { @@ -191,7 +185,6 @@ in { }; serviceConfig = { - PermissionsStartOnly = true; User = cfg.user; Group = cfg.group; ExecStart = executable; diff --git a/nixpkgs/nixos/modules/services/databases/influxdb.nix b/nixpkgs/nixos/modules/services/databases/influxdb.nix index 888bf13c3df8..6868050c8446 100644 --- a/nixpkgs/nixos/modules/services/databases/influxdb.nix +++ b/nixpkgs/nixos/modules/services/databases/influxdb.nix @@ -157,20 +157,19 @@ in config = mkIf config.services.influxdb.enable { + systemd.tmpfiles.rules = [ + "d '${cfg.dataDir}' 0770 ${cfg.user} ${cfg.group} - -" + ]; + systemd.services.influxdb = { description = "InfluxDB Server"; wantedBy = [ "multi-user.target" ]; after = [ "network.target" ]; serviceConfig = { ExecStart = ''${cfg.package}/bin/influxd -config "${configFile}"''; - User = "${cfg.user}"; - Group = "${cfg.group}"; - PermissionsStartOnly = true; + User = cfg.user; + Group = cfg.group; }; - preStart = '' - mkdir -m 0770 -p ${cfg.dataDir} - if [ "$(id -u)" = 0 ]; then chown -R ${cfg.user}:${cfg.group} ${cfg.dataDir}; fi - ''; postStart = let scheme = if configOptions.http.https-enabled then "-k https" else "http"; diff --git a/nixpkgs/nixos/modules/services/databases/memcached.nix b/nixpkgs/nixos/modules/services/databases/memcached.nix index 7af452e4dced..052ff1f308eb 100644 --- a/nixpkgs/nixos/modules/services/databases/memcached.nix +++ b/nixpkgs/nixos/modules/services/databases/memcached.nix @@ -78,11 +78,6 @@ in after = [ "network.target" ]; serviceConfig = { - PermissionsStartOnly = true; - ExecStartPre = optionals cfg.enableUnixSocket [ - "${pkgs.coreutils}/bin/install -d -o ${cfg.user} /run/memcached/" - "${pkgs.coreutils}/bin/chown -R ${cfg.user} /run/memcached/" - ]; ExecStart = let networking = if cfg.enableUnixSocket @@ -91,12 +86,13 @@ in in "${memcached}/bin/memcached ${networking} -m ${toString cfg.maxMemory} -c ${toString cfg.maxConnections} ${concatStringsSep " " cfg.extraOptions}"; User = cfg.user; + RuntimeDirectory = "memcached"; }; }; }; imports = [ (mkRemovedOptionModule ["services" "memcached" "socket"] '' - This option was replaced by a fixed unix socket path at /run/memcached/memcached.sock enabled using services.memached.enableUnixSocket. + This option was replaced by a fixed unix socket path at /run/memcached/memcached.sock enabled using services.memcached.enableUnixSocket. '') ]; diff --git a/nixpkgs/nixos/modules/services/databases/stanchion.nix b/nixpkgs/nixos/modules/services/databases/stanchion.nix index 9fe49f51edd2..97e55bc70c47 100644 --- a/nixpkgs/nixos/modules/services/databases/stanchion.nix +++ b/nixpkgs/nixos/modules/services/databases/stanchion.nix @@ -98,7 +98,7 @@ in type = types.path; default = "/var/log/stanchion"; description = '' - Log directory for Stanchino. + Log directory for Stanchion. ''; }; @@ -152,6 +152,11 @@ in users.groups.stanchion.gid = config.ids.gids.stanchion; + systemd.tmpfiles.rules = [ + "d '${cfg.logDir}' - stanchion stanchion --" + "d '${cfg.dataDir}' 0700 stanchion stanchion --" + ]; + systemd.services.stanchion = { description = "Stanchion Server"; @@ -168,25 +173,12 @@ in environment.STANCHION_LOG_DIR = "${cfg.logDir}"; environment.STANCHION_ETC_DIR = "/etc/stanchion"; - preStart = '' - if ! test -e ${cfg.logDir}; then - mkdir -m 0755 -p ${cfg.logDir} - chown -R stanchion:stanchion ${cfg.logDir} - fi - - if ! test -e ${cfg.dataDir}; then - mkdir -m 0700 -p ${cfg.dataDir} - chown -R stanchion:stanchion ${cfg.dataDir} - fi - ''; - serviceConfig = { ExecStart = "${cfg.package}/bin/stanchion console"; ExecStop = "${cfg.package}/bin/stanchion stop"; StandardInput = "tty"; User = "stanchion"; Group = "stanchion"; - PermissionsStartOnly = true; # Give Stanchion a decent amount of time to clean up. TimeoutStopSec = 120; LimitNOFILE = 65536; diff --git a/nixpkgs/nixos/modules/services/desktops/gnome3/gnome-settings-daemon.nix b/nixpkgs/nixos/modules/services/desktops/gnome3/gnome-settings-daemon.nix index dbf0f4e9b118..7f7adcf26acf 100644 --- a/nixpkgs/nixos/modules/services/desktops/gnome3/gnome-settings-daemon.nix +++ b/nixpkgs/nixos/modules/services/desktops/gnome3/gnome-settings-daemon.nix @@ -18,7 +18,7 @@ in services.gnome3.gnome-settings-daemon = { - enable = mkEnableOption "GNOME Settings Daemon."; + enable = mkEnableOption "GNOME Settings Daemon"; # There are many forks of gnome-settings-daemon package = mkOption { diff --git a/nixpkgs/nixos/modules/services/hardware/bluetooth.nix b/nixpkgs/nixos/modules/services/hardware/bluetooth.nix index 2a8dfe4a66c9..c5f9d1f9b725 100644 --- a/nixpkgs/nixos/modules/services/hardware/bluetooth.nix +++ b/nixpkgs/nixos/modules/services/hardware/bluetooth.nix @@ -13,7 +13,7 @@ in { options = { hardware.bluetooth = { - enable = mkEnableOption "support for Bluetooth."; + enable = mkEnableOption "support for Bluetooth"; powerOnBoot = mkOption { type = types.bool; diff --git a/nixpkgs/nixos/modules/services/hardware/vdr.nix b/nixpkgs/nixos/modules/services/hardware/vdr.nix index 4822506a899b..6e246f70f515 100644 --- a/nixpkgs/nixos/modules/services/hardware/vdr.nix +++ b/nixpkgs/nixos/modules/services/hardware/vdr.nix @@ -12,7 +12,7 @@ in { options = { services.vdr = { - enable = mkEnableOption "enable VDR. Please put config into ${libDir}."; + enable = mkEnableOption "VDR. Please put config into ${libDir}"; package = mkOption { type = types.package; @@ -34,7 +34,7 @@ in { description = "Additional command line arguments to pass to VDR."; }; - enableLirc = mkEnableOption "enable LIRC"; + enableLirc = mkEnableOption "LIRC"; }; }; diff --git a/nixpkgs/nixos/modules/services/mail/mailcatcher.nix b/nixpkgs/nixos/modules/services/mail/mailcatcher.nix index 2c6aadadce9d..fa8d41e918d3 100644 --- a/nixpkgs/nixos/modules/services/mail/mailcatcher.nix +++ b/nixpkgs/nixos/modules/services/mail/mailcatcher.nix @@ -11,7 +11,7 @@ in options = { services.mailcatcher = { - enable = mkEnableOption "Enable MailCatcher."; + enable = mkEnableOption "MailCatcher"; http.ip = mkOption { type = types.str; diff --git a/nixpkgs/nixos/modules/services/mail/nullmailer.nix b/nixpkgs/nixos/modules/services/mail/nullmailer.nix index 418c02af4b7f..9997d287013e 100644 --- a/nixpkgs/nixos/modules/services/mail/nullmailer.nix +++ b/nixpkgs/nixos/modules/services/mail/nullmailer.nix @@ -212,6 +212,10 @@ with lib; }; }; + systemd.tmpfiles.rules = [ + "d /var/spool/nullmailer - ${cfg.user} - - -" + ]; + systemd.services.nullmailer = { description = "nullmailer"; wantedBy = [ "multi-user.target" ]; @@ -220,13 +224,11 @@ with lib; preStart = '' mkdir -p /var/spool/nullmailer/{queue,tmp} rm -f /var/spool/nullmailer/trigger && mkfifo -m 660 /var/spool/nullmailer/trigger - chown ${cfg.user} /var/spool/nullmailer/* ''; serviceConfig = { User = cfg.user; Group = cfg.group; - PermissionsStartOnly=true; ExecStart = "${pkgs.nullmailer}/bin/nullmailer-send"; Restart = "always"; }; diff --git a/nixpkgs/nixos/modules/services/mail/offlineimap.nix b/nixpkgs/nixos/modules/services/mail/offlineimap.nix index 4b24bd8d0813..294e3806f94a 100644 --- a/nixpkgs/nixos/modules/services/mail/offlineimap.nix +++ b/nixpkgs/nixos/modules/services/mail/offlineimap.nix @@ -7,7 +7,7 @@ let in { options.services.offlineimap = { - enable = mkEnableOption "Offlineimap, a software to dispose your mailbox(es) as a local Maildir(s)."; + enable = mkEnableOption "OfflineIMAP, a software to dispose your mailbox(es) as a local Maildir(s)"; install = mkOption { type = types.bool; diff --git a/nixpkgs/nixos/modules/services/mail/rss2email.nix b/nixpkgs/nixos/modules/services/mail/rss2email.nix index 5f3b2877008f..a123736005ab 100644 --- a/nixpkgs/nixos/modules/services/mail/rss2email.nix +++ b/nixpkgs/nixos/modules/services/mail/rss2email.nix @@ -94,6 +94,10 @@ in { services.rss2email.config.to = cfg.to; + systemd.tmpfiles.rules = [ + "d /var/rss2email 0700 rss2email rss2email - -" + ]; + systemd.services.rss2email = let conf = pkgs.writeText "rss2email.cfg" (lib.generators.toINI {} ({ DEFAULT = cfg.config; @@ -105,22 +109,16 @@ in { in { preStart = '' - mkdir -p /var/rss2email - chmod 700 /var/rss2email - cp ${conf} /var/rss2email/conf.cfg if [ ! -f /var/rss2email/db.json ]; then echo '{"version":2,"feeds":[]}' > /var/rss2email/db.json fi - - chown -R rss2email:rss2email /var/rss2email ''; path = [ pkgs.system-sendmail ]; serviceConfig = { ExecStart = "${pkgs.rss2email}/bin/r2e -c /var/rss2email/conf.cfg -d /var/rss2email/db.json run"; User = "rss2email"; - PermissionsStartOnly = "true"; }; }; diff --git a/nixpkgs/nixos/modules/services/misc/beanstalkd.nix b/nixpkgs/nixos/modules/services/misc/beanstalkd.nix index 8a3e0ab1949a..06e881406b52 100644 --- a/nixpkgs/nixos/modules/services/misc/beanstalkd.nix +++ b/nixpkgs/nixos/modules/services/misc/beanstalkd.nix @@ -12,7 +12,7 @@ in options = { services.beanstalkd = { - enable = mkEnableOption "Enable the Beanstalk work queue."; + enable = mkEnableOption "the Beanstalk work queue"; listen = { port = mkOption { diff --git a/nixpkgs/nixos/modules/services/misc/etcd.nix b/nixpkgs/nixos/modules/services/misc/etcd.nix index 2d1893dae64b..e4d5322f9b5f 100644 --- a/nixpkgs/nixos/modules/services/misc/etcd.nix +++ b/nixpkgs/nixos/modules/services/misc/etcd.nix @@ -142,6 +142,10 @@ in { }; config = mkIf cfg.enable { + systemd.tmpfiles.rules = [ + "d '${cfg.dataDir}' 0700 etcd - - -" + ]; + systemd.services.etcd = { description = "etcd key-value store"; wantedBy = [ "multi-user.target" ]; @@ -176,14 +180,8 @@ in { Type = "notify"; ExecStart = "${pkgs.etcd.bin}/bin/etcd"; User = "etcd"; - PermissionsStartOnly = true; LimitNOFILE = 40000; }; - - preStart = '' - mkdir -m 0700 -p ${cfg.dataDir} - if [ "$(id -u)" = 0 ]; then chown etcd ${cfg.dataDir}; fi - ''; }; environment.systemPackages = [ pkgs.etcdctl ]; diff --git a/nixpkgs/nixos/modules/services/misc/jackett.nix b/nixpkgs/nixos/modules/services/misc/jackett.nix index b18ce2b1f81a..a07f20e5c24b 100644 --- a/nixpkgs/nixos/modules/services/misc/jackett.nix +++ b/nixpkgs/nixos/modules/services/misc/jackett.nix @@ -38,24 +38,19 @@ in }; config = mkIf cfg.enable { + systemd.tmpfiles.rules = [ + "d '${cfg.dataDir}' 0700 ${cfg.user} ${cfg.group} - -" + ]; + systemd.services.jackett = { description = "Jackett"; after = [ "network.target" ]; wantedBy = [ "multi-user.target" ]; - preStart = '' - test -d ${cfg.dataDir} || { - echo "Creating jackett data directory in ${cfg.dataDir}" - mkdir -p ${cfg.dataDir} - } - chown -R ${cfg.user}:${cfg.group} ${cfg.dataDir} - chmod 0700 ${cfg.dataDir} - ''; serviceConfig = { Type = "simple"; User = cfg.user; Group = cfg.group; - PermissionsStartOnly = "true"; ExecStart = "${pkgs.jackett}/bin/Jackett --NoUpdates --DataFolder '${cfg.dataDir}'"; Restart = "on-failure"; }; diff --git a/nixpkgs/nixos/modules/services/misc/lidarr.nix b/nixpkgs/nixos/modules/services/misc/lidarr.nix index 627f22334fe8..f466402abfc7 100644 --- a/nixpkgs/nixos/modules/services/misc/lidarr.nix +++ b/nixpkgs/nixos/modules/services/misc/lidarr.nix @@ -17,20 +17,15 @@ in description = "Lidarr"; after = [ "network.target" ]; wantedBy = [ "multi-user.target" ]; - preStart = '' - [ ! -d /var/lib/lidarr ] && mkdir -p /var/lib/lidarr - chown -R lidarr:lidarr /var/lib/lidarr - ''; serviceConfig = { Type = "simple"; User = "lidarr"; Group = "lidarr"; - PermissionsStartOnly = "true"; ExecStart = "${pkgs.lidarr}/bin/Lidarr"; Restart = "on-failure"; - StateDirectory = "/var/lib/lidarr/"; + StateDirectory = "lidarr"; StateDirectoryMode = "0770"; }; }; diff --git a/nixpkgs/nixos/modules/services/misc/matrix-synapse.nix b/nixpkgs/nixos/modules/services/misc/matrix-synapse.nix index 5e465926b832..00c8e7408030 100644 --- a/nixpkgs/nixos/modules/services/misc/matrix-synapse.nix +++ b/nixpkgs/nixos/modules/services/misc/matrix-synapse.nix @@ -554,7 +554,10 @@ in { }; trusted_third_party_id_servers = mkOption { type = types.listOf types.str; - default = ["matrix.org"]; + default = [ + "matrix.org" + "vector.im" + ]; description = '' The list of identity servers trusted to verify third party identifiers by this server. ''; diff --git a/nixpkgs/nixos/modules/services/misc/mesos-master.nix b/nixpkgs/nixos/modules/services/misc/mesos-master.nix index 0523c6549ed6..572a9847e46c 100644 --- a/nixpkgs/nixos/modules/services/misc/mesos-master.nix +++ b/nixpkgs/nixos/modules/services/misc/mesos-master.nix @@ -95,6 +95,9 @@ in { config = mkIf cfg.enable { + systemd.tmpfiles.rules = [ + "d '${cfg.workDir}' 0700 - - - -" + ]; systemd.services.mesos-master = { description = "Mesos Master"; wantedBy = [ "multi-user.target" ]; @@ -114,11 +117,7 @@ in { ${toString cfg.extraCmdLineOptions} ''; Restart = "on-failure"; - PermissionsStartOnly = true; }; - preStart = '' - mkdir -m 0700 -p ${cfg.workDir} - ''; }; }; diff --git a/nixpkgs/nixos/modules/services/misc/mesos-slave.nix b/nixpkgs/nixos/modules/services/misc/mesos-slave.nix index 468c7f36ecc5..170065d0065e 100644 --- a/nixpkgs/nixos/modules/services/misc/mesos-slave.nix +++ b/nixpkgs/nixos/modules/services/misc/mesos-slave.nix @@ -184,6 +184,9 @@ in { }; config = mkIf cfg.enable { + systemd.tmpfiles.rules = [ + "d '${cfg.workDir}' 0701 - - - -" + ]; systemd.services.mesos-slave = { description = "Mesos Slave"; wantedBy = [ "multi-user.target" ]; @@ -210,11 +213,7 @@ in { --executor_environment_variables=${lib.escapeShellArg (builtins.toJSON cfg.executorEnvironmentVariables)} \ ${toString cfg.extraCmdLineOptions} ''; - PermissionsStartOnly = true; }; - preStart = '' - mkdir -m 0701 -p ${cfg.workDir} - ''; }; }; diff --git a/nixpkgs/nixos/modules/services/misc/plex.nix b/nixpkgs/nixos/modules/services/misc/plex.nix index fce9b29011f1..7efadf1b9bb1 100644 --- a/nixpkgs/nixos/modules/services/misc/plex.nix +++ b/nixpkgs/nixos/modules/services/misc/plex.nix @@ -10,35 +10,38 @@ in services.plex = { enable = mkEnableOption "Plex Media Server"; - # FIXME: In order for this config option to work, symlinks in the Plex - # package in the Nix store have to be changed to point to this directory. dataDir = mkOption { type = types.str; default = "/var/lib/plex"; - description = "The directory where Plex stores its data files."; + description = '' + The directory where Plex stores its data files. + ''; }; openFirewall = mkOption { type = types.bool; default = false; description = '' - Open ports in the firewall for the media server + Open ports in the firewall for the media server. ''; }; user = mkOption { type = types.str; default = "plex"; - description = "User account under which Plex runs."; + description = '' + User account under which Plex runs. + ''; }; group = mkOption { type = types.str; default = "plex"; - description = "Group under which Plex runs."; + description = '' + Group under which Plex runs. + ''; }; - managePlugins = mkOption { type = types.bool; default = true; @@ -80,73 +83,48 @@ in description = "Plex Media Server"; after = [ "network.target" ]; wantedBy = [ "multi-user.target" ]; - preStart = '' - test -d "${cfg.dataDir}/Plex Media Server" || { - echo "Creating initial Plex data directory in \"${cfg.dataDir}\"." - mkdir -p "${cfg.dataDir}/Plex Media Server" - chown -R ${cfg.user}:${cfg.group} "${cfg.dataDir}" - } - - # Copy the database skeleton files to /var/lib/plex/.skeleton - # See the the Nix expression for Plex's package for more information on - # why this is done. - install --owner ${cfg.user} --group ${cfg.group} -d "${cfg.dataDir}/.skeleton" - for db in "com.plexapp.plugins.library.db"; do - if [ ! -e "${cfg.dataDir}/.skeleton/$db" ]; then - cp "${cfg.package}/usr/lib/plexmediaserver/Resources/base_$db" "${cfg.dataDir}/.skeleton/$db" - fi - chmod u+w "${cfg.dataDir}/.skeleton/$db" - chown ${cfg.user}:${cfg.group} "${cfg.dataDir}/.skeleton/$db" - done - - # If managePlugins is enabled, setup symlinks for plugins. - ${optionalString cfg.managePlugins '' - echo "Preparing plugin directory." - PLUGINDIR="${cfg.dataDir}/Plex Media Server/Plug-ins" - test -d "$PLUGINDIR" || { - mkdir -p "$PLUGINDIR"; - chown ${cfg.user}:${cfg.group} "$PLUGINDIR"; - } - - echo "Removing old symlinks." - # First, remove all of the symlinks in the directory. - for f in `ls "$PLUGINDIR/"`; do - if [[ -L "$PLUGINDIR/$f" ]]; then - echo "Removing plugin symlink $PLUGINDIR/$f." - rm "$PLUGINDIR/$f" - fi - done - - echo "Symlinking plugins." - for path in ${toString cfg.extraPlugins}; do - dest="$PLUGINDIR/$(basename $path)" - if [[ ! -d "$path" ]]; then - echo "Error symlinking plugin from $path: no such directory." - elif [[ -d "$dest" || -L "$dest" ]]; then - echo "Error symlinking plugin from $path to $dest: file or directory already exists." - else - echo "Symlinking plugin at $path..." - ln -s "$path" "$dest" - fi - done - ''} - ''; + serviceConfig = { Type = "simple"; User = cfg.user; Group = cfg.group; - PermissionsStartOnly = "true"; - ExecStart = "\"${cfg.package}/usr/lib/plexmediaserver/Plex Media Server\""; + + # Run the pre-start script with full permissions (the "!" prefix) so it + # can create the data directory if necessary. + ExecStartPre = let + preStartScript = pkgs.writeScript "plex-run-prestart" '' + #!${pkgs.bash}/bin/bash + + # Create data directory if it doesn't exist + if ! test -d "$PLEX_DATADIR"; then + echo "Creating initial Plex data directory in: $PLEX_DATADIR" + install -d -m 0755 -o "${cfg.user}" -g "${cfg.group}" "$PLEX_DATADIR" + fi + ''; + in + "!${preStartScript}"; + + ExecStart = "${cfg.package}/bin/plexmediaserver"; KillSignal = "SIGQUIT"; Restart = "on-failure"; }; + environment = { - PLEX_MEDIA_SERVER_APPLICATION_SUPPORT_DIR=cfg.dataDir; - PLEX_MEDIA_SERVER_HOME="${cfg.package}/usr/lib/plexmediaserver"; + # Configuration for our FHS userenv script + PLEX_DATADIR=cfg.dataDir; + PLEX_PLUGINS=concatMapStringsSep ":" builtins.toString cfg.extraPlugins; + + # The following variables should be set by the FHS userenv script: + # PLEX_MEDIA_SERVER_APPLICATION_SUPPORT_DIR + # PLEX_MEDIA_SERVER_HOME + + # Allow access to GPU acceleration; the Plex LD_LIBRARY_PATH is added + # by the FHS userenv script. + LD_LIBRARY_PATH="/run/opengl-driver/lib"; + PLEX_MEDIA_SERVER_MAX_PLUGIN_PROCS="6"; PLEX_MEDIA_SERVER_TMPDIR="/tmp"; PLEX_MEDIA_SERVER_USE_SYSLOG="true"; - LD_LIBRARY_PATH="/run/opengl-driver/lib:${cfg.package}/usr/lib/plexmediaserver/lib"; LC_ALL="en_US.UTF-8"; LANG="en_US.UTF-8"; }; diff --git a/nixpkgs/nixos/modules/services/misc/radarr.nix b/nixpkgs/nixos/modules/services/misc/radarr.nix index 9ab26d848325..74444e24043f 100644 --- a/nixpkgs/nixos/modules/services/misc/radarr.nix +++ b/nixpkgs/nixos/modules/services/misc/radarr.nix @@ -38,24 +38,19 @@ in }; config = mkIf cfg.enable { + systemd.tmpfiles.rules = [ + "d '${cfg.dataDir}' 0700 ${cfg.user} ${cfg.group} - -" + ]; + systemd.services.radarr = { description = "Radarr"; after = [ "network.target" ]; wantedBy = [ "multi-user.target" ]; - preStart = '' - test -d ${cfg.dataDir} || { - echo "Creating radarr data directory in ${cfg.dataDir}" - mkdir -p ${cfg.dataDir} - } - chown -R ${cfg.user}:${cfg.group} ${cfg.dataDir} - chmod 0700 ${cfg.dataDir} - ''; serviceConfig = { Type = "simple"; User = cfg.user; Group = cfg.group; - PermissionsStartOnly = "true"; ExecStart = "${pkgs.radarr}/bin/Radarr -nobrowser -data='${cfg.dataDir}'"; Restart = "on-failure"; }; diff --git a/nixpkgs/nixos/modules/services/misc/sonarr.nix b/nixpkgs/nixos/modules/services/misc/sonarr.nix index a99445a268d7..77c7f0582d0b 100644 --- a/nixpkgs/nixos/modules/services/misc/sonarr.nix +++ b/nixpkgs/nixos/modules/services/misc/sonarr.nix @@ -39,24 +39,19 @@ in }; config = mkIf cfg.enable { + systemd.tmpfiles.rules = [ + "d '${cfg.dataDir}' 0700 ${cfg.user} ${cfg.group} - -" + ]; + systemd.services.sonarr = { description = "Sonarr"; after = [ "network.target" ]; wantedBy = [ "multi-user.target" ]; - preStart = '' - test -d ${cfg.dataDir} || { - echo "Creating sonarr data directory in ${cfg.dataDir}" - mkdir -p ${cfg.dataDir} - } - chown -R ${cfg.user}:${cfg.group} ${cfg.dataDir} - chmod 0700 ${cfg.dataDir} - ''; serviceConfig = { Type = "simple"; User = cfg.user; Group = cfg.group; - PermissionsStartOnly = "true"; ExecStart = "${pkgs.sonarr}/bin/NzbDrone -nobrowser -data='${cfg.dataDir}'"; Restart = "on-failure"; }; diff --git a/nixpkgs/nixos/modules/services/misc/sssd.nix b/nixpkgs/nixos/modules/services/misc/sssd.nix index fe472a6c68e5..6b64045dde88 100644 --- a/nixpkgs/nixos/modules/services/misc/sssd.nix +++ b/nixpkgs/nixos/modules/services/misc/sssd.nix @@ -6,7 +6,7 @@ let in { options = { services.sssd = { - enable = mkEnableOption "the System Security Services Daemon."; + enable = mkEnableOption "the System Security Services Daemon"; config = mkOption { type = types.lines; diff --git a/nixpkgs/nixos/modules/services/misc/zookeeper.nix b/nixpkgs/nixos/modules/services/misc/zookeeper.nix index cb7cc97d5a5c..50c84e3c6b80 100644 --- a/nixpkgs/nixos/modules/services/misc/zookeeper.nix +++ b/nixpkgs/nixos/modules/services/misc/zookeeper.nix @@ -119,6 +119,10 @@ in { config = mkIf cfg.enable { environment.systemPackages = [cfg.package]; + systemd.tmpfiles.rules = [ + "d '${cfg.dataDir}' 0700 zookeeper - - -" + ]; + systemd.services.zookeeper = { description = "Zookeeper Daemon"; wantedBy = [ "multi-user.target" ]; @@ -135,11 +139,8 @@ in { ${configDir}/zoo.cfg ''; User = "zookeeper"; - PermissionsStartOnly = true; }; preStart = '' - mkdir -m 0700 -p ${cfg.dataDir} - if [ "$(id -u)" = 0 ]; then chown zookeeper ${cfg.dataDir}; fi echo "${toString cfg.id}" > ${cfg.dataDir}/myid ''; }; diff --git a/nixpkgs/nixos/modules/services/monitoring/collectd.nix b/nixpkgs/nixos/modules/services/monitoring/collectd.nix index 45e3312c0f44..6a4c678eb21f 100644 --- a/nixpkgs/nixos/modules/services/monitoring/collectd.nix +++ b/nixpkgs/nixos/modules/services/monitoring/collectd.nix @@ -79,6 +79,10 @@ in { }; config = mkIf cfg.enable { + systemd.tmpfiles.rules = [ + "d '${cfg.dataDir}' - ${cfg.user} - - -" + ]; + systemd.services.collectd = { description = "Collectd Monitoring Agent"; after = [ "network.target" ]; @@ -87,16 +91,9 @@ in { serviceConfig = { ExecStart = "${cfg.package}/sbin/collectd -C ${conf} -f"; User = cfg.user; - PermissionsStartOnly = true; Restart = "on-failure"; RestartSec = 3; }; - - preStart = '' - mkdir -p "${cfg.dataDir}" - chmod 755 "${cfg.dataDir}" - chown -R ${cfg.user} "${cfg.dataDir}" - ''; }; users.users = optional (cfg.user == "collectd") { diff --git a/nixpkgs/nixos/modules/services/monitoring/graphite.nix b/nixpkgs/nixos/modules/services/monitoring/graphite.nix index f59bc56962b1..2365142af40e 100644 --- a/nixpkgs/nixos/modules/services/monitoring/graphite.nix +++ b/nixpkgs/nixos/modules/services/monitoring/graphite.nix @@ -123,7 +123,7 @@ in { graphite carbon. For more information visit - <link xlink:href="http://graphite-api.readthedocs.org/en/latest/"/> + <link xlink:href="https://graphite-api.readthedocs.org/en/latest/"/> ''; default = false; type = types.bool; diff --git a/nixpkgs/nixos/modules/services/monitoring/munin.nix b/nixpkgs/nixos/modules/services/monitoring/munin.nix index f67986327245..ffe223fedbe1 100644 --- a/nixpkgs/nixos/modules/services/monitoring/munin.nix +++ b/nixpkgs/nixos/modules/services/monitoring/munin.nix @@ -8,7 +8,7 @@ # spawn-fcgi -s /run/munin/fastcgi-graph.sock -U www-data -u munin -g munin /usr/lib/munin/cgi/munin-cgi-graph # spawn-fcgi -s /run/munin/fastcgi-html.sock -U www-data -u munin -g munin /usr/lib/munin/cgi/munin-cgi-html # https://paste.sh/vofcctHP#-KbDSXVeWoifYncZmLfZzgum -# nginx http://munin.readthedocs.org/en/latest/example/webserver/nginx.html +# nginx https://munin.readthedocs.org/en/latest/example/webserver/nginx.html with lib; diff --git a/nixpkgs/nixos/modules/services/monitoring/prometheus/default.nix b/nixpkgs/nixos/modules/services/monitoring/prometheus/default.nix index e7ac12c07d33..d8384e0d35b3 100644 --- a/nixpkgs/nixos/modules/services/monitoring/prometheus/default.nix +++ b/nixpkgs/nixos/modules/services/monitoring/prometheus/default.nix @@ -22,9 +22,6 @@ let workingDir = stateDirBase + stateDir; workingDir2 = stateDirBase + cfg2.stateDir; - # Get a submodule without any embedded metadata: - _filter = x: filterAttrs (k: v: k != "_module") x; - # a wrapper that verifies that the configuration is valid promtoolCheck = what: name: file: pkgs.runCommand "${name}-${what}-checked" { buildInputs = [ cfg.package ]; } '' @@ -50,11 +47,11 @@ let # This becomes the main config file for Prometheus 1 promConfig = { - global = cfg.globalConfig; + global = filterValidPrometheus cfg.globalConfig; rule_files = map (promtoolCheck "check-rules" "rules") (cfg.ruleFiles ++ [ (pkgs.writeText "prometheus.rules" (concatStringsSep "\n" cfg.rules)) ]); - scrape_configs = filterEmpty cfg.scrapeConfigs; + scrape_configs = filterValidPrometheus cfg.scrapeConfigs; }; generatedPrometheusYml = writePrettyJSON "prometheus.yml" promConfig; @@ -77,11 +74,11 @@ let # This becomes the main config file for Prometheus 2 promConfig2 = { - global = cfg2.globalConfig; + global = filterValidPrometheus cfg2.globalConfig; rule_files = map (prom2toolCheck "check rules" "rules") (cfg2.ruleFiles ++ [ (pkgs.writeText "prometheus.rules" (concatStringsSep "\n" cfg2.rules)) ]); - scrape_configs = filterEmpty cfg2.scrapeConfigs; + scrape_configs = filterValidPrometheus cfg2.scrapeConfigs; alerting = optionalAttrs (cfg2.alertmanagerURL != []) { alertmanagers = [{ static_configs = [{ @@ -108,7 +105,7 @@ let ] ++ optional (cfg2.webExternalUrl != null) "--web.external-url=${cfg2.webExternalUrl}"; - filterEmpty = filterAttrsListRecursive (_n: v: !(v == null || v == [] || v == {})); + filterValidPrometheus = filterAttrsListRecursive (n: v: !(n == "_module" || v == null)); filterAttrsListRecursive = pred: x: if isAttrs x then listToAttrs ( @@ -123,41 +120,37 @@ let map (filterAttrsListRecursive pred) x else x; - promTypes.globalConfig = types.submodule { - options = { - scrape_interval = mkOption { - type = types.str; - default = "1m"; - description = '' - How frequently to scrape targets by default. - ''; - }; + mkDefOpt = type : defaultStr : description : mkOpt type (description + '' - scrape_timeout = mkOption { - type = types.str; - default = "10s"; - description = '' - How long until a scrape request times out. - ''; - }; + Defaults to <literal>${defaultStr}</literal> in prometheus + when set to <literal>null</literal>. + ''); - evaluation_interval = mkOption { - type = types.str; - default = "1m"; - description = '' - How frequently to evaluate rules by default. - ''; - }; + mkOpt = type : description : mkOption { + type = types.nullOr type; + default = null; + inherit description; + }; - external_labels = mkOption { - type = types.attrsOf types.str; - description = '' - The labels to add to any time series or alerts when - communicating with external systems (federation, remote - storage, Alertmanager). - ''; - default = {}; - }; + promTypes.globalConfig = types.submodule { + options = { + scrape_interval = mkDefOpt types.str "1m" '' + How frequently to scrape targets by default. + ''; + + scrape_timeout = mkDefOpt types.str "10s" '' + How long until a scrape request times out. + ''; + + evaluation_interval = mkDefOpt types.str "1m" '' + How frequently to evaluate rules by default. + ''; + + external_labels = mkOpt (types.attrsOf types.str) '' + The labels to add to any time series or alerts when + communicating with external systems (federation, remote + storage, Alertmanager). + ''; }; }; @@ -169,145 +162,127 @@ let The job name assigned to scraped metrics by default. ''; }; - scrape_interval = mkOption { - type = types.nullOr types.str; - default = null; - description = '' - How frequently to scrape targets from this job. Defaults to the - globally configured default. - ''; - }; - scrape_timeout = mkOption { - type = types.nullOr types.str; - default = null; - description = '' - Per-target timeout when scraping this job. Defaults to the - globally configured default. - ''; - }; - metrics_path = mkOption { - type = types.str; - default = "/metrics"; - description = '' - The HTTP resource path on which to fetch metrics from targets. - ''; - }; - honor_labels = mkOption { - type = types.bool; - default = false; - description = '' - Controls how Prometheus handles conflicts between labels - that are already present in scraped data and labels that - Prometheus would attach server-side ("job" and "instance" - labels, manually configured target labels, and labels - generated by service discovery implementations). - - If honor_labels is set to "true", label conflicts are - resolved by keeping label values from the scraped data and - ignoring the conflicting server-side labels. - - If honor_labels is set to "false", label conflicts are - resolved by renaming conflicting labels in the scraped data - to "exported_<original-label>" (for example - "exported_instance", "exported_job") and then attaching - server-side labels. This is useful for use cases such as - federation, where all labels specified in the target should - be preserved. - ''; - }; - scheme = mkOption { - type = types.enum ["http" "https"]; - default = "http"; - description = '' - The URL scheme with which to fetch metrics from targets. - ''; - }; - params = mkOption { - type = types.attrsOf (types.listOf types.str); - default = {}; - description = '' - Optional HTTP URL parameters. - ''; - }; - basic_auth = mkOption { - type = types.nullOr (types.submodule { - options = { - username = mkOption { - type = types.str; - description = '' - HTTP username - ''; - }; - password = mkOption { - type = types.str; - description = '' - HTTP password - ''; - }; + scrape_interval = mkOpt types.str '' + How frequently to scrape targets from this job. Defaults to the + globally configured default. + ''; + + scrape_timeout = mkOpt types.str '' + Per-target timeout when scraping this job. Defaults to the + globally configured default. + ''; + + metrics_path = mkDefOpt types.str "/metrics" '' + The HTTP resource path on which to fetch metrics from targets. + ''; + + honor_labels = mkDefOpt types.bool "false" '' + Controls how Prometheus handles conflicts between labels + that are already present in scraped data and labels that + Prometheus would attach server-side ("job" and "instance" + labels, manually configured target labels, and labels + generated by service discovery implementations). + + If honor_labels is set to "true", label conflicts are + resolved by keeping label values from the scraped data and + ignoring the conflicting server-side labels. + + If honor_labels is set to "false", label conflicts are + resolved by renaming conflicting labels in the scraped data + to "exported_<original-label>" (for example + "exported_instance", "exported_job") and then attaching + server-side labels. This is useful for use cases such as + federation, where all labels specified in the target should + be preserved. + ''; + + honor_timestamps = mkDefOpt types.bool "true" '' + honor_timestamps controls whether Prometheus respects the timestamps present + in scraped data. + + If honor_timestamps is set to <literal>true</literal>, the timestamps of the metrics exposed + by the target will be used. + + If honor_timestamps is set to <literal>false</literal>, the timestamps of the metrics exposed + by the target will be ignored. + ''; + + scheme = mkDefOpt (types.enum ["http" "https"]) "http" '' + The URL scheme with which to fetch metrics from targets. + ''; + + params = mkOpt (types.attrsOf (types.listOf types.str)) '' + Optional HTTP URL parameters. + ''; + + basic_auth = mkOpt (types.submodule { + options = { + username = mkOption { + type = types.str; + description = '' + HTTP username + ''; }; - }); - default = null; - apply = x: mapNullable _filter x; - description = '' - Optional http login credentials for metrics scraping. - ''; - }; - tls_config = mkOption { - type = types.nullOr promTypes.tls_config; - default = null; - apply = x: mapNullable _filter x; - description = '' - Configures the scrape request's TLS settings. - ''; - }; - dns_sd_configs = mkOption { - type = types.listOf promTypes.dns_sd_config; - default = []; - apply = x: map _filter x; - description = '' - List of DNS service discovery configurations. - ''; - }; - consul_sd_configs = mkOption { - type = types.listOf promTypes.consul_sd_config; - default = []; - apply = x: map _filter x; - description = '' - List of Consul service discovery configurations. - ''; - }; - file_sd_configs = mkOption { - type = types.listOf promTypes.file_sd_config; - default = []; - apply = x: map _filter x; - description = '' - List of file service discovery configurations. - ''; - }; - static_configs = mkOption { - type = types.listOf promTypes.static_config; - default = []; - apply = x: map _filter x; - description = '' - List of labeled target groups for this job. - ''; - }; - ec2_sd_configs = mkOption { - type = types.listOf promTypes.ec2_sd_config; - default = []; - apply = x: map _filter x; - description = '' - List of EC2 service discovery configurations. - ''; - }; - relabel_configs = mkOption { - type = types.listOf promTypes.relabel_config; - default = []; - apply = x: map _filter x; - description = '' - List of relabel configurations. - ''; - }; + password = mkOption { + type = types.str; + description = '' + HTTP password + ''; + }; + }; + }) '' + Optional http login credentials for metrics scraping. + ''; + + bearer_token = mkOpt types.str '' + Sets the `Authorization` header on every scrape request with + the configured bearer token. It is mutually exclusive with + <option>bearer_token_file</option>. + ''; + + bearer_token_file = mkOpt types.str '' + Sets the `Authorization` header on every scrape request with + the bearer token read from the configured file. It is mutually + exclusive with <option>bearer_token</option>. + ''; + + tls_config = mkOpt promTypes.tls_config '' + Configures the scrape request's TLS settings. + ''; + + proxy_url = mkOpt types.str '' + Optional proxy URL. + ''; + + ec2_sd_configs = mkOpt (types.listOf promTypes.ec2_sd_config) '' + List of EC2 service discovery configurations. + ''; + + dns_sd_configs = mkOpt (types.listOf promTypes.dns_sd_config) '' + List of DNS service discovery configurations. + ''; + + consul_sd_configs = mkOpt (types.listOf promTypes.consul_sd_config) '' + List of Consul service discovery configurations. + ''; + + file_sd_configs = mkOpt (types.listOf promTypes.file_sd_config) '' + List of file service discovery configurations. + ''; + + static_configs = mkOpt (types.listOf promTypes.static_config) '' + List of labeled target groups for this job. + ''; + + relabel_configs = mkOpt (types.listOf promTypes.relabel_config) '' + List of relabel configurations. + ''; + + sample_limit = mkDefOpt types.int "0" '' + Per-scrape limit on number of scraped samples that will be accepted. + If more than this number of samples are present after metric relabelling + the entire scrape will be treated as failed. 0 means no limit. + ''; }; }; @@ -337,66 +312,41 @@ let The AWS Region. ''; }; - endpoint = mkOption { - type = types.nullOr types.str; - default = null; - description = '' - Custom endpoint to be used. - ''; - }; - access_key = mkOption { - type = types.nullOr types.str; - default = null; - description = '' - The AWS API key id. If blank, the environment variable - <literal>AWS_ACCESS_KEY_ID</literal> is used. - ''; - }; - secret_key = mkOption { - type = types.nullOr types.str; - default = null; - description = '' - The AWS API key secret. If blank, the environment variable - <literal>AWS_SECRET_ACCESS_KEY</literal> is used. - ''; - }; - profile = mkOption { - type = types.nullOr types.str; - default = null; - description = '' - Named AWS profile used to connect to the API. - ''; - }; - role_arn = mkOption { - type = types.nullOr types.str; - default = null; - description = '' - AWS Role ARN, an alternative to using AWS API keys. - ''; - }; - refresh_interval = mkOption { - type = types.nullOr types.str; - default = null; - description = '' - Refresh interval to re-read the instance list. - ''; - }; - port = mkOption { - type = types.int; - default = 80; - description = '' - The port to scrape metrics from. If using the public IP - address, this must instead be specified in the relabeling - rule. - ''; - }; - filters = mkOption { - type = types.nullOr (types.listOf promTypes.filter); - default = null; - description = '' - Filters can be used optionally to filter the instance list by other criteria. - ''; - }; + endpoint = mkOpt types.str '' + Custom endpoint to be used. + ''; + + access_key = mkOpt types.str '' + The AWS API key id. If blank, the environment variable + <literal>AWS_ACCESS_KEY_ID</literal> is used. + ''; + + secret_key = mkOpt types.str '' + The AWS API key secret. If blank, the environment variable + <literal>AWS_SECRET_ACCESS_KEY</literal> is used. + ''; + + profile = mkOpt types.str '' + Named AWS profile used to connect to the API. + ''; + + role_arn = mkOpt types.str '' + AWS Role ARN, an alternative to using AWS API keys. + ''; + + refresh_interval = mkDefOpt types.str "60s" '' + Refresh interval to re-read the instance list. + ''; + + port = mkDefOpt types.int "80" '' + The port to scrape metrics from. If using the public IP + address, this must instead be specified in the relabeling + rule. + ''; + + filters = mkOpt (types.listOf promTypes.filter) '' + Filters can be used optionally to filter the instance list by other criteria. + ''; }; }; @@ -409,6 +359,7 @@ let for the available filters. ''; }; + value = mkOption { type = types.listOf types.str; default = []; @@ -427,56 +378,63 @@ let A list of DNS SRV record names to be queried. ''; }; - refresh_interval = mkOption { - type = types.str; - default = "30s"; - description = '' - The time after which the provided names are refreshed. - ''; - }; + + refresh_interval = mkDefOpt types.str "30s" '' + The time after which the provided names are refreshed. + ''; }; }; promTypes.consul_sd_config = types.submodule { options = { - server = mkOption { - type = types.str; - description = "Consul server to query."; - }; - token = mkOption { - type = types.nullOr types.str; - description = "Consul token"; - }; - datacenter = mkOption { - type = types.nullOr types.str; - description = "Consul datacenter"; - }; - scheme = mkOption { - type = types.nullOr types.str; - description = "Consul scheme"; - }; - username = mkOption { - type = types.nullOr types.str; - description = "Consul username"; - }; - password = mkOption { - type = types.nullOr types.str; - description = "Consul password"; - }; + server = mkDefOpt types.str "localhost:8500" '' + Consul server to query. + ''; - services = mkOption { - type = types.listOf types.str; - description = '' - A list of services for which targets are retrieved. - ''; - }; - tag_separator = mkOption { - type = types.str; - default = ","; - description = '' - The string by which Consul tags are joined into the tag label. - ''; - }; + token = mkOpt types.str "Consul token"; + + datacenter = mkOpt types.str "Consul datacenter"; + + scheme = mkDefOpt types.str "http" "Consul scheme"; + + username = mkOpt types.str "Consul username"; + + password = mkOpt types.str "Consul password"; + + tls_config = mkOpt promTypes.tls_config '' + Configures the Consul request's TLS settings. + ''; + + services = mkOpt (types.listOf types.str) '' + A list of services for which targets are retrieved. + ''; + + tags = mkOpt (types.listOf types.str) '' + An optional list of tags used to filter nodes for a given + service. Services must contain all tags in the list. + ''; + + node_meta = mkOpt (types.attrsOf types.str) '' + Node metadata used to filter nodes for a given service. + ''; + + tag_separator = mkDefOpt types.str "," '' + The string by which Consul tags are joined into the tag label. + ''; + + allow_stale = mkOpt types.bool '' + Allow stale Consul results + (see <link xlink:href="https://www.consul.io/api/index.html#consistency-modes"/>). + + Will reduce load on Consul. + ''; + + refresh_interval = mkDefOpt types.str "30s" '' + The time after which the provided names are refreshed. + + On large setup it might be a good idea to increase this value + because the catalog will change all the time. + ''; }; }; @@ -488,108 +446,74 @@ let Patterns for files from which target groups are extracted. Refer to the Prometheus documentation for permitted filename patterns and formats. - - ''; - }; - refresh_interval = mkOption { - type = types.str; - default = "30s"; - description = '' - Refresh interval to re-read the files. ''; }; + + refresh_interval = mkDefOpt types.str "5m" '' + Refresh interval to re-read the files. + ''; }; }; promTypes.relabel_config = types.submodule { options = { - source_labels = mkOption { - type = with types; nullOr (listOf str); - default = null; - description = '' - The source labels select values from existing labels. Their content - is concatenated using the configured separator and matched against - the configured regular expression. - ''; - }; - separator = mkOption { - type = types.str; - default = ";"; - description = '' - Separator placed between concatenated source label values. - ''; - }; - target_label = mkOption { - type = types.nullOr types.str; - default = null; - description = '' - Label to which the resulting value is written in a replace action. - It is mandatory for replace actions. - ''; - }; - regex = mkOption { - type = types.str; - default = "(.*)"; - description = '' - Regular expression against which the extracted value is matched. - ''; - }; - replacement = mkOption { - type = types.str; - default = "$1"; - description = '' - Replacement value against which a regex replace is performed if the - regular expression matches. - ''; - }; - action = mkOption { - type = types.enum ["replace" "keep" "drop"]; - default = "replace"; - description = '' - Action to perform based on regex matching. - ''; - }; + source_labels = mkOpt (types.listOf types.str) '' + The source labels select values from existing labels. Their content + is concatenated using the configured separator and matched against + the configured regular expression. + ''; + + separator = mkDefOpt types.str ";" '' + Separator placed between concatenated source label values. + ''; + + target_label = mkOpt types.str '' + Label to which the resulting value is written in a replace action. + It is mandatory for replace actions. + ''; + + regex = mkDefOpt types.str "(.*)" '' + Regular expression against which the extracted value is matched. + ''; + + modulus = mkOpt types.int '' + Modulus to take of the hash of the source label values. + ''; + + replacement = mkDefOpt types.str "$1" '' + Replacement value against which a regex replace is performed if the + regular expression matches. + ''; + + action = mkDefOpt (types.enum ["replace" "keep" "drop"]) "replace" '' + Action to perform based on regex matching. + ''; + }; }; promTypes.tls_config = types.submodule { options = { - ca_file = mkOption { - type = types.nullOr types.str; - default = null; - description = '' - CA certificate to validate API server certificate with. - ''; - }; - cert_file = mkOption { - type = types.nullOr types.str; - default = null; - description = '' - Certificate file for client cert authentication to the server. - ''; - }; - key_file = mkOption { - type = types.nullOr types.str; - default = null; - description = '' - Key file for client cert authentication to the server. - ''; - }; - server_name = mkOption { - type = types.nullOr types.str; - default = null; - description = '' - ServerName extension to indicate the name of the server. - http://tools.ietf.org/html/rfc4366#section-3.1 - ''; - }; - insecure_skip_verify = mkOption { - type = types.bool; - default = false; - description = '' - Disable validation of the server certificate. - ''; - }; + ca_file = mkOpt types.str '' + CA certificate to validate API server certificate with. + ''; + + cert_file = mkOpt types.str '' + Certificate file for client cert authentication to the server. + ''; + + key_file = mkOpt types.str '' + Key file for client cert authentication to the server. + ''; + + server_name = mkOpt types.str '' + ServerName extension to indicate the name of the server. + http://tools.ietf.org/html/rfc4366#section-3.1 + ''; + + insecure_skip_verify = mkOpt types.bool '' + Disable validation of the server certificate. + ''; }; }; @@ -662,7 +586,6 @@ in { globalConfig = mkOption { type = promTypes.globalConfig; default = {}; - apply = _filter; description = '' Parameters that are valid in all configuration contexts. They also serve as defaults for other configuration sections @@ -688,7 +611,6 @@ in { scrapeConfigs = mkOption { type = types.listOf promTypes.scrape_config; default = []; - apply = x: map _filter x; description = '' A list of scrape configurations. ''; @@ -786,7 +708,6 @@ in { globalConfig = mkOption { type = promTypes.globalConfig; default = {}; - apply = _filter; description = '' Parameters that are valid in all configuration contexts. They also serve as defaults for other configuration sections @@ -812,7 +733,6 @@ in { scrapeConfigs = mkOption { type = types.listOf promTypes.scrape_config; default = []; - apply = x: map _filter x; description = '' A list of scrape configurations. ''; diff --git a/nixpkgs/nixos/modules/services/network-filesystems/ipfs.nix b/nixpkgs/nixos/modules/services/network-filesystems/ipfs.nix index d4fa1eccdf3b..f19bf9d81396 100644 --- a/nixpkgs/nixos/modules/services/network-filesystems/ipfs.nix +++ b/nixpkgs/nixos/modules/services/network-filesystems/ipfs.nix @@ -226,18 +226,19 @@ in { ipfs.gid = config.ids.gids.ipfs; }; + systemd.tmpfiles.rules = [ + "d '${cfg.dataDir}' - ${cfg.user} ${cfg.group} - -" + ] ++ optionals cfg.autoMount [ + "d '${cfg.ipfsMountDir}' - ${cfg.user} ${cfg.group} - -" + "d '${cfg.ipnsMountDir}' - ${cfg.user} ${cfg.group} - -" + ]; + systemd.services.ipfs-init = recursiveUpdate commonEnv { description = "IPFS Initializer"; after = [ "local-fs.target" ]; before = [ "ipfs.service" "ipfs-offline.service" "ipfs-norouting.service" ]; - preStart = '' - install -m 0755 -o ${cfg.user} -g ${cfg.group} -d ${cfg.dataDir} - '' + optionalString cfg.autoMount '' - install -m 0755 -o ${cfg.user} -g ${cfg.group} -d ${cfg.ipfsMountDir} - install -m 0755 -o ${cfg.user} -g ${cfg.group} -d ${cfg.ipnsMountDir} - ''; script = '' if [[ ! -f ${cfg.dataDir}/config ]]; then ipfs init ${optionalString cfg.emptyRepo "-e"} \ @@ -253,7 +254,6 @@ in { serviceConfig = { Type = "oneshot"; RemainAfterExit = true; - PermissionsStartOnly = true; }; }; diff --git a/nixpkgs/nixos/modules/services/networking/i2pd.nix b/nixpkgs/nixos/modules/services/networking/i2pd.nix index 0e9b354cfcaf..40478b85b75e 100644 --- a/nixpkgs/nixos/modules/services/networking/i2pd.nix +++ b/nixpkgs/nixos/modules/services/networking/i2pd.nix @@ -470,7 +470,7 @@ in ''; }; - trust.hidden = mkEnableOption "Router concealment."; + trust.hidden = mkEnableOption "Router concealment"; websocket = mkEndpointOpt "websockets" "127.0.0.1" 7666; @@ -478,7 +478,7 @@ in exploratory.outbound = i2cpOpts "exploratory"; ntcp2.enable = mkEnableTrueOption "NTCP2."; - ntcp2.published = mkEnableOption "NTCP2 publication."; + ntcp2.published = mkEnableOption "NTCP2 publication"; ntcp2.port = mkOption { type = types.int; default = 0; diff --git a/nixpkgs/nixos/modules/services/networking/miredo.nix b/nixpkgs/nixos/modules/services/networking/miredo.nix index 8694d08385ca..2c8393fb5b41 100644 --- a/nixpkgs/nixos/modules/services/networking/miredo.nix +++ b/nixpkgs/nixos/modules/services/networking/miredo.nix @@ -20,7 +20,7 @@ in services.miredo = { - enable = mkEnableOption "the Miredo IPv6 tunneling service."; + enable = mkEnableOption "the Miredo IPv6 tunneling service"; package = mkOption { type = types.package; diff --git a/nixpkgs/nixos/modules/services/networking/monero.nix b/nixpkgs/nixos/modules/services/networking/monero.nix index 8241c32bad07..831e4d60d8da 100644 --- a/nixpkgs/nixos/modules/services/networking/monero.nix +++ b/nixpkgs/nixos/modules/services/networking/monero.nix @@ -51,7 +51,7 @@ in services.monero = { - enable = mkEnableOption "Monero node daemon."; + enable = mkEnableOption "Monero node daemon"; mining.enable = mkOption { type = types.bool; diff --git a/nixpkgs/nixos/modules/services/networking/mosquitto.nix b/nixpkgs/nixos/modules/services/networking/mosquitto.nix index 9974cbd89d1e..1d49c137723c 100644 --- a/nixpkgs/nixos/modules/services/networking/mosquitto.nix +++ b/nixpkgs/nixos/modules/services/networking/mosquitto.nix @@ -44,7 +44,7 @@ in options = { services.mosquitto = { - enable = mkEnableOption "Enable the MQTT Mosquitto broker."; + enable = mkEnableOption "the MQTT Mosquitto broker"; host = mkOption { default = "127.0.0.1"; @@ -65,7 +65,7 @@ in }; ssl = { - enable = mkEnableOption "Enable SSL listener."; + enable = mkEnableOption "SSL listener"; cafile = mkOption { type = types.nullOr types.path; diff --git a/nixpkgs/nixos/modules/services/networking/mxisd.nix b/nixpkgs/nixos/modules/services/networking/mxisd.nix index 0aa6d0d9ecd3..0b9824f29fd7 100644 --- a/nixpkgs/nixos/modules/services/networking/mxisd.nix +++ b/nixpkgs/nixos/modules/services/networking/mxisd.nix @@ -116,7 +116,6 @@ in { Group = "mxisd"; ExecStart = "${cfg.package}/bin/mxisd --spring.config.location=${cfg.dataDir}/ --spring.profiles.active=systemd --java.security.egd=file:/dev/./urandom"; WorkingDirectory = cfg.dataDir; - PermissionsStartOnly = true; SuccessExitStatus = 143; Restart = "on-failure"; }; diff --git a/nixpkgs/nixos/modules/services/networking/namecoind.nix b/nixpkgs/nixos/modules/services/networking/namecoind.nix index 8de23b442f93..a569ca87e262 100644 --- a/nixpkgs/nixos/modules/services/networking/namecoind.nix +++ b/nixpkgs/nixos/modules/services/networking/namecoind.nix @@ -1,3 +1,4 @@ + { config, lib, pkgs, ... }: with lib; @@ -43,7 +44,7 @@ in services.namecoind = { - enable = mkEnableOption "namecoind, Namecoin client."; + enable = mkEnableOption "namecoind, Namecoin client"; wallet = mkOption { type = types.path; diff --git a/nixpkgs/nixos/modules/services/networking/nullidentdmod.nix b/nixpkgs/nixos/modules/services/networking/nullidentdmod.nix index 786b5227dbad..b0d338a27941 100644 --- a/nixpkgs/nixos/modules/services/networking/nullidentdmod.nix +++ b/nixpkgs/nixos/modules/services/networking/nullidentdmod.nix @@ -3,7 +3,7 @@ in { options.services.nullidentdmod = with types; { - enable = mkEnableOption "Enable the nullidentdmod identd daemon"; + enable = mkEnableOption "the nullidentdmod identd daemon"; userid = mkOption { type = nullOr str; diff --git a/nixpkgs/nixos/modules/services/networking/smokeping.nix b/nixpkgs/nixos/modules/services/networking/smokeping.nix index 9ba6e48f417c..fab3ed5bb39d 100644 --- a/nixpkgs/nixos/modules/services/networking/smokeping.nix +++ b/nixpkgs/nixos/modules/services/networking/smokeping.nix @@ -285,12 +285,12 @@ in uid = config.ids.uids.smokeping; description = "smokeping daemon user"; home = smokepingHome; + createHome = true; }; systemd.services.smokeping = { wantedBy = [ "multi-user.target"]; serviceConfig = { User = cfg.user; - PermissionsStartOnly = true; Restart = "on-failure"; }; preStart = '' @@ -300,7 +300,6 @@ in cp ${cgiHome} ${smokepingHome}/smokeping.fcgi ${cfg.package}/bin/smokeping --check --config=${configPath} ${cfg.package}/bin/smokeping --static --config=${configPath} - chown -R ${cfg.user} ${smokepingHome} ''; script = ''${cfg.package}/bin/smokeping --config=${configPath} --nodaemon''; }; diff --git a/nixpkgs/nixos/modules/services/networking/syncthing.nix b/nixpkgs/nixos/modules/services/networking/syncthing.nix index 702481ec5177..114a64dfb175 100644 --- a/nixpkgs/nixos/modules/services/networking/syncthing.nix +++ b/nixpkgs/nixos/modules/services/networking/syncthing.nix @@ -151,7 +151,6 @@ in { RestartForceExitStatus="3 4"; User = cfg.user; Group = cfg.group; - PermissionsStartOnly = true; ExecStart = '' ${cfg.package}/bin/syncthing \ -no-browser \ diff --git a/nixpkgs/nixos/modules/services/networking/tedicross.nix b/nixpkgs/nixos/modules/services/networking/tedicross.nix new file mode 100644 index 000000000000..0716975f594a --- /dev/null +++ b/nixpkgs/nixos/modules/services/networking/tedicross.nix @@ -0,0 +1,100 @@ +{ config, pkgs, lib, ... }: + +with lib; + +let + dataDir = "/var/lib/tedicross"; + cfg = config.services.tedicross; + configJSON = pkgs.writeText "tedicross-settings.json" (builtins.toJSON cfg.config); + configYAML = pkgs.runCommand "tedicross-settings.yaml" { preferLocalBuild = true; } '' + ${pkgs.remarshal}/bin/json2yaml -i ${configJSON} -o $out + ''; + +in { + options = { + services.tedicross = { + enable = mkEnableOption "the TediCross Telegram-Discord bridge service"; + + config = mkOption { + type = types.attrs; + # from https://github.com/TediCross/TediCross/blob/master/example.settings.yaml + example = literalExample '' + { + telegram = { + useFirstNameInsteadOfUsername = false; + colonAfterSenderName = false; + skipOldMessages = true; + sendEmojiWithStickers = true; + }; + discord = { + useNickname = false; + skipOldMessages = true; + displayTelegramReplies = "embed"; + replyLength = 100; + }; + bridges = [ + { + name = "Default bridge"; + direction = "both"; + telegram = { + chatId = -123456789; + relayJoinMessages = true; + relayLeaveMessages = true; + sendUsernames = true; + ignoreCommands = true; + }; + discord = { + serverId = "DISCORD_SERVER_ID"; + channelId = "DISCORD_CHANNEL_ID"; + relayJoinMessages = true; + relayLeaveMessages = true; + sendUsernames = true; + crossDeleteOnTelegram = true; + }; + } + ]; + + debug = false; + } + ''; + description = '' + <filename>settings.yaml</filename> configuration as a Nix attribute set. + Secret tokens should be specified using <option>environmentFile</option> + instead of this world-readable file. + ''; + }; + + environmentFile = mkOption { + type = types.nullOr types.path; + default = null; + description = '' + File containing environment variables to be passed to the TediCross service, + in which secret tokens can be specified securely using the + <literal>TELEGRAM_BOT_TOKEN</literal> and <literal>DISCORD_BOT_TOKEN</literal> + keys. + ''; + }; + }; + }; + + config = mkIf cfg.enable { + # from https://github.com/TediCross/TediCross/blob/master/guides/autostart/Linux.md + systemd.services.tedicross = { + description = "TediCross Telegram-Discord bridge service"; + wantedBy = [ "multi-user.target" ]; + wants = [ "network-online.target" ]; + after = [ "network-online.target" ]; + serviceConfig = { + Type = "simple"; + ExecStart = "${pkgs.nodePackages.tedicross}/bin/tedicross --config='${configYAML}' --data-dir='${dataDir}'"; + Restart = "always"; + DynamicUser = true; + StateDirectory = baseNameOf dataDir; + EnvironmentFile = cfg.environmentFile; + }; + }; + }; + + meta.maintainers = with maintainers; [ pacien ]; +} + diff --git a/nixpkgs/nixos/modules/services/networking/toxvpn.nix b/nixpkgs/nixos/modules/services/networking/toxvpn.nix index b7655f4bec62..7830dfb1834c 100644 --- a/nixpkgs/nixos/modules/services/networking/toxvpn.nix +++ b/nixpkgs/nixos/modules/services/networking/toxvpn.nix @@ -5,7 +5,7 @@ with lib; { options = { services.toxvpn = { - enable = mkEnableOption "enable toxvpn running on startup"; + enable = mkEnableOption "toxvpn running on startup"; localip = mkOption { type = types.string; diff --git a/nixpkgs/nixos/modules/services/networking/wg-quick.nix b/nixpkgs/nixos/modules/services/networking/wg-quick.nix new file mode 100644 index 000000000000..b770d47d269e --- /dev/null +++ b/nixpkgs/nixos/modules/services/networking/wg-quick.nix @@ -0,0 +1,312 @@ +{ config, lib, pkgs, ... }: + +with lib; +let + cfg = config.networking.wg-quick; + + kernel = config.boot.kernelPackages; + + # interface options + + interfaceOpts = { ... }: { + options = { + address = mkOption { + example = [ "192.168.2.1/24" ]; + default = []; + type = with types; listOf str; + description = "The IP addresses of the interface."; + }; + + dns = mkOption { + example = [ "192.168.2.2" ]; + default = []; + type = with types; listOf str; + description = "The IP addresses of DNS servers to configure."; + }; + + privateKey = mkOption { + example = "yAnz5TF+lXXJte14tji3zlMNq+hd2rYUIgJBgB3fBmk="; + type = with types; nullOr str; + default = null; + description = '' + Base64 private key generated by wg genkey. + + Warning: Consider using privateKeyFile instead if you do not + want to store the key in the world-readable Nix store. + ''; + }; + + privateKeyFile = mkOption { + example = "/private/wireguard_key"; + type = with types; nullOr str; + default = null; + description = '' + Private key file as generated by wg genkey. + ''; + }; + + listenPort = mkOption { + default = null; + type = with types; nullOr int; + example = 51820; + description = '' + 16-bit port for listening. Optional; if not specified, + automatically generated based on interface name. + ''; + }; + + preUp = mkOption { + example = literalExample '' + ${pkgs.iproute}/bin/ip netns add foo + ''; + default = ""; + type = with types; coercedTo (listOf str) (concatStringsSep "\n") lines; + description = '' + Commands called at the start of the interface setup. + ''; + }; + + preDown = mkOption { + example = literalExample '' + ${pkgs.iproute}/bin/ip netns del foo + ''; + default = ""; + type = with types; coercedTo (listOf str) (concatStringsSep "\n") lines; + description = '' + Command called before the interface is taken down. + ''; + }; + + postUp = mkOption { + example = literalExample '' + ${pkgs.iproute}/bin/ip netns add foo + ''; + default = ""; + type = with types; coercedTo (listOf str) (concatStringsSep "\n") lines; + description = '' + Commands called after the interface setup. + ''; + }; + + postDown = mkOption { + example = literalExample '' + ${pkgs.iproute}/bin/ip netns del foo + ''; + default = ""; + type = with types; coercedTo (listOf str) (concatStringsSep "\n") lines; + description = '' + Command called after the interface is taken down. + ''; + }; + + table = mkOption { + example = "main"; + default = null; + type = with types; nullOr str; + description = '' + The kernel routing table to add this interface's + associated routes to. Setting this is useful for e.g. policy routing + ("ip rule") or virtual routing and forwarding ("ip vrf"). Both numeric + table IDs and table names (/etc/rt_tables) can be used. Defaults to + "main". + ''; + }; + + mtu = mkOption { + example = 1248; + default = null; + type = with types; nullOr int; + description = '' + If not specified, the MTU is automatically determined + from the endpoint addresses or the system default route, which is usually + a sane choice. However, to manually specify an MTU to override this + automatic discovery, this value may be specified explicitly. + ''; + }; + + peers = mkOption { + default = []; + description = "Peers linked to the interface."; + type = with types; listOf (submodule peerOpts); + }; + }; + }; + + # peer options + + peerOpts = { + options = { + publicKey = mkOption { + example = "xTIBA5rboUvnH4htodjb6e697QjLERt1NAB4mZqp8Dg="; + type = types.str; + description = "The base64 public key the peer."; + }; + + presharedKey = mkOption { + default = null; + example = "rVXs/Ni9tu3oDBLS4hOyAUAa1qTWVA3loR8eL20os3I="; + type = with types; nullOr str; + description = '' + Base64 preshared key generated by wg genpsk. Optional, + and may be omitted. This option adds an additional layer of + symmetric-key cryptography to be mixed into the already existing + public-key cryptography, for post-quantum resistance. + + Warning: Consider using presharedKeyFile instead if you do not + want to store the key in the world-readable Nix store. + ''; + }; + + presharedKeyFile = mkOption { + default = null; + example = "/private/wireguard_psk"; + type = with types; nullOr str; + description = '' + File pointing to preshared key as generated by wg pensk. Optional, + and may be omitted. This option adds an additional layer of + symmetric-key cryptography to be mixed into the already existing + public-key cryptography, for post-quantum resistance. + ''; + }; + + allowedIPs = mkOption { + example = [ "10.192.122.3/32" "10.192.124.1/24" ]; + type = with types; listOf str; + description = ''List of IP (v4 or v6) addresses with CIDR masks from + which this peer is allowed to send incoming traffic and to which + outgoing traffic for this peer is directed. The catch-all 0.0.0.0/0 may + be specified for matching all IPv4 addresses, and ::/0 may be specified + for matching all IPv6 addresses.''; + }; + + endpoint = mkOption { + default = null; + example = "demo.wireguard.io:12913"; + type = with types; nullOr str; + description = ''Endpoint IP or hostname of the peer, followed by a colon, + and then a port number of the peer.''; + }; + + persistentKeepalive = mkOption { + default = null; + type = with types; nullOr int; + example = 25; + description = ''This is optional and is by default off, because most + users will not need it. It represents, in seconds, between 1 and 65535 + inclusive, how often to send an authenticated empty packet to the peer, + for the purpose of keeping a stateful firewall or NAT mapping valid + persistently. For example, if the interface very rarely sends traffic, + but it might at anytime receive traffic from a peer, and it is behind + NAT, the interface might benefit from having a persistent keepalive + interval of 25 seconds; however, most users will not need this.''; + }; + }; + }; + + writeScriptFile = name: text: ((pkgs.writeShellScriptBin name text) + "/bin/${name}"); + + generateUnit = name: values: + assert assertMsg ((values.privateKey != null) != (values.privateKeyFile != null)) "Only one of privateKey or privateKeyFile may be set"; + let + preUpFile = if values.preUp != "" then writeScriptFile "preUp.sh" values.preUp else null; + postUp = + optional (values.privateKeyFile != null) "wg set ${name} private-key <(cat ${values.privateKeyFile})" ++ + (concatMap (peer: optional (peer.presharedKeyFile != null) "wg set ${name} peer ${peer.publicKey} preshared-key <(cat ${peer.presharedKeyFile})") values.peers) ++ + optional (values.postUp != null) values.postUp; + postUpFile = if postUp != [] then writeScriptFile "postUp.sh" (concatMapStringsSep "\n" (line: line) postUp) else null; + preDownFile = if values.preDown != "" then writeScriptFile "preDown.sh" values.preDown else null; + postDownFile = if values.postDown != "" then writeScriptFile "postDown.sh" values.postDown else null; + configDir = pkgs.writeTextFile { + name = "config-${name}"; + executable = false; + destination = "/${name}.conf"; + text = + '' + [interface] + ${concatMapStringsSep "\n" (address: + "Address = ${address}" + ) values.address} + ${concatMapStringsSep "\n" (dns: + "DNS = ${dns}" + ) values.dns} + '' + + optionalString (values.table != null) "Table = ${values.table}\n" + + optionalString (values.mtu != null) "MTU = ${toString values.mtu}\n" + + optionalString (values.privateKey != null) "PrivateKey = ${values.privateKey}\n" + + optionalString (values.listenPort != null) "ListenPort = ${toString values.listenPort}\n" + + optionalString (preUpFile != null) "PreUp = ${preUpFile}\n" + + optionalString (postUpFile != null) "PostUp = ${postUpFile}\n" + + optionalString (preDownFile != null) "PreDown = ${preDownFile}\n" + + optionalString (postDownFile != null) "PostDown = ${postDownFile}\n" + + concatMapStringsSep "\n" (peer: + assert assertMsg (!((peer.presharedKeyFile != null) && (peer.presharedKey != null))) "Only one of presharedKey or presharedKeyFile may be set"; + "[Peer]\n" + + "PublicKey = ${peer.publicKey}\n" + + optionalString (peer.presharedKey != null) "PresharedKey = ${peer.presharedKey}\n" + + optionalString (peer.endpoint != null) "Endpoint = ${peer.endpoint}\n" + + optionalString (peer.persistentKeepalive != null) "PersistentKeepalive = ${toString peer.persistentKeepalive}\n" + + optionalString (peer.allowedIPs != []) "AllowedIPs = ${concatStringsSep "," peer.allowedIPs}\n" + ) values.peers; + }; + configPath = "${configDir}/${name}.conf"; + in + nameValuePair "wg-quick-${name}" + { + description = "wg-quick WireGuard Tunnel - ${name}"; + requires = [ "network-online.target" ]; + after = [ "network.target" "network-online.target" ]; + wantedBy = [ "multi-user.target" ]; + environment.DEVICE = name; + path = [ pkgs.kmod pkgs.wireguard-tools ]; + + serviceConfig = { + Type = "oneshot"; + RemainAfterExit = true; + }; + + script = '' + ${optionalString (!config.boot.isContainer) "modprobe wireguard"} + wg-quick up ${configPath} + ''; + + preStop = '' + wg-quick down ${configPath} + ''; + }; +in { + + ###### interface + + options = { + networking.wg-quick = { + interfaces = mkOption { + description = "Wireguard interfaces."; + default = {}; + example = { + wg0 = { + address = [ "192.168.20.4/24" ]; + privateKey = "yAnz5TF+lXXJte14tji3zlMNq+hd2rYUIgJBgB3fBmk="; + peers = [ + { allowedIPs = [ "192.168.20.1/32" ]; + publicKey = "xTIBA5rboUvnH4htodjb6e697QjLERt1NAB4mZqp8Dg="; + endpoint = "demo.wireguard.io:12913"; } + ]; + }; + }; + type = with types; attrsOf (submodule interfaceOpts); + }; + }; + }; + + + ###### implementation + + config = mkIf (cfg.interfaces != {}) { + boot.extraModulePackages = [ kernel.wireguard ]; + environment.systemPackages = [ pkgs.wireguard-tools ]; + # This is forced to false for now because the default "--validmark" rpfilter we apply on reverse path filtering + # breaks the wg-quick routing because wireguard packets leave with a fwmark from wireguard. + networking.firewall.checkReversePath = false; + systemd.services = mapAttrs' generateUnit cfg.interfaces; + }; +} diff --git a/nixpkgs/nixos/modules/services/networking/wireguard.nix b/nixpkgs/nixos/modules/services/networking/wireguard.nix index 41aff1480a05..dd3cb1af2716 100644 --- a/nixpkgs/nixos/modules/services/networking/wireguard.nix +++ b/nixpkgs/nixos/modules/services/networking/wireguard.nix @@ -26,19 +26,28 @@ let type = with types; nullOr str; default = null; description = '' - Base64 private key generated by wg genkey. + Base64 private key generated by <command>wg genkey</command>. Warning: Consider using privateKeyFile instead if you do not want to store the key in the world-readable Nix store. ''; }; + generatePrivateKeyFile = mkOption { + default = false; + type = types.bool; + description = '' + Automatically generate a private key with + <command>wg genkey</command>, at the privateKeyFile location. + ''; + }; + privateKeyFile = mkOption { example = "/private/wireguard_key"; type = with types; nullOr str; default = null; description = '' - Private key file as generated by wg genkey. + Private key file as generated by <command>wg genkey</command>. ''; }; @@ -124,8 +133,8 @@ let example = "rVXs/Ni9tu3oDBLS4hOyAUAa1qTWVA3loR8eL20os3I="; type = with types; nullOr str; description = '' - Base64 preshared key generated by wg genpsk. Optional, - and may be omitted. This option adds an additional layer of + Base64 preshared key generated by <command>wg genpsk</command>. + Optional, and may be omitted. This option adds an additional layer of symmetric-key cryptography to be mixed into the already existing public-key cryptography, for post-quantum resistance. @@ -139,8 +148,8 @@ let example = "/private/wireguard_psk"; type = with types; nullOr str; description = '' - File pointing to preshared key as generated by wg pensk. Optional, - and may be omitted. This option adds an additional layer of + File pointing to preshared key as generated by <command>wg pensk</command>. + Optional, and may be omitted. This option adds an additional layer of symmetric-key cryptography to be mixed into the already existing public-key cryptography, for post-quantum resistance. ''; @@ -182,9 +191,48 @@ let }; - generateUnit = name: values: + + generatePathUnit = name: values: + assert (values.privateKey == null); + assert (values.privateKeyFile != null); + nameValuePair "wireguard-${name}" + { + description = "WireGuard Tunnel - ${name} - Private Key"; + requiredBy = [ "wireguard-${name}.service" ]; + before = [ "wireguard-${name}.service" ]; + pathConfig.PathExists = values.privateKeyFile; + }; + + generateKeyServiceUnit = name: values: + assert values.generatePrivateKeyFile; + nameValuePair "wireguard-${name}-key" + { + description = "WireGuard Tunnel - ${name} - Key Generator"; + wantedBy = [ "wireguard-${name}.service" ]; + requiredBy = [ "wireguard-${name}.service" ]; + before = [ "wireguard-${name}.service" ]; + path = with pkgs; [ wireguard ]; + + serviceConfig = { + Type = "oneshot"; + RemainAfterExit = true; + }; + + script = '' + mkdir --mode 0644 -p "${dirOf values.privateKeyFile}" + if [ ! -f "${values.privateKeyFile}" ]; then + touch "${values.privateKeyFile}" + chmod 0600 "${values.privateKeyFile}" + wg genkey > "${values.privateKeyFile}" + chmod 0400 "${values.privateKeyFile}" + fi + ''; + }; + + + generateSetupServiceUnit = name: values: # exactly one way to specify the private key must be set - assert (values.privateKey != null) != (values.privateKeyFile != null); + #assert (values.privateKey != null) != (values.privateKeyFile != null); let privKey = if values.privateKeyFile != null then values.privateKeyFile else pkgs.writeText "wg-key" values.privateKey; in nameValuePair "wireguard-${name}" @@ -279,10 +327,27 @@ in config = mkIf (cfg.interfaces != {}) { + assertions = (attrValues ( + mapAttrs (name: value: { + assertion = (value.privateKey != null) != (value.privateKeyFile != null); + message = "Either networking.wireguard.interfaces.${name}.privateKey or networking.wireguard.interfaces.${name}.privateKeyFile must be set."; + }) cfg.interfaces)) + ++ (attrValues ( + mapAttrs (name: value: { + assertion = value.generatePrivateKeyFile -> (value.privateKey == null); + message = "networking.wireguard.interfaces.${name}.generatePrivateKey must not be set if networking.wireguard.interfaces.${name}.privateKey is set."; + }) cfg.interfaces)); + + boot.extraModulePackages = [ kernel.wireguard ]; environment.systemPackages = [ pkgs.wireguard-tools ]; - systemd.services = mapAttrs' generateUnit cfg.interfaces; + systemd.services = (mapAttrs' generateSetupServiceUnit cfg.interfaces) + // (mapAttrs' generateKeyServiceUnit + (filterAttrs (name: value: value.generatePrivateKeyFile) cfg.interfaces)); + + systemd.paths = mapAttrs' generatePathUnit + (filterAttrs (name: value: value.privateKeyFile != null) cfg.interfaces); }; diff --git a/nixpkgs/nixos/modules/services/search/kibana.nix b/nixpkgs/nixos/modules/services/search/kibana.nix index ba58630a467a..2a6e8250850c 100644 --- a/nixpkgs/nixos/modules/services/search/kibana.nix +++ b/nixpkgs/nixos/modules/services/search/kibana.nix @@ -31,7 +31,7 @@ let in { options.services.kibana = { - enable = mkEnableOption "enable kibana service"; + enable = mkEnableOption "kibana service"; listenAddress = mkOption { description = "Kibana listening host"; diff --git a/nixpkgs/nixos/modules/services/search/solr.nix b/nixpkgs/nixos/modules/services/search/solr.nix index 6659cc8a2d1e..5ef7d9893a49 100644 --- a/nixpkgs/nixos/modules/services/search/solr.nix +++ b/nixpkgs/nixos/modules/services/search/solr.nix @@ -11,7 +11,7 @@ in { options = { services.solr = { - enable = mkEnableOption "Enables the solr service."; + enable = mkEnableOption "Solr"; # default to the 8.x series not forcing major version upgrade of those on the 7.x series package = mkOption { diff --git a/nixpkgs/nixos/modules/services/security/bitwarden_rs/backup.sh b/nixpkgs/nixos/modules/services/security/bitwarden_rs/backup.sh new file mode 100644 index 000000000000..264a7da9cbb6 --- /dev/null +++ b/nixpkgs/nixos/modules/services/security/bitwarden_rs/backup.sh @@ -0,0 +1,17 @@ +#!/usr/bin/env bash + +# Based on: https://github.com/dani-garcia/bitwarden_rs/wiki/Backing-up-your-vault +if ! mkdir -p "$BACKUP_FOLDER"; then + echo "Could not create backup folder '$BACKUP_FOLDER'" >&2 + exit 1 +fi + +if [[ ! -f "$DATA_FOLDER"/db.sqlite3 ]]; then + echo "Could not find SQLite database file '$DATA_FOLDER/db.sqlite3'" >&2 + exit 1 +fi + +sqlite3 "$DATA_FOLDER"/db.sqlite3 ".backup '$BACKUP_FOLDER/db.sqlite3'" +cp "$DATA_FOLDER"/rsa_key.{der,pem,pub.der} "$BACKUP_FOLDER" +cp -r "$DATA_FOLDER"/attachments "$BACKUP_FOLDER" +cp -r "$DATA_FOLDER"/icon_cache "$BACKUP_FOLDER" diff --git a/nixpkgs/nixos/modules/services/security/bitwarden_rs/default.nix b/nixpkgs/nixos/modules/services/security/bitwarden_rs/default.nix new file mode 100644 index 000000000000..bb036ee020f4 --- /dev/null +++ b/nixpkgs/nixos/modules/services/security/bitwarden_rs/default.nix @@ -0,0 +1,126 @@ +{ config, lib, pkgs, ... }: + +with lib; + +let + cfg = config.services.bitwarden_rs; + user = config.users.users.bitwarden_rs.name; + group = config.users.groups.bitwarden_rs.name; + + # Convert name from camel case (e.g. disable2FARemember) to upper case snake case (e.g. DISABLE_2FA_REMEMBER). + nameToEnvVar = name: + let + parts = builtins.split "([A-Z0-9]+)" name; + partsToEnvVar = parts: foldl' (key: x: let last = stringLength key - 1; in + if isList x then key + optionalString (key != "" && substring last 1 key != "_") "_" + head x + else if key != "" && elem (substring 0 1 x) lowerChars then # to handle e.g. [ "disable" [ "2FAR" ] "emember" ] + substring 0 last key + optionalString (substring (last - 1) 1 key != "_") "_" + substring last 1 key + toUpper x + else key + toUpper x) "" parts; + in if builtins.match "[A-Z0-9_]+" name != null then name else partsToEnvVar parts; + + configFile = pkgs.writeText "bitwarden_rs.env" (concatMapStrings (s: s + "\n") ( + (concatLists (mapAttrsToList (name: value: + if value != null then [ "${nameToEnvVar name}=${if isBool value then boolToString value else toString value}" ] else [] + ) cfg.config)))); + +in { + options.services.bitwarden_rs = with types; { + enable = mkEnableOption "bitwarden_rs"; + + backupDir = mkOption { + type = nullOr str; + default = null; + description = '' + The directory under which bitwarden_rs will backup its persistent data. + ''; + }; + + config = mkOption { + type = attrsOf (nullOr (either (either bool int) str)); + default = {}; + example = literalExample '' + { + domain = https://bw.domain.tld:8443; + signupsAllowed = true; + rocketPort = 8222; + rocketLog = "critical"; + } + ''; + description = '' + The configuration of bitwarden_rs is done through environment variables, + therefore the names are converted from camel case (e.g. disable2FARemember) + to upper case snake case (e.g. DISABLE_2FA_REMEMBER). + In this conversion digits (0-9) are handled just like upper case characters, + so foo2 would be converted to FOO_2. + Names already in this format remain unchanged, so FOO2 remains FOO2 if passed as such, + even though foo2 would have been converted to FOO_2. + This allows working around any potential future conflicting naming conventions. + + Based on the attributes passed to this config option a environment file will be generated + that is passed to bitwarden_rs's systemd service. + + The available configuration options can be found in + <link xlink:href="https://github.com/dani-garcia/bitwarden_rs/blob/1.8.0/.env.template">the environment template file</link>. + ''; + apply = config: optionalAttrs config.webVaultEnabled { + webVaultFolder = "${pkgs.bitwarden_rs-vault}/share/bitwarden_rs/vault"; + } // config; + }; + }; + + config = mkIf cfg.enable { + services.bitwarden_rs.config = { + dataFolder = "/var/lib/bitwarden_rs"; + webVaultEnabled = mkDefault true; + }; + + users.users.bitwarden_rs = { inherit group; }; + users.groups.bitwarden_rs = { }; + + systemd.services.bitwarden_rs = { + after = [ "network.target" ]; + path = with pkgs; [ openssl ]; + serviceConfig = { + User = user; + Group = group; + EnvironmentFile = configFile; + ExecStart = "${pkgs.bitwarden_rs}/bin/bitwarden_rs"; + LimitNOFILE = "1048576"; + LimitNPROC = "64"; + PrivateTmp = "true"; + PrivateDevices = "true"; + ProtectHome = "true"; + ProtectSystem = "strict"; + AmbientCapabilities = "CAP_NET_BIND_SERVICE"; + StateDirectory = "bitwarden_rs"; + }; + wantedBy = [ "multi-user.target" ]; + }; + + systemd.services.backup-bitwarden_rs = mkIf (cfg.backupDir != null) { + description = "Backup bitwarden_rs"; + environment = { + DATA_FOLDER = "/var/lib/bitwarden_rs"; + BACKUP_FOLDER = cfg.backupDir; + }; + path = with pkgs; [ sqlite ]; + serviceConfig = { + SyslogIdentifier = "backup-bitwarden_rs"; + User = mkDefault user; + Group = mkDefault group; + ExecStart = "${pkgs.bash}/bin/bash ${./backup.sh}"; + }; + wantedBy = [ "multi-user.target" ]; + }; + + systemd.timers.backup-bitwarden_rs = mkIf (cfg.backupDir != null) { + description = "Backup bitwarden_rs on time"; + timerConfig = { + OnCalendar = mkDefault "23:00"; + Persistent = "true"; + Unit = "backup-bitwarden_rs.service"; + }; + wantedBy = [ "multi-user.target" ]; + }; + }; +} diff --git a/nixpkgs/nixos/modules/services/security/munge.nix b/nixpkgs/nixos/modules/services/security/munge.nix index 504bc66c6d10..1c4f8e20552f 100644 --- a/nixpkgs/nixos/modules/services/security/munge.nix +++ b/nixpkgs/nixos/modules/services/security/munge.nix @@ -49,21 +49,16 @@ in path = [ pkgs.munge pkgs.coreutils ]; - preStart = '' - chmod 0400 ${cfg.password} - mkdir -p /var/lib/munge -m 0711 - chown -R munge:munge /var/lib/munge - mkdir -p /run/munge -m 0755 - chown -R munge:munge /run/munge - ''; - serviceConfig = { + ExecStartPre = "+${pkgs.coreutils}/bin/chmod 0400 ${cfg.password}"; ExecStart = "${pkgs.munge}/bin/munged --syslog --key-file ${cfg.password}"; PIDFile = "/run/munge/munged.pid"; ExecReload = "${pkgs.coreutils}/bin/kill -HUP $MAINPID"; - PermissionsStartOnly = "true"; User = "munge"; Group = "munge"; + StateDirectory = "munge"; + StateDirectoryMode = "0711"; + RuntimeDirectory = "munge"; }; }; diff --git a/nixpkgs/nixos/modules/services/security/vault.nix b/nixpkgs/nixos/modules/services/security/vault.nix index 0b28bc894458..8176c168ca94 100644 --- a/nixpkgs/nixos/modules/services/security/vault.nix +++ b/nixpkgs/nixos/modules/services/security/vault.nix @@ -119,6 +119,10 @@ in }; users.groups.vault.gid = config.ids.gids.vault; + systemd.tmpfiles.rules = optional (cfg.storagePath != null) [ + "d '${cfg.storagePath}' 0700 vault vault - -" + ]; + systemd.services.vault = { description = "Vault server daemon"; @@ -128,14 +132,9 @@ in restartIfChanged = false; # do not restart on "nixos-rebuild switch". It would seal the storage and disrupt the clients. - preStart = optionalString (cfg.storagePath != null) '' - install -d -m0700 -o vault -g vault "${cfg.storagePath}" - ''; - serviceConfig = { User = "vault"; Group = "vault"; - PermissionsStartOnly = true; ExecStart = "${cfg.package}/bin/vault server -config ${configFile}"; PrivateDevices = true; PrivateTmp = true; diff --git a/nixpkgs/nixos/modules/services/torrent/peerflix.nix b/nixpkgs/nixos/modules/services/torrent/peerflix.nix index bed6661f84d6..a74f65984328 100644 --- a/nixpkgs/nixos/modules/services/torrent/peerflix.nix +++ b/nixpkgs/nixos/modules/services/torrent/peerflix.nix @@ -39,6 +39,10 @@ in { ###### implementation config = mkIf cfg.enable { + systemd.tmpfiles.rules = [ + "d '${cfg.stateDir}' - peerflix - - -" + ]; + systemd.services.peerflix = { description = "Peerflix Daemon"; wantedBy = [ "multi-user.target" ]; @@ -47,13 +51,11 @@ in { preStart = '' mkdir -p "${cfg.stateDir}"/{torrents,.config/peerflix-server} - if [ "$(id -u)" = 0 ]; then chown -R peerflix "${cfg.stateDir}"; fi ln -fs "${configFile}" "${cfg.stateDir}/.config/peerflix-server/config.json" ''; serviceConfig = { ExecStart = "${pkgs.nodePackages.peerflix-server}/bin/peerflix-server"; - PermissionsStartOnly = true; User = "peerflix"; }; }; diff --git a/nixpkgs/nixos/modules/services/web-apps/codimd.nix b/nixpkgs/nixos/modules/services/web-apps/codimd.nix index ee2fc2b9d857..7ae7cd9c52d8 100644 --- a/nixpkgs/nixos/modules/services/web-apps/codimd.nix +++ b/nixpkgs/nixos/modules/services/web-apps/codimd.nix @@ -899,10 +899,6 @@ in description = "CodiMD Service"; wantedBy = [ "multi-user.target" ]; after = [ "networking.target" ]; - preStart = '' - mkdir -p ${cfg.workDir} - chown -R codimd: ${cfg.workDir} - ''; serviceConfig = { WorkingDirectory = cfg.workDir; ExecStart = "${pkgs.codimd}/bin/codimd"; @@ -912,7 +908,6 @@ in ]; Restart = "always"; User = "codimd"; - PermissionsStartOnly = true; PrivateTmp = true; }; }; diff --git a/nixpkgs/nixos/modules/services/web-apps/nexus.nix b/nixpkgs/nixos/modules/services/web-apps/nexus.nix index 050f8757fa5f..052dbed6d4f8 100644 --- a/nixpkgs/nixos/modules/services/web-apps/nexus.nix +++ b/nixpkgs/nixos/modules/services/web-apps/nexus.nix @@ -83,6 +83,8 @@ in users.users."${cfg.user}" = { isSystemUser = true; group = cfg.group; + home = cfg.home; + createHome = true; }; users.groups."${cfg.group}" = {}; @@ -104,8 +106,6 @@ in preStart = '' mkdir -p ${cfg.home}/nexus3/etc - chown -R ${cfg.user}:${cfg.group} ${cfg.home} - if [ ! -f ${cfg.home}/nexus3/etc/nexus.properties ]; then echo "# Jetty section" > ${cfg.home}/nexus3/etc/nexus.properties echo "application-port=${toString cfg.listenPort}" >> ${cfg.home}/nexus3/etc/nexus.properties @@ -124,7 +124,6 @@ in User = cfg.user; Group = cfg.group; PrivateTmp = true; - PermissionsStartOnly = true; LimitNOFILE = 102642; }; }; diff --git a/nixpkgs/nixos/modules/services/web-servers/minio.nix b/nixpkgs/nixos/modules/services/web-servers/minio.nix index f78a966989b6..cd123000f009 100644 --- a/nixpkgs/nixos/modules/services/web-servers/minio.nix +++ b/nixpkgs/nixos/modules/services/web-servers/minio.nix @@ -72,19 +72,16 @@ in }; config = mkIf cfg.enable { + systemd.tmpfiles.rules = [ + "d '${cfg.configDir}' - minio minio - -" + "d '${cfg.dataDir}' - minio minio - -" + ]; + systemd.services.minio = { description = "Minio Object Storage"; after = [ "network.target" ]; wantedBy = [ "multi-user.target" ]; - preStart = '' - # Make sure directories exist with correct owner - mkdir -p ${cfg.configDir} - chown -R minio:minio ${cfg.configDir} - mkdir -p ${cfg.dataDir} - chown minio:minio ${cfg.dataDir} - ''; serviceConfig = { - PermissionsStartOnly = true; ExecStart = "${cfg.package}/bin/minio server --json --address ${cfg.listenAddress} --config-dir=${cfg.configDir} ${cfg.dataDir}"; Type = "simple"; User = "minio"; diff --git a/nixpkgs/nixos/modules/services/web-servers/traefik.nix b/nixpkgs/nixos/modules/services/web-servers/traefik.nix index 4674ed0177e6..5bac895d43ac 100644 --- a/nixpkgs/nixos/modules/services/web-servers/traefik.nix +++ b/nixpkgs/nixos/modules/services/web-servers/traefik.nix @@ -84,18 +84,16 @@ in { }; config = mkIf cfg.enable { + systemd.tmpfiles.rules = [ + "d '${cfg.dataDir}' 0700 traefik traefik - -" + ]; + systemd.services.traefik = { description = "Traefik web server"; after = [ "network-online.target" ]; wantedBy = [ "multi-user.target" ]; serviceConfig = { - PermissionsStartOnly = true; ExecStart = ''${cfg.package.bin}/bin/traefik --configfile=${configFile}''; - ExecStartPre = [ - ''${pkgs.coreutils}/bin/mkdir -p "${cfg.dataDir}"'' - ''${pkgs.coreutils}/bin/chmod 700 "${cfg.dataDir}"'' - ''${pkgs.coreutils}/bin/chown -R traefik:traefik "${cfg.dataDir}"'' - ]; Type = "simple"; User = "traefik"; Group = cfg.group; diff --git a/nixpkgs/nixos/modules/services/x11/desktop-managers/gnome3.nix b/nixpkgs/nixos/modules/services/x11/desktop-managers/gnome3.nix index 3d748d4308b7..9bf03a494701 100644 --- a/nixpkgs/nixos/modules/services/x11/desktop-managers/gnome3.nix +++ b/nixpkgs/nixos/modules/services/x11/desktop-managers/gnome3.nix @@ -75,7 +75,7 @@ in { debug = mkEnableOption "gnome-session debug messages"; flashback = { - enableMetacity = mkEnableOption "Enable the standard GNOME Flashback session with Metacity."; + enableMetacity = mkEnableOption "the standard GNOME Flashback session with Metacity"; customSessions = mkOption { type = types.listOf (types.submodule { diff --git a/nixpkgs/nixos/modules/services/x11/desktop-managers/maxx.nix b/nixpkgs/nixos/modules/services/x11/desktop-managers/maxx.nix index d7bd2fc5eb0c..6a698658bdd6 100644 --- a/nixpkgs/nixos/modules/services/x11/desktop-managers/maxx.nix +++ b/nixpkgs/nixos/modules/services/x11/desktop-managers/maxx.nix @@ -13,6 +13,12 @@ in { config = mkIf (xcfg.enable && cfg.enable) { environment.systemPackages = [ pkgs.maxx ]; + # there is hardcoded path in binaries + system.activationScripts.setup-maxx = '' + mkdir -p /opt + ln -sfn ${pkgs.maxx}/opt/MaXX /opt + ''; + services.xserver.desktopManager.session = [ { name = "MaXX"; start = '' diff --git a/nixpkgs/nixos/modules/services/x11/display-managers/startx.nix b/nixpkgs/nixos/modules/services/x11/display-managers/startx.nix index 15609540a6e7..570469843586 100644 --- a/nixpkgs/nixos/modules/services/x11/display-managers/startx.nix +++ b/nixpkgs/nixos/modules/services/x11/display-managers/startx.nix @@ -20,8 +20,8 @@ in Whether to enable the dummy "startx" pseudo-display manager, which allows users to start X manually via the "startx" command from a vt shell. The X server runs under the user's id, not as root. - The user must provide a ~/.xinintrc file containing session startup - commands, see startx(1). This is not autmatically generated + The user must provide a ~/.xinitrc file containing session startup + commands, see startx(1). This is not automatically generated from the desktopManager and windowManager settings. ''; }; diff --git a/nixpkgs/nixos/modules/services/x11/display-managers/xpra.nix b/nixpkgs/nixos/modules/services/x11/display-managers/xpra.nix index 40a1680da537..c23e479140f0 100644 --- a/nixpkgs/nixos/modules/services/x11/display-managers/xpra.nix +++ b/nixpkgs/nixos/modules/services/x11/display-managers/xpra.nix @@ -33,7 +33,7 @@ in description = "Authentication to use when connecting to xpra"; }; - pulseaudio = mkEnableOption "pulseaudio audio streaming."; + pulseaudio = mkEnableOption "pulseaudio audio streaming"; extraOptions = mkOption { description = "Extra xpra options"; diff --git a/nixpkgs/nixos/modules/system/boot/stage-1-init.sh b/nixpkgs/nixos/modules/system/boot/stage-1-init.sh index 6dafc6cddde7..67cbe720ddc3 100644 --- a/nixpkgs/nixos/modules/system/boot/stage-1-init.sh +++ b/nixpkgs/nixos/modules/system/boot/stage-1-init.sh @@ -555,7 +555,7 @@ echo /sbin/modprobe > /proc/sys/kernel/modprobe # Start stage 2. `switch_root' deletes all files in the ramfs on the # current root. Note that $stage2Init might be an absolute symlink, # in which case "-e" won't work because we're not in the chroot yet. -if ! test -e "$targetRoot/$stage2Init" -o ! -L "$targetRoot/$stage2Init"; then +if [ ! -e "$targetRoot/$stage2Init" ] && [ ! -L "$targetRoot/$stage2Init" ] ; then echo "stage 2 init script ($targetRoot/$stage2Init) not found" fail fi diff --git a/nixpkgs/nixos/modules/system/boot/stage-1.nix b/nixpkgs/nixos/modules/system/boot/stage-1.nix index 8702abd3df83..788e3f4a2ab1 100644 --- a/nixpkgs/nixos/modules/system/boot/stage-1.nix +++ b/nixpkgs/nixos/modules/system/boot/stage-1.nix @@ -32,7 +32,7 @@ let fileSystems = filter utils.fsNeededForBoot config.system.build.fileSystems; # A utility for enumerating the shared-library dependencies of a program - findLibs = pkgs.writeShellScriptBin "find-libs" '' + findLibs = pkgs.buildPackages.writeShellScriptBin "find-libs" '' set -euo pipefail declare -A seen diff --git a/nixpkgs/nixos/modules/tasks/filesystems/btrfs.nix b/nixpkgs/nixos/modules/tasks/filesystems/btrfs.nix index 1384873b6631..48be18c71021 100644 --- a/nixpkgs/nixos/modules/tasks/filesystems/btrfs.nix +++ b/nixpkgs/nixos/modules/tasks/filesystems/btrfs.nix @@ -19,7 +19,7 @@ in # One could also do regular btrfs balances, but that shouldn't be necessary # during normal usage and as long as the filesystems aren't filled near capacity services.btrfs.autoScrub = { - enable = mkEnableOption "Enable regular btrfs scrub"; + enable = mkEnableOption "regular btrfs scrub"; fileSystems = mkOption { type = types.listOf types.path; diff --git a/nixpkgs/nixos/modules/virtualisation/ec2-amis.nix b/nixpkgs/nixos/modules/virtualisation/ec2-amis.nix index aaea06bb9a63..f640bb21b133 100644 --- a/nixpkgs/nixos/modules/virtualisation/ec2-amis.nix +++ b/nixpkgs/nixos/modules/virtualisation/ec2-amis.nix @@ -274,5 +274,22 @@ let self = { "18.09".sa-east-1.hvm-ebs = "ami-0e4a8a47fd6db6112"; "18.09".ap-south-1.hvm-ebs = "ami-0880a678d3f555313"; - latest = self."18.09"; + # 19.03.172286.8ea36d73256 + "19.03".eu-west-1.hvm-ebs = "ami-0fe40176548ff0940"; + "19.03".eu-west-2.hvm-ebs = "ami-03a40fd3a02fe95ba"; + "19.03".eu-west-3.hvm-ebs = "ami-0436f9da0f20a638e"; + "19.03".eu-central-1.hvm-ebs = "ami-0022b8ea9efde5de4"; + "19.03".us-east-1.hvm-ebs = "ami-0efc58fb70ae9a217"; + "19.03".us-east-2.hvm-ebs = "ami-0abf711b1b34da1af"; + "19.03".us-west-1.hvm-ebs = "ami-07d126e8838c40ec5"; + "19.03".us-west-2.hvm-ebs = "ami-03f8a737546e47fb0"; + "19.03".ca-central-1.hvm-ebs = "ami-03f9fd0ef2e035ede"; + "19.03".ap-southeast-1.hvm-ebs = "ami-0cff66114c652c262"; + "19.03".ap-southeast-2.hvm-ebs = "ami-054c73a7f8d773ea9"; + "19.03".ap-northeast-1.hvm-ebs = "ami-00db62688900456a4"; + "19.03".ap-northeast-2.hvm-ebs = "ami-0485cdd1a5fdd2117"; + "19.03".sa-east-1.hvm-ebs = "ami-0c6a43c6e0ad1f4e2"; + "19.03".ap-south-1.hvm-ebs = "ami-0303deb1b5890f878"; + + latest = self."19.03"; }; in self diff --git a/nixpkgs/nixos/modules/virtualisation/virtualbox-image.nix b/nixpkgs/nixos/modules/virtualisation/virtualbox-image.nix index 903411799d3e..ab65523592d7 100644 --- a/nixpkgs/nixos/modules/virtualisation/virtualbox-image.nix +++ b/nixpkgs/nixos/modules/virtualisation/virtualbox-image.nix @@ -100,6 +100,11 @@ in { boot.growPartition = true; boot.loader.grub.device = "/dev/sda"; + swapDevices = [{ + device = "/var/swap"; + size = 2048; + }]; + virtualisation.virtualbox.guest.enable = true; }; diff --git a/nixpkgs/nixos/tests/all-tests.nix b/nixpkgs/nixos/tests/all-tests.nix index 8b27ff808e6b..a1871ee5f903 100644 --- a/nixpkgs/nixos/tests/all-tests.nix +++ b/nixpkgs/nixos/tests/all-tests.nix @@ -23,6 +23,7 @@ in { acme = handleTestOn ["x86_64-linux"] ./acme.nix {}; atd = handleTest ./atd.nix {}; + automysqlbackup = handleTest ./automysqlbackup.nix {}; avahi = handleTest ./avahi.nix {}; bcachefs = handleTestOn ["x86_64-linux"] ./bcachefs.nix {}; # linux-4.18.2018.10.12 is unsupported on aarch64 beanstalkd = handleTest ./beanstalkd.nix {}; @@ -143,6 +144,7 @@ in misc = handleTest ./misc.nix {}; mongodb = handleTest ./mongodb.nix {}; morty = handleTest ./morty.nix {}; + mosquitto = handleTest ./mosquitto.nix {}; mpd = handleTest ./mpd.nix {}; mumble = handleTest ./mumble.nix {}; munin = handleTest ./munin.nix {}; @@ -237,6 +239,7 @@ in vault = handleTest ./vault.nix {}; virtualbox = handleTestOn ["x86_64-linux"] ./virtualbox.nix {}; wireguard = handleTest ./wireguard {}; + wireguard-generated = handleTest ./wireguard/generated.nix {}; wordpress = handleTest ./wordpress.nix {}; xautolock = handleTest ./xautolock.nix {}; xdg-desktop-portal = handleTest ./xdg-desktop-portal.nix {}; diff --git a/nixpkgs/nixos/tests/automysqlbackup.nix b/nixpkgs/nixos/tests/automysqlbackup.nix new file mode 100644 index 000000000000..ada104a34de3 --- /dev/null +++ b/nixpkgs/nixos/tests/automysqlbackup.nix @@ -0,0 +1,34 @@ +import ./make-test.nix ({ pkgs, lib, ... }: + +{ + name = "automysqlbackup"; + meta.maintainers = [ lib.maintainers.aanderse ]; + + machine = + { pkgs, ... }: + { + services.mysql.enable = true; + services.mysql.package = pkgs.mysql; + services.mysql.initialDatabases = [ { name = "testdb"; schema = ./testdb.sql; } ]; + + services.automysqlbackup.enable = true; + }; + + testScript = '' + startAll; + + # Need to have mysql started so that it can be populated with data. + $machine->waitForUnit("mysql.service"); + + # Wait for testdb to be fully populated (5 rows). + $machine->waitUntilSucceeds("mysql -u root -D testdb -N -B -e 'select count(id) from tests' | grep -q 5"); + + # Do a backup and wait for it to start + $machine->startJob("automysqlbackup.service"); + $machine->waitForJob("automysqlbackup.service"); + + # wait for backup file and check that data appears in backup + $machine->waitForFile("/var/backup/mysql/daily/testdb"); + $machine->succeed("${pkgs.gzip}/bin/zcat /var/backup/mysql/daily/testdb/daily_testdb_*.sql.gz | grep hello"); + ''; +}) diff --git a/nixpkgs/nixos/tests/kubernetes/base.nix b/nixpkgs/nixos/tests/kubernetes/base.nix index ec1a75e74c41..212023859f6d 100644 --- a/nixpkgs/nixos/tests/kubernetes/base.nix +++ b/nixpkgs/nixos/tests/kubernetes/base.nix @@ -30,7 +30,10 @@ let { config, pkgs, lib, nodes, ... }: mkMerge [ { - boot.postBootCommands = "rm -fr /var/lib/kubernetes/secrets /tmp/shared/*"; + boot = { + postBootCommands = "rm -fr /var/lib/kubernetes/secrets /tmp/shared/*"; + kernel.sysctl = { "fs.inotify.max_user_instances" = 256; }; + }; virtualisation.memorySize = mkDefault 1536; virtualisation.diskSize = mkDefault 4096; networking = { diff --git a/nixpkgs/nixos/tests/kubernetes/dns.nix b/nixpkgs/nixos/tests/kubernetes/dns.nix index 46bcb01a5265..e7db0a58ab61 100644 --- a/nixpkgs/nixos/tests/kubernetes/dns.nix +++ b/nixpkgs/nixos/tests/kubernetes/dns.nix @@ -77,6 +77,7 @@ let singleNodeTest = { test = '' # prepare machine1 for test + $machine1->waitForUnit("kubernetes.target"); $machine1->waitUntilSucceeds("kubectl get node machine1.${domain} | grep -w Ready"); $machine1->waitUntilSucceeds("docker load < ${redisImage}"); $machine1->waitUntilSucceeds("kubectl create -f ${redisPod}"); @@ -102,6 +103,8 @@ let # Node token exchange $machine1->waitUntilSucceeds("cp -f /var/lib/cfssl/apitoken.secret /tmp/shared/apitoken.secret"); $machine2->waitUntilSucceeds("cat /tmp/shared/apitoken.secret | nixos-kubernetes-node-join"); + $machine1->waitForUnit("kubernetes.target"); + $machine2->waitForUnit("kubernetes.target"); # prepare machines for test $machine1->waitUntilSucceeds("kubectl get node machine2.${domain} | grep -w Ready"); diff --git a/nixpkgs/nixos/tests/kubernetes/rbac.nix b/nixpkgs/nixos/tests/kubernetes/rbac.nix index 3ce7adcd0d71..967fe506004f 100644 --- a/nixpkgs/nixos/tests/kubernetes/rbac.nix +++ b/nixpkgs/nixos/tests/kubernetes/rbac.nix @@ -94,6 +94,8 @@ let singlenode = base // { test = '' + $machine1->waitForUnit("kubernetes.target"); + $machine1->waitUntilSucceeds("kubectl get node machine1.my.zyx | grep -w Ready"); $machine1->waitUntilSucceeds("docker load < ${kubectlImage}"); @@ -116,6 +118,8 @@ let # Node token exchange $machine1->waitUntilSucceeds("cp -f /var/lib/cfssl/apitoken.secret /tmp/shared/apitoken.secret"); $machine2->waitUntilSucceeds("cat /tmp/shared/apitoken.secret | nixos-kubernetes-node-join"); + $machine1->waitForUnit("kubernetes.target"); + $machine2->waitForUnit("kubernetes.target"); $machine1->waitUntilSucceeds("kubectl get node machine2.my.zyx | grep -w Ready"); diff --git a/nixpkgs/nixos/tests/mosquitto.nix b/nixpkgs/nixos/tests/mosquitto.nix new file mode 100644 index 000000000000..86b7f9c044d8 --- /dev/null +++ b/nixpkgs/nixos/tests/mosquitto.nix @@ -0,0 +1,69 @@ +import ./make-test.nix ({ pkgs, ... }: + +let + port = 1888; + username = "mqtt"; + password = "VERY_secret"; + topic = "test/foo"; + + cmd = bin: pkgs.lib.concatStringsSep " " [ + "${pkgs.mosquitto}/bin/mosquitto_${bin}" + "-V mqttv311" + "-h server" + "-p ${toString port}" + "-u ${username}" + "-P '${password}'" + "-t ${topic}" + ]; + +in rec { + name = "mosquitto"; + meta = with pkgs.stdenv.lib; { + maintainers = with maintainers; [ peterhoeg ]; + }; + + nodes = let + client = { pkgs, ... }: { + environment.systemPackages = with pkgs; [ mosquitto ]; + }; + in { + server = { pkgs, ... }: { + networking.firewall.allowedTCPPorts = [ port ]; + services.mosquitto = { + inherit port; + enable = true; + host = "0.0.0.0"; + checkPasswords = true; + users."${username}" = { + inherit password; + acl = [ + "topic readwrite ${topic}" + ]; + }; + }; + }; + + client1 = client; + client2 = client; + }; + + testScript = let + file = "/tmp/msg"; + payload = "wootWOOT"; + in '' + startAll; + $server->waitForUnit("mosquitto.service"); + + $server->fail("test -f ${file}"); + $server->execute("(${cmd "sub"} -C 1 | tee ${file} &)"); + + $client1->fail("test -f ${file}"); + $client1->execute("(${cmd "sub"} -C 1 | tee ${file} &)"); + + $client2->succeed("${cmd "pub"} -m ${payload}"); + + $server->succeed("grep -q ${payload} ${file}"); + + $client1->succeed("grep -q ${payload} ${file}"); + ''; +}) diff --git a/nixpkgs/nixos/tests/nginx.nix b/nixpkgs/nixos/tests/nginx.nix index a4d14986a146..d66d99821c11 100644 --- a/nixpkgs/nixos/tests/nginx.nix +++ b/nixpkgs/nixos/tests/nginx.nix @@ -1,18 +1,19 @@ # verifies: # 1. nginx generates config file with shared http context definitions above # generated virtual hosts config. +# 2. whether the ETag header is properly generated whenever we're serving +# files in Nix store paths -import ./make-test.nix ({ pkgs, ...} : { +import ./make-test.nix ({ pkgs, ... }: { name = "nginx"; meta = with pkgs.stdenv.lib.maintainers; { maintainers = [ mbbx6spp ]; }; - nodes = { - webserver = - { ... }: - { services.nginx.enable = true; - services.nginx.commonHttpConfig = '' + nodes = let + commonConfig = { pkgs, ... }: { + services.nginx.enable = true; + services.nginx.commonHttpConfig = '' log_format ceeformat '@cee: {"status":"$status",' '"request_time":$request_time,' '"upstream_response_time":$upstream_response_time,' @@ -24,20 +25,61 @@ import ./make-test.nix ({ pkgs, ...} : { '"request":"$request",' '"http_referer":"$http_referer",' '"upstream_addr":"$upstream_addr"}'; + ''; + services.nginx.virtualHosts."0.my.test" = { + extraConfig = '' + access_log syslog:server=unix:/dev/log,facility=user,tag=mytag,severity=info ceeformat; + location /favicon.ico { allow all; access_log off; log_not_found off; } ''; - services.nginx.virtualHosts."0.my.test" = { - extraConfig = '' - access_log syslog:server=unix:/dev/log,facility=user,tag=mytag,severity=info ceeformat; - location /favicon.ico { allow all; access_log off; log_not_found off; } - ''; - }; }; + services.nginx.virtualHosts.localhost = { + root = pkgs.runCommand "testdir" {} '' + mkdir "$out" + echo hello world > "$out/index.html" + ''; + }; + }; + in { + webserver = commonConfig; + + newwebserver = { pkgs, lib, ... }: { + imports = [ commonConfig ]; + services.nginx.virtualHosts.localhost = { + root = lib.mkForce (pkgs.runCommand "testdir2" {} '' + mkdir "$out" + echo hello world > "$out/index.html" + ''); + }; + }; }; - testScript = '' - startAll; + testScript = { nodes, ... }: let + newServerSystem = nodes.newwebserver.config.system.build.toplevel; + switch = "${newServerSystem}/bin/switch-to-configuration test"; + in '' + my $url = 'http://localhost/index.html'; + + sub checkEtag { + my $etag = $webserver->succeed( + 'curl -v '.$url.' 2>&1 | sed -n -e "s/^< [Ee][Tt][Aa][Gg]: *//p"' + ); + $etag =~ s/\r?\n$//; + my $httpCode = $webserver->succeed( + 'curl -w "%{http_code}" -X HEAD -H \'If-None-Match: '.$etag.'\' '.$url + ); + chomp $httpCode; + die "HTTP code is not 304" unless $httpCode == 304; + return $etag; + } $webserver->waitForUnit("nginx"); $webserver->waitForOpenPort("80"); + + subtest "check ETag if serving Nix store paths", sub { + my $oldEtag = checkEtag; + $webserver->succeed('${switch}'); + my $newEtag = checkEtag; + die "Old ETag $oldEtag is the same as $newEtag" if $oldEtag eq $newEtag; + }; ''; }) diff --git a/nixpkgs/nixos/tests/wireguard/generated.nix b/nixpkgs/nixos/tests/wireguard/generated.nix new file mode 100644 index 000000000000..897feafe3ff2 --- /dev/null +++ b/nixpkgs/nixos/tests/wireguard/generated.nix @@ -0,0 +1,57 @@ +import ../make-test.nix ({ pkgs, ...} : { + name = "wireguard-generated"; + meta = with pkgs.stdenv.lib.maintainers; { + maintainers = [ ma27 grahamc ]; + }; + + nodes = { + peer1 = { + networking.firewall.allowedUDPPorts = [ 12345 ]; + networking.wireguard.interfaces.wg0 = { + ips = [ "10.10.10.1/24" ]; + listenPort = 12345; + privateKeyFile = "/etc/wireguard/private"; + generatePrivateKeyFile = true; + + }; + }; + + peer2 = { + networking.firewall.allowedUDPPorts = [ 12345 ]; + networking.wireguard.interfaces.wg0 = { + ips = [ "10.10.10.2/24" ]; + listenPort = 12345; + privateKeyFile = "/etc/wireguard/private"; + generatePrivateKeyFile = true; + }; + }; + }; + + testScript = '' + startAll; + + $peer1->waitForUnit("wireguard-wg0.service"); + $peer2->waitForUnit("wireguard-wg0.service"); + + my ($retcode, $peer1pubkey) = $peer1->execute("wg pubkey < /etc/wireguard/private"); + $peer1pubkey =~ s/\s+$//; + if ($retcode != 0) { + die "Could not read public key from peer1"; + } + + my ($retcode, $peer2pubkey) = $peer2->execute("wg pubkey < /etc/wireguard/private"); + $peer2pubkey =~ s/\s+$//; + if ($retcode != 0) { + die "Could not read public key from peer2"; + } + + $peer1->succeed("wg set wg0 peer $peer2pubkey allowed-ips 10.10.10.2/32 endpoint 192.168.1.2:12345 persistent-keepalive 1"); + $peer1->succeed("ip route replace 10.10.10.2/32 dev wg0 table main"); + + $peer2->succeed("wg set wg0 peer $peer1pubkey allowed-ips 10.10.10.1/32 endpoint 192.168.1.1:12345 persistent-keepalive 1"); + $peer2->succeed("ip route replace 10.10.10.1/32 dev wg0 table main"); + + $peer1->succeed("ping -c1 10.10.10.2"); + $peer2->succeed("ping -c1 10.10.10.1"); + ''; +}) diff --git a/nixpkgs/pkgs/applications/altcoins/go-ethereum.nix b/nixpkgs/pkgs/applications/altcoins/go-ethereum.nix index 22697af2092f..1e16eb78cef1 100644 --- a/nixpkgs/pkgs/applications/altcoins/go-ethereum.nix +++ b/nixpkgs/pkgs/applications/altcoins/go-ethereum.nix @@ -1,8 +1,9 @@ -{ stdenv, buildGoPackage, fetchFromGitHub, libobjc, IOKit }: +{ stdenv, buildGoPackage, fetchFromGitHub, libobjc, IOKit, fetchpatch }: buildGoPackage rec { - name = "go-ethereum-${version}"; - version = "1.8.26"; + pname = "go-ethereum"; + version = "1.8.27"; + goPackagePath = "github.com/ethereum/go-ethereum"; # Fix for usb-related segmentation faults on darwin @@ -12,11 +13,22 @@ buildGoPackage rec { # Fixes Cgo related build failures (see https://github.com/NixOS/nixpkgs/issues/25959 ) hardeningDisable = [ "fortify" ]; + # Apply ethereum/go-ethereum#19183 to fix the aarch64 build failure. + # + # TODO Remove this patch when upstream (https://github.com/ethereum/go-ethereum) + # fix this problem in the future release. + patches = [ + (fetchpatch { + url = "https://github.com/ethereum/go-ethereum/commit/39bd2609.patch"; + sha256 = "1a362hzvcjk505hicv25kziy3c6s5an4j7rk4jibcxwgvygb3mz5"; + }) + ]; + src = fetchFromGitHub { owner = "ethereum"; - repo = "go-ethereum"; + repo = pname; rev = "v${version}"; - sha256 = "0i7shrwix5j8l5i0ap5pzhninwyk2kvm1pax27pnnjlpam8577i4"; + sha256 = "1640y7lqy7bvjjgx6wp0cnbw632ls5fj4ixclr819lfz4p5dfhx1"; }; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/applications/altcoins/parity/beta.nix b/nixpkgs/pkgs/applications/altcoins/parity/beta.nix index 19ab52ebc22e..b844c441bbc0 100644 --- a/nixpkgs/pkgs/applications/altcoins/parity/beta.nix +++ b/nixpkgs/pkgs/applications/altcoins/parity/beta.nix @@ -1,6 +1,6 @@ let - version = "2.3.2"; - sha256 = "1063n7lkcfkywi0a06pxkw0wkq3qyq4lr53fv584mlbnh2hj8gpm"; - cargoSha256 = "1pj5hzy7k1l9bbw1qpz80vvk89qz4qz4rnnkcvn2rkbmq382gxwy"; + version = "2.5.0"; + sha256 = "1dsckybjg2cvrvcs1bya03xymcm0whfxcb1v0vljn5pghyazgvhx"; + cargoSha256 = "0z7dmzpqg0qnkga7r4ykwrvz8ds1k9ik7cx58h2vnmhrhrddvizr"; in import ./parity.nix { inherit version sha256 cargoSha256; } diff --git a/nixpkgs/pkgs/applications/altcoins/parity/default.nix b/nixpkgs/pkgs/applications/altcoins/parity/default.nix index b8b67836f061..d01ef9ad059e 100644 --- a/nixpkgs/pkgs/applications/altcoins/parity/default.nix +++ b/nixpkgs/pkgs/applications/altcoins/parity/default.nix @@ -1,6 +1,6 @@ let - version = "2.2.9"; - sha256 = "0n9zk25ni4asfdqc4xh0gqp2446vxacqz7qcrmsngf8swvayvi16"; - cargoSha256 = "10lg0vzikzlj927hpn59x1dz9dvhcaqsl8nz14vj2iz42vfkcm7p"; + version = "2.4.5"; + sha256 = "02ajwjw6cz86x6zybvw5l0pgv7r370hickjv9ja141w7bhl70q3v"; + cargoSha256 = "1n218c43gf200xlb3q03bd6w4kas0jsqx6ciw9s6h7h18wwibvf1"; in import ./parity.nix { inherit version sha256 cargoSha256; } diff --git a/nixpkgs/pkgs/applications/altcoins/polkadot/default.nix b/nixpkgs/pkgs/applications/altcoins/polkadot/default.nix index 6d4e0417cde7..feedb22c26d3 100644 --- a/nixpkgs/pkgs/applications/altcoins/polkadot/default.nix +++ b/nixpkgs/pkgs/applications/altcoins/polkadot/default.nix @@ -22,7 +22,7 @@ rustPlatform.buildRustPackage rec { meta = with stdenv.lib; { description = "Polkadot Node Implementation"; - homepage = http://polkadot.network; + homepage = https://polkadot.network; license = licenses.gpl3; maintainers = [ maintainers.akru ]; platforms = platforms.linux; diff --git a/nixpkgs/pkgs/applications/audio/aacgain/default.nix b/nixpkgs/pkgs/applications/audio/aacgain/default.nix index 32ae107ed9cb..5892351225d3 100644 --- a/nixpkgs/pkgs/applications/audio/aacgain/default.nix +++ b/nixpkgs/pkgs/applications/audio/aacgain/default.nix @@ -55,7 +55,7 @@ stdenv.mkDerivation { meta = with stdenv.lib; { description = "ReplayGain for AAC files"; - homepage = https://github.com/mulx/aacgain; + homepage = https://aacgain.altosdesign.com; license = licenses.gpl2; platforms = platforms.linux; maintainers = [ maintainers.robbinch ]; diff --git a/nixpkgs/pkgs/applications/audio/audio-recorder/default.nix b/nixpkgs/pkgs/applications/audio/audio-recorder/default.nix index 2ac7e3af7ab2..7b84cd02a627 100644 --- a/nixpkgs/pkgs/applications/audio/audio-recorder/default.nix +++ b/nixpkgs/pkgs/applications/audio/audio-recorder/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl -, pkgconfig, intltool, gnome3 +, pkgconfig, intltool , glib, dbus, gtk3, libappindicator-gtk3, gst_all_1 , librsvg, wrapGAppsHook , pulseaudioSupport ? true, libpulseaudio ? null }: @@ -15,7 +15,7 @@ stdenv.mkDerivation rec { }; # https://bugs.launchpad.net/audio-recorder/+bug/1784622 - NIX_CFLAGS_COMPILE = "-I${gnome3.glib.dev}/include/gio-unix-2.0"; + NIX_CFLAGS_COMPILE = "-I${glib.dev}/include/gio-unix-2.0"; nativeBuildInputs = [ pkgconfig intltool wrapGAppsHook ]; diff --git a/nixpkgs/pkgs/applications/audio/mopidy/iris.nix b/nixpkgs/pkgs/applications/audio/mopidy/iris.nix index b8ae2d4a8998..42ef89cd0fd1 100644 --- a/nixpkgs/pkgs/applications/audio/mopidy/iris.nix +++ b/nixpkgs/pkgs/applications/audio/mopidy/iris.nix @@ -2,11 +2,11 @@ pythonPackages.buildPythonApplication rec { pname = "Mopidy-Iris"; - version = "3.33.0"; + version = "3.36.0"; src = pythonPackages.fetchPypi { inherit pname version; - sha256 = "0g00rjkmsnza4gjjdm0cwrpw3gqvmjj58157dvrh7f8k7j0gdvdm"; + sha256 = "1qxb3rfjxmwihcm0nrarrgp9x7zr3kjipzn5igj0d57gpi2bdwgv"; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/applications/audio/padthv1/default.nix b/nixpkgs/pkgs/applications/audio/padthv1/default.nix index 5957a740f350..b2e5fb11ac31 100644 --- a/nixpkgs/pkgs/applications/audio/padthv1/default.nix +++ b/nixpkgs/pkgs/applications/audio/padthv1/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "padthv1-${version}"; - version = "0.9.5"; + version = "0.9.6"; src = fetchurl { url = "mirror://sourceforge/padthv1/${name}.tar.gz"; - sha256 = "0cd1jfb3ynfrsbz8jwfsbvs5liyddxg4zghrvz931qkkqi117hbh"; + sha256 = "0ddvlpjlg6zr9ckanqhisw0sgm8rxibvj1aj5dxzs9xb2wlwd8rr"; }; buildInputs = [ libjack2 alsaLib libsndfile liblo lv2 qt5.qtbase qt5.qttools fftw ]; diff --git a/nixpkgs/pkgs/applications/audio/spotify/default.nix b/nixpkgs/pkgs/applications/audio/spotify/default.nix index 060c1dc5d4dd..6e926fe11c3d 100644 --- a/nixpkgs/pkgs/applications/audio/spotify/default.nix +++ b/nixpkgs/pkgs/applications/audio/spotify/default.nix @@ -1,5 +1,5 @@ { fetchurl, stdenv, squashfsTools, xorg, alsaLib, makeWrapper, openssl, freetype -, glib, pango, cairo, atk, gdk_pixbuf, gtk2, cups, nspr, nss, libpng +, glib, pango, cairo, atk, gdk_pixbuf, gtk2, cups, nspr, nss, libpng, libnotify , libgcrypt, systemd, fontconfig, dbus, expat, ffmpeg_3, curl, zlib, gnome3 , at-spi2-atk }: @@ -36,6 +36,7 @@ let glib gtk2 libgcrypt + libnotify libpng nss pango diff --git a/nixpkgs/pkgs/applications/editors/android-studio/common.nix b/nixpkgs/pkgs/applications/editors/android-studio/common.nix index fdba9f7be774..10d066357886 100644 --- a/nixpkgs/pkgs/applications/editors/android-studio/common.nix +++ b/nixpkgs/pkgs/applications/editors/android-studio/common.nix @@ -39,12 +39,11 @@ let drvName = "android-studio-${channel}-${version}"; - archiveFormat = if builtins.elem channel [ "dev" "canary" ] then "tar.gz" else "zip"; androidStudio = stdenv.mkDerivation { name = drvName; src = fetchurl { - url = "https://dl.google.com/dl/android/studio/ide-zips/${version}/android-studio-ide-${build}-linux.${archiveFormat}"; + url = "https://dl.google.com/dl/android/studio/ide-zips/${version}/android-studio-ide-${build}-linux.tar.gz"; sha256 = sha256Hash; }; diff --git a/nixpkgs/pkgs/applications/editors/android-studio/default.nix b/nixpkgs/pkgs/applications/editors/android-studio/default.nix index 80d84bcd2fd4..a7c2c3da444c 100644 --- a/nixpkgs/pkgs/applications/editors/android-studio/default.nix +++ b/nixpkgs/pkgs/applications/editors/android-studio/default.nix @@ -8,19 +8,15 @@ let inherit (gnome2) GConf gnome_vfs; }; stableVersion = { - version = "3.3.2.0"; # "Android Studio 3.3.2" - build = "182.5314842"; - sha256Hash = "0smh3d3v8n0isxg7fkls20622gp52f58i2b6wa4a0g8wnvmd6mw2"; - }; - betaVersion = { - version = "3.4.0.17"; # "Android Studio 3.4 RC 3" - build = "183.5400832"; - sha256Hash = "1v4apc73jdhavhzj8j46mzh15rw08w1hd9y9ykarj3b5q7i2vyq1"; + version = "3.4.0.18"; # "Android Studio 3.4.0" + build = "183.5452501"; + sha256Hash = "0i8wz9v6nxzr27a07cv2330i84v94pcl13gjwvpglp55hyzd8axd"; }; + betaVersion = stableVersion; latestVersion = { # canary & dev - version = "3.5.0.10"; # "Android Studio 3.5 Canary 11" - build = "191.5455988"; - sha256Hash = "1g24a8fwnrfzdf093wdmqly3mzjddk5ndgi51qj98amn7kclsdpf"; + version = "3.5.0.11"; # "Android Studio 3.5 Canary 12" + build = "191.5471097"; + sha256Hash = "1dz9iy8f12fzqp8wv9c5v01d33djy97aha8rxxp18vi6myak42ca"; }; in rec { # Attributes are named by their corresponding release channels diff --git a/nixpkgs/pkgs/applications/editors/atom/default.nix b/nixpkgs/pkgs/applications/editors/atom/default.nix index e5a71b134f1b..63b322b06abf 100644 --- a/nixpkgs/pkgs/applications/editors/atom/default.nix +++ b/nixpkgs/pkgs/applications/editors/atom/default.nix @@ -3,14 +3,14 @@ let versions = { atom = { - version = "1.34.0"; - sha256 = "16hrjymrc43izg7frcrk7cwjwwrclcxzcwb5iw2llzjc6iadzlkb"; + version = "1.36.0"; + sha256 = "1ljg39h5xjigk2njvxyinb1gd3sbja21v47c7va6vl9hjr5xb3fr"; }; atom-beta = { - version = "1.35.0"; + version = "1.37.0"; beta = 0; - sha256 = "0gm5k573dq1hhnyw3719f5k1c6rsz872mhzg8q53n89y0g2r5xmw"; + sha256 = "0aq8r5vfgq7r31qajjgcg4n5a57a2m8fvq6fzy9vq5gawkvmaxxx"; }; }; diff --git a/nixpkgs/pkgs/applications/editors/eclipse/plugins.nix b/nixpkgs/pkgs/applications/editors/eclipse/plugins.nix index 4dc4aebe8fd9..b75324ee1ee6 100644 --- a/nixpkgs/pkgs/applications/editors/eclipse/plugins.nix +++ b/nixpkgs/pkgs/applications/editors/eclipse/plugins.nix @@ -141,7 +141,7 @@ rec { name = "antlr-runtime-4.5.3"; src = fetchurl { - url = "http://www.antlr.org/download/${name}.jar"; + url = "https://www.antlr.org/download/${name}.jar"; sha256 = "0lm78i2annlczlc2cg5xvby0g1dyl0sh1y5xc2pymjlmr67a1g4k"; }; @@ -153,7 +153,7 @@ rec { meta = with stdenv.lib; { description = "A powerful parser generator for processing structured text or binary files"; - homepage = http://www.antlr.org/; + homepage = https://www.antlr.org/; license = licenses.bsd3; platforms = platforms.all; maintainers = [ maintainers.rycee ]; @@ -164,7 +164,7 @@ rec { name = "antlr-runtime-4.7.1"; src = fetchurl { - url = "http://www.antlr.org/download/${name}.jar"; + url = "https://www.antlr.org/download/${name}.jar"; sha256 = "07f91mjclacrvkl8a307w2abq5wcqp0gcsnh0jg90ddfpqcnsla3"; }; @@ -176,7 +176,7 @@ rec { meta = with stdenv.lib; { description = "A powerful parser generator for processing structured text or binary files"; - homepage = http://www.antlr.org/; + homepage = https://www.antlr.org/; license = licenses.bsd3; platforms = platforms.all; maintainers = [ maintainers.rycee ]; @@ -282,7 +282,7 @@ rec { }; meta = with stdenv.lib; { - homepage = http://eclipse-cs.sourceforge.net/; + homepage = https://eclipse-cs.sourceforge.net/; description = "Checkstyle integration into the Eclipse IDE"; license = licenses.lgpl21; platforms = platforms.all; @@ -384,7 +384,7 @@ rec { }; meta = with stdenv.lib; { - homepage = http://www.eclemma.org/; + homepage = https://www.eclemma.org/; description = "EclEmma is a free Java code coverage tool for Eclipse"; license = licenses.epl10; platforms = platforms.all; @@ -614,7 +614,7 @@ rec { }; meta = with stdenv.lib; { - homepage = http://testng.org/; + homepage = https://testng.org/doc/; description = "Eclipse plugin for the TestNG testing framework"; license = licenses.asl20; platforms = platforms.all; diff --git a/nixpkgs/pkgs/applications/editors/emacs-modes/hsc3/default.nix b/nixpkgs/pkgs/applications/editors/emacs-modes/hsc3/default.nix index 150bd3593fdb..199a5886e1df 100644 --- a/nixpkgs/pkgs/applications/editors/emacs-modes/hsc3/default.nix +++ b/nixpkgs/pkgs/applications/editors/emacs-modes/hsc3/default.nix @@ -8,9 +8,9 @@ let version = "0.15"; in stdenv.mkDerivation { name = "hsc3-mode-${version}"; src = fetchurl { - url = http://hackage.haskell.org/package/hsc3-0.15/hsc3-0.15.tar.gz; + url = mirror://hackage/hsc3-0.15/hsc3-0.15.tar.gz; sha256 = "2f3b15655419cf8ebe25ab1c6ec22993b2589b4ffca7c3a75ce478ca78a0bde6"; - }; + }; buildInputs = [ emacs ]; diff --git a/nixpkgs/pkgs/applications/editors/jupyter/kernel.nix b/nixpkgs/pkgs/applications/editors/jupyter/kernel.nix index cdb6b6212bd3..38c61dba751b 100644 --- a/nixpkgs/pkgs/applications/editors/jupyter/kernel.nix +++ b/nixpkgs/pkgs/applications/editors/jupyter/kernel.nix @@ -67,7 +67,7 @@ in meta = { description = "Wrapper to create jupyter notebook kernel definitions"; - homepage = http://jupyter.org/; + homepage = https://jupyter.org/; maintainers = with maintainers; [ aborsu ]; }; }; diff --git a/nixpkgs/pkgs/applications/editors/manuskript/default.nix b/nixpkgs/pkgs/applications/editors/manuskript/default.nix index 4f4be05f79e4..ecbe7e8b7194 100644 --- a/nixpkgs/pkgs/applications/editors/manuskript/default.nix +++ b/nixpkgs/pkgs/applications/editors/manuskript/default.nix @@ -2,13 +2,13 @@ python3Packages.buildPythonApplication rec { pname = "manuskript"; - version = "0.8.0"; + version = "0.9.0"; src = fetchFromGitHub { repo = pname; owner = "olivierkes"; rev = version; - sha256 = "0vqz02p3m9n4hk2jplnklr9s6niqdm5iykab6nblqdm4plb04c34"; + sha256 = "13y1s0kba1ib6g977n7h920kyr7abdw03kpal512m7iwa9g2kdw8"; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/applications/editors/sublime/3/common.nix b/nixpkgs/pkgs/applications/editors/sublime/3/common.nix index 8b94b87e0505..214e53262b5c 100644 --- a/nixpkgs/pkgs/applications/editors/sublime/3/common.nix +++ b/nixpkgs/pkgs/applications/editors/sublime/3/common.nix @@ -1,47 +1,55 @@ -{buildVersion, x32sha256, x64sha256, dev ? false}: +{ buildVersion, x32sha256, x64sha256, dev ? false }: -{ fetchurl, stdenv, glib, glibcLocales, xorg, cairo, gtk2, gtk3, pango, makeWrapper, wrapGAppsHook, openssl, bzip2, runtimeShell, - pkexecPath ? "/run/wrappers/bin/pkexec", libredirect, - gksuSupport ? false, gksu, unzip, zip, bash, - writeScript, common-updater-scripts, curl, gnugrep}: +{ fetchurl, stdenv, xorg, glib, glibcLocales, gtk2, gtk3, cairo, pango, libredirect, makeWrapper, wrapGAppsHook +, pkexecPath ? "/run/wrappers/bin/pkexec", gksuSupport ? false, gksu +, writeScript, common-updater-scripts, curl, gnugrep +, openssl, bzip2, bash, unzip, zip +}: assert gksuSupport -> gksu != null; let - legacy = stdenv.lib.versionOlder buildVersion "3181"; - libPath = stdenv.lib.makeLibraryPath [ glib xorg.libX11 (if legacy then gtk2 else gtk3) cairo pango ]; - redirects = [ "/usr/bin/pkexec=${pkexecPath}" ] - ++ stdenv.lib.optional gksuSupport "/usr/bin/gksudo=${gksu}/bin/gksudo"; -in let + pname = "sublimetext3"; + packageAttribute = "sublime3${stdenv.lib.optionalString dev "-dev"}"; + binaries = [ "sublime_text" "plugin_host" "crash_reporter" ]; + primaryBinary = "sublime_text"; + primaryBinaryAliases = [ "subl" "sublime" "sublime3" ]; + downloadUrl = "https://download.sublimetext.com/sublime_text_3_build_${buildVersion}_${arch}.tar.bz2"; + downloadArchiveType = "tar.bz2"; + versionUrl = "https://www.sublimetext.com/${if dev then "3dev" else "3"}"; + versionFile = "pkgs/applications/editors/sublime/3/packages.nix"; + usesGtk2 = stdenv.lib.versionOlder buildVersion "3181"; archSha256 = if stdenv.hostPlatform.system == "i686-linux" then x32sha256 else x64sha256; - arch = if stdenv.hostPlatform.system == "i686-linux" then "x32" else "x64"; - # package with just the binaries - sublime = stdenv.mkDerivation { - name = "sublimetext3-bin-${buildVersion}"; - src = - fetchurl { - name = "sublimetext-${buildVersion}.tar.bz2"; - url = "https://download.sublimetext.com/sublime_text_3_build_${buildVersion}_${arch}.tar.bz2"; - sha256 = archSha256; - }; + libPath = stdenv.lib.makeLibraryPath [ xorg.libX11 glib (if usesGtk2 then gtk2 else gtk3) cairo pango ]; + redirects = [ "/usr/bin/pkexec=${pkexecPath}" ] + ++ stdenv.lib.optional gksuSupport "/usr/bin/gksudo=${gksu}/bin/gksudo"; +in let + binaryPackage = stdenv.mkDerivation { + pname = "${pname}-bin"; + version = buildVersion; + + src = fetchurl { + name = "${pname}-bin-${buildVersion}.${downloadArchiveType}"; + url = downloadUrl; + sha256 = archSha256; + }; dontStrip = true; dontPatchELF = true; - buildInputs = stdenv.lib.optionals (!legacy) [ glib gtk3 ]; # for GSETTINGS_SCHEMAS_PATH - nativeBuildInputs = [ makeWrapper zip unzip ] ++ stdenv.lib.optional (!legacy) wrapGAppsHook; + buildInputs = stdenv.lib.optionals (!usesGtk2) [ glib gtk3 ]; # for GSETTINGS_SCHEMAS_PATH + nativeBuildInputs = [ zip unzip makeWrapper ] ++ stdenv.lib.optional (!usesGtk2) wrapGAppsHook; - # make exec.py in Default.sublime-package use own bash with - # an LD_PRELOAD instead of "/bin/bash" + # make exec.py in Default.sublime-package use own bash with an LD_PRELOAD instead of "/bin/bash" patchPhase = '' runHook prePatch @@ -61,15 +69,15 @@ in let buildPhase = '' runHook preBuild - for i in sublime_text plugin_host crash_reporter; do + for binary in ${ builtins.concatStringsSep " " binaries }; do patchelf \ --interpreter "$(cat $NIX_CC/nix-support/dynamic-linker)" \ --set-rpath ${libPath}:${stdenv.cc.cc.lib}/lib${stdenv.lib.optionalString stdenv.is64bit "64"} \ - $i + $binary done # Rewrite pkexec|gksudo argument. Note that we can't delete bytes in binary. - sed -i -e 's,/bin/cp\x00,cp\x00\x00\x00\x00\x00\x00,g' sublime_text + sed -i -e 's,/bin/cp\x00,cp\x00\x00\x00\x00\x00\x00,g' ${primaryBinary} runHook postBuild ''; @@ -77,11 +85,8 @@ in let installPhase = '' runHook preInstall - # Correct sublime_text.desktop to exec `sublime' instead of /opt/sublime_text - sed -e "s,/opt/sublime_text/sublime_text,$out/sublime_text," -i sublime_text.desktop - mkdir -p $out - cp -prvd * $out/ + cp -r * $out/ # We can't just call /usr/bin/env bash because a relocation error occurs # when trying to run a build from within Sublime Text @@ -96,52 +101,51 @@ in let wrapProgram $out/sublime_bash \ --set LD_PRELOAD "${stdenv.cc.cc.lib}/lib${stdenv.lib.optionalString stdenv.is64bit "64"}/libgcc_s.so.1" - wrapProgram $out/sublime_text \ + wrapProgram $out/${primaryBinary} \ --set LD_PRELOAD "${libredirect}/lib/libredirect.so" \ --set NIX_REDIRECTS ${builtins.concatStringsSep ":" redirects} \ --set LOCALE_ARCHIVE "${glibcLocales.out}/lib/locale/locale-archive" \ - ${stdenv.lib.optionalString (!legacy) ''"''${gappsWrapperArgs[@]}"''} + ${stdenv.lib.optionalString (!usesGtk2) ''"''${gappsWrapperArgs[@]}"''} # Without this, plugin_host crashes, even though it has the rpath wrapProgram $out/plugin_host --prefix LD_PRELOAD : ${stdenv.cc.cc.lib}/lib${stdenv.lib.optionalString stdenv.is64bit "64"}/libgcc_s.so.1:${openssl.out}/lib/libssl.so:${bzip2.out}/lib/libbz2.so ''; }; in stdenv.mkDerivation (rec { - name = "sublimetext3-${buildVersion}"; + inherit pname; + version = buildVersion; phases = [ "installPhase" ]; - inherit sublime; + ${primaryBinary} = binaryPackage; + + nativeBuildInputs = [ makeWrapper ]; installPhase = '' - mkdir -p $out/bin - - cat > $out/bin/subl <<-EOF - #!${runtimeShell} - exec $sublime/sublime_text "\$@" - EOF - chmod +x $out/bin/subl - - ln $out/bin/subl $out/bin/sublime - ln $out/bin/subl $out/bin/sublime3 - mkdir -p $out/share/applications - ln -s $sublime/sublime_text.desktop $out/share/applications/sublime_text.desktop - ln -s $sublime/Icon/256x256/ $out/share/icons + mkdir -p "$out/bin" + makeWrapper "''$${primaryBinary}/${primaryBinary}" "$out/bin/${primaryBinary}" + '' + builtins.concatStringsSep "" (map (binaryAlias: "ln -s $out/bin/${primaryBinary} $out/bin/${binaryAlias}\n") primaryBinaryAliases) + '' + mkdir -p "$out/share/applications" + substitute "''$${primaryBinary}/${primaryBinary}.desktop" "$out/share/applications/${primaryBinary}.desktop" --replace "/opt/${primaryBinary}/${primaryBinary}" "$out/bin/${primaryBinary}" + for directory in ''$${primaryBinary}/Icon/*; do + size=$(basename $directory) + mkdir -p "$out/share/icons/hicolor/$size/apps" + ln -s ''$${primaryBinary}/Icon/$size/* $out/share/icons/hicolor/$size/apps + done ''; - passthru.updateScript = writeScript "sublime3-update-script" '' + passthru.updateScript = writeScript "${pname}-update-script" '' #!${stdenv.shell} set -o errexit PATH=${stdenv.lib.makeBinPath [ common-updater-scripts curl gnugrep ]} - latestVersion=$(curl https://www.sublimetext.com/3${stdenv.lib.optionalString dev "dev"} | grep -Po '(?<=<p class="latest"><i>Version:</i> Build )([0-9]+)') + latestVersion=$(curl -s ${versionUrl} | grep -Po '(?<=<p class="latest"><i>Version:</i> Build )([0-9]+)') for platform in ${stdenv.lib.concatStringsSep " " meta.platforms}; do - package=sublime3${stdenv.lib.optionalString dev "-dev"} # The script will not perform an update when the version attribute is up to date from previous platform run # We need to clear it before each run - update-source-version ''${package}.sublime 0 0000000000000000000000000000000000000000000000000000000000000000 --file=pkgs/applications/editors/sublime/3/packages.nix --version-key=buildVersion --system=$platform - update-source-version ''${package}.sublime $latestVersion --file=pkgs/applications/editors/sublime/3/packages.nix --version-key=buildVersion --system=$platform + update-source-version ${packageAttribute}.${primaryBinary} 0 0000000000000000000000000000000000000000000000000000000000000000 --file=${versionFile} --version-key=buildVersion --system=$platform + update-source-version ${packageAttribute}.${primaryBinary} $latestVersion --file=${versionFile} --version-key=buildVersion --system=$platform done ''; diff --git a/nixpkgs/pkgs/applications/editors/vim/common.nix b/nixpkgs/pkgs/applications/editors/vim/common.nix index e0ac32c54ec5..2e3d092225f6 100644 --- a/nixpkgs/pkgs/applications/editors/vim/common.nix +++ b/nixpkgs/pkgs/applications/editors/vim/common.nix @@ -1,12 +1,12 @@ { lib, fetchFromGitHub }: rec { - version = "8.1.0578"; + version = "8.1.0675"; src = fetchFromGitHub { owner = "vim"; repo = "vim"; rev = "v${version}"; - sha256 = "0sawqxp2737y6mga9da36qya47h0idnnaxblzpsx8clw002piyv2"; + sha256 = "00nlh8f2rf0af3n434s0lp6idxlg4l7mi1lrlbz0xld9dsrzdmas"; }; enableParallelBuilding = true; diff --git a/nixpkgs/pkgs/applications/editors/vscode/default.nix b/nixpkgs/pkgs/applications/editors/vscode/default.nix index 189c49cde35a..04e084dfc3b3 100644 --- a/nixpkgs/pkgs/applications/editors/vscode/default.nix +++ b/nixpkgs/pkgs/applications/editors/vscode/default.nix @@ -108,6 +108,10 @@ in mkdir -p $out/share/pixmaps cp $out/lib/vscode/resources/app/resources/linux/code.png $out/share/pixmaps/code.png + + # Override the previously determined VSCODE_PATH with the one we know to be correct + sed -i "/ELECTRON=/iVSCODE_PATH='$out/lib/vscode'" $out/bin/${executableName} + grep -q "VSCODE_PATH='$out/lib/vscode'" $out/bin/${executableName} # check if sed succeeded ''; preFixup = lib.optionalString (system == "i686-linux" || system == "x86_64-linux") '' @@ -129,7 +133,7 @@ in homepage = https://code.visualstudio.com/; downloadPage = https://code.visualstudio.com/Updates; license = licenses.unfree; - maintainers = with maintainers; [ eadwu ]; + maintainers = with maintainers; [ eadwu synthetica ]; platforms = [ "i686-linux" "x86_64-linux" "x86_64-darwin" ]; }; } diff --git a/nixpkgs/pkgs/applications/gis/grass/default.nix b/nixpkgs/pkgs/applications/gis/grass/default.nix index a64e9a18e1ad..b7cf84ff9591 100644 --- a/nixpkgs/pkgs/applications/gis/grass/default.nix +++ b/nixpkgs/pkgs/applications/gis/grass/default.nix @@ -84,7 +84,7 @@ stdenv.mkDerivation { enableParallelBuilding = true; meta = { - homepage = http://grass.osgeo.org/; + homepage = https://grass.osgeo.org/; description = "GIS software suite used for geospatial data management and analysis, image processing, graphics and maps production, spatial modeling, and visualization"; license = stdenv.lib.licenses.gpl2Plus; platforms = stdenv.lib.platforms.all; diff --git a/nixpkgs/pkgs/applications/graphics/apitrace/default.nix b/nixpkgs/pkgs/applications/graphics/apitrace/default.nix index 459e07f9838b..c98c323fc79c 100644 --- a/nixpkgs/pkgs/applications/graphics/apitrace/default.nix +++ b/nixpkgs/pkgs/applications/graphics/apitrace/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "apitrace-${version}"; - version = "7.1-363-ge3509be1"; + version = "7.1-572-g${builtins.substring 0 8 src.rev}"; src = fetchFromGitHub { - sha256 = "1xbz6gwl7kqjm7jjy5gxkdxzrg93vj1a3l19ara7rni6dii0q136"; - rev = "e3509be175eda77749abffe051ed0d3eb5d14e72"; + sha256 = "11bwb0l8cr1bf9bj1s6cbmi77d5fy4qrphj9cgmcd8jpa862anp5"; + rev = "26966134f15d28f6b4a9a0a560017b3ba36d60bf"; repo = "apitrace"; owner = "apitrace"; }; diff --git a/nixpkgs/pkgs/applications/graphics/djview/default.nix b/nixpkgs/pkgs/applications/graphics/djview/default.nix index 2b0dde210c58..d90fb41c03ba 100644 --- a/nixpkgs/pkgs/applications/graphics/djview/default.nix +++ b/nixpkgs/pkgs/applications/graphics/djview/default.nix @@ -17,7 +17,7 @@ stdenv.mkDerivation rec { ++ stdenv.lib.optionals stdenv.isDarwin [ darwin.apple_sdk.frameworks.AGL ]; passthru = { - mozillaPlugin = "/lib/netscape/plugins"; + mozillaPlugin = "/lib/mozilla/plugins"; }; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/applications/graphics/epeg/default.nix b/nixpkgs/pkgs/applications/graphics/epeg/default.nix index 3645cc565728..3b7bdfb8efc2 100644 --- a/nixpkgs/pkgs/applications/graphics/epeg/default.nix +++ b/nixpkgs/pkgs/applications/graphics/epeg/default.nix @@ -3,13 +3,14 @@ }: stdenv.mkDerivation rec { - name = "epeg-0.9.1.042"; # version taken from configure.ac + pname = "epeg"; + version = "0.9.2"; src = fetchFromGitHub { owner = "mattes"; repo = "epeg"; - rev = "248ae9fc3f1d6d06e6062a1f7bf5df77d4f7de9b"; - sha256 = "14ad33w3pxrg2yfc2xzyvwyvjirwy2d00889dswisq8b84cmxfia"; + rev = "v${version}"; + sha256 = "14bjl9v6zzac4df25gm3bkw3n0mza5iazazsi65gg3m6661x6c5g"; }; enableParallelBuilding = true; diff --git a/nixpkgs/pkgs/applications/graphics/gimp/default.nix b/nixpkgs/pkgs/applications/graphics/gimp/default.nix index 9e4aec16db88..657a9dd27e50 100644 --- a/nixpkgs/pkgs/applications/graphics/gimp/default.nix +++ b/nixpkgs/pkgs/applications/graphics/gimp/default.nix @@ -69,7 +69,7 @@ in stdenv.mkDerivation rec { configureFlags = [ "--without-webkit" # old version is required "--with-bug-report-url=https://github.com/NixOS/nixpkgs/issues/new" - "--with-icc-directory=/var/run/current-system/sw/share/color/icc" + "--with-icc-directory=/run/current-system/sw/share/color/icc" ]; # on Darwin, diff --git a/nixpkgs/pkgs/applications/graphics/hugin/default.nix b/nixpkgs/pkgs/applications/graphics/hugin/default.nix index 1c5b8d1b81dd..6be43cffea54 100644 --- a/nixpkgs/pkgs/applications/graphics/hugin/default.nix +++ b/nixpkgs/pkgs/applications/graphics/hugin/default.nix @@ -5,11 +5,11 @@ }: stdenv.mkDerivation rec { - name = "hugin-2018.0.0"; + name = "hugin-2019.0.0"; src = fetchurl { url = "mirror://sourceforge/hugin/${name}.tar.bz2"; - sha256 = "1jv5wpqbq49fhbl5g521g1qxhdm1rm7acxd18fr3n3n5d830vbyk"; + sha256 = "1l925qslp98gg7yzmgps10h6dq0nb60wbfk345anlxsv0g2ifizr"; }; buildInputs = [ diff --git a/nixpkgs/pkgs/applications/graphics/image_optim/default.nix b/nixpkgs/pkgs/applications/graphics/image_optim/default.nix index d7f05381c62e..f093a79f374e 100644 --- a/nixpkgs/pkgs/applications/graphics/image_optim/default.nix +++ b/nixpkgs/pkgs/applications/graphics/image_optim/default.nix @@ -58,7 +58,7 @@ bundlerApp { meta = with lib; { description = "Command line tool and ruby interface to optimize (lossless compress, optionally lossy) jpeg, png, gif and svg images using external utilities (advpng, gifsicle, jhead, jpeg-recompress, jpegoptim, jpegrescan, jpegtran, optipng, pngcrush, pngout, pngquant, svgo)"; - homepage = http://github.com/toy/image_optim; + homepage = https://github.com/toy/image_optim; license = licenses.mit; maintainers = with maintainers; [ srghma ]; platforms = platforms.all; diff --git a/nixpkgs/pkgs/applications/graphics/kipi-plugins/default.nix b/nixpkgs/pkgs/applications/graphics/kipi-plugins/default.nix index f7faba7c41a5..de51422d1da6 100644 --- a/nixpkgs/pkgs/applications/graphics/kipi-plugins/default.nix +++ b/nixpkgs/pkgs/applications/graphics/kipi-plugins/default.nix @@ -6,18 +6,14 @@ }: stdenv.mkDerivation rec { - name = "kipi-plugins-${version}"; - version = "5.9.0"; + pname = "kipi-plugins"; + version = "5.9.1"; src = fetchurl { - url = "http://download.kde.org/stable/digikam/digikam-${version}.tar.xz"; - sha256 = "06qdalf2mwx2f43p3bljy3vn5bk8n3x539kha6ky2vzxvkp343b6"; + url = "http://download.kde.org/stable/${pname}/${pname}-${version}.tar.xz"; + sha256 = "0hjm05nkz0w926sn4lav5258rda6zkd6gfnqd8hh3fa2q0dd7cq4"; }; - prePatch = '' - cd extra/kipi-plugins - ''; - nativeBuildInputs = [ extra-cmake-modules ]; buildInputs = [ karchive kconfig ki18n kiconthemes kio kservice kwindowsystem kxmlgui libkipi diff --git a/nixpkgs/pkgs/applications/graphics/unigine-valley/default.nix b/nixpkgs/pkgs/applications/graphics/unigine-valley/default.nix index d29c9cd82598..3a139f3740cc 100644 --- a/nixpkgs/pkgs/applications/graphics/unigine-valley/default.nix +++ b/nixpkgs/pkgs/applications/graphics/unigine-valley/default.nix @@ -12,6 +12,7 @@ , libXinerama , libXrandr , libXrender +, libGL , openal}: let @@ -47,6 +48,7 @@ in libXinerama libXrandr libXrender + libGL openal ]; diff --git a/nixpkgs/pkgs/applications/kde/default.nix b/nixpkgs/pkgs/applications/kde/default.nix index 818b047af04f..239d71fdfaf1 100644 --- a/nixpkgs/pkgs/applications/kde/default.nix +++ b/nixpkgs/pkgs/applications/kde/default.nix @@ -126,6 +126,7 @@ let kmime = callPackage ./kmime.nix {}; kmix = callPackage ./kmix.nix {}; kmplot = callPackage ./kmplot.nix {}; + knotes = callPackage ./knotes.nix {}; kolourpaint = callPackage ./kolourpaint.nix {}; kompare = callPackage ./kompare.nix {}; konsole = callPackage ./konsole.nix {}; diff --git a/nixpkgs/pkgs/applications/kde/knotes.nix b/nixpkgs/pkgs/applications/kde/knotes.nix new file mode 100644 index 000000000000..6aa3206acc76 --- /dev/null +++ b/nixpkgs/pkgs/applications/kde/knotes.nix @@ -0,0 +1,29 @@ +{ + mkDerivation, lib, + extra-cmake-modules, kdoctools, + kcompletion, kconfig, kconfigwidgets, kcoreaddons, kcrash, + kdbusaddons, kdnssd, kglobalaccel, kiconthemes, kitemmodels, + kitemviews, kcmutils, knewstuff, knotifications, knotifyconfig, + kparts, ktextwidgets, kwidgetsaddons, kwindowsystem, + kdelibs4support, + grantlee, grantleetheme, qtx11extras, + akonadi, akonadi-notes, akonadi-search, kcalutils, + kontactinterface, libkdepim, kmime, pimcommon, kpimtextedit, + kcalcore +}: + +mkDerivation { + name = "knotes"; + nativeBuildInputs = [ extra-cmake-modules kdoctools ]; + buildInputs = [ + kcompletion kconfig kconfigwidgets kcoreaddons kcrash + kdbusaddons kdnssd kglobalaccel kiconthemes kitemmodels kitemviews + kcmutils knewstuff knotifications knotifyconfig kparts ktextwidgets + kwidgetsaddons kwindowsystem kdelibs4support + grantlee grantleetheme qtx11extras + akonadi akonadi-notes kcalutils kontactinterface + libkdepim kmime pimcommon kpimtextedit + akonadi-search + kcalcore + ]; +} diff --git a/nixpkgs/pkgs/applications/misc/alacritty/default.nix b/nixpkgs/pkgs/applications/misc/alacritty/default.nix index 18546e9f3cb6..ed5bf6a85c5e 100644 --- a/nixpkgs/pkgs/applications/misc/alacritty/default.nix +++ b/nixpkgs/pkgs/applications/misc/alacritty/default.nix @@ -48,16 +48,16 @@ let ]; in buildRustPackage rec { pname = "alacritty"; - version = "0.3.0"; + version = "0.3.2"; src = fetchFromGitHub { owner = "jwilm"; repo = pname; rev = "v${version}"; - sha256 = "0d9qnymi8v4aqm2p300ccdsgavrnd64sv7v0cz5dp0sp5c0vd7jl"; + sha256 = "16lhxfpwysd5ngw8yq76vbzjdmfzs9plsvairf768hnl290jcpbh"; }; - cargoSha256 = "11gpv0h15n12f97mcwjymlzcmkldbakkkb5h931qgm3mvhhq5ay5"; + cargoSha256 = "02q5kkr0zygpm9i2hd1sr246f18pyia1lq9dwjagqk7d2x3xlc7p"; nativeBuildInputs = [ cmake diff --git a/nixpkgs/pkgs/applications/misc/bleachbit/default.nix b/nixpkgs/pkgs/applications/misc/bleachbit/default.nix index f0dce54675cd..3f13972de9bb 100644 --- a/nixpkgs/pkgs/applications/misc/bleachbit/default.nix +++ b/nixpkgs/pkgs/applications/misc/bleachbit/default.nix @@ -1,26 +1,26 @@ -{ stdenv, pythonPackages, fetchurl }: +{ stdenv, pythonPackages, fetchurl, gettext }: pythonPackages.buildPythonApplication rec { - name = "bleachbit-${version}"; - version = "2.0"; + pname = "bleachbit"; + version = "2.2"; - namePrefix = ""; + format = "other"; src = fetchurl { - url = "mirror://sourceforge/bleachbit/${name}.tar.bz2"; - sha256 = "0ps98zx4n13q92bq7ykqi6hj3i7brdqgm87i9gk6ibvljp1vxdz9"; + url = "mirror://sourceforge/${pname}/${pname}-${version}.tar.bz2"; + sha256 = "1yj9bc3k6s1aib7znb79h5rybfv691zz4szxkwf9fm9nr0dws603"; }; - buildInputs = [ pythonPackages.wrapPython ]; + nativeBuildInputs = [ gettext ]; - doCheck = false; + # Patch the many hardcoded uses of /usr/share/ and /usr/bin + postPatch = '' + find -type f -exec sed -i -e 's@/usr/share@${placeholder "out"}/share@g' {} \; + find -type f -exec sed -i -e 's@/usr/bin@${placeholder "out"}/bin@g' {} \; + ''; - postInstall = '' - mkdir -p $out/bin - cp bleachbit.py $out/bin/bleachbit - chmod +x $out/bin/bleachbit + dontBuild = true; - substituteInPlace $out/bin/bleachbit --replace "#!/usr/bin/env python" "#!${pythonPackages.python.interpreter}" - ''; + installFlags = [ "prefix=${placeholder "out"}" ]; propagatedBuildInputs = with pythonPackages; [ pygtk ]; diff --git a/nixpkgs/pkgs/applications/misc/calibre/default.nix b/nixpkgs/pkgs/applications/misc/calibre/default.nix index 39b4dbe0fe50..b8e9b6b0666e 100644 --- a/nixpkgs/pkgs/applications/misc/calibre/default.nix +++ b/nixpkgs/pkgs/applications/misc/calibre/default.nix @@ -5,12 +5,12 @@ }: stdenv.mkDerivation rec { - version = "3.40.1"; + version = "3.41.3"; name = "calibre-${version}"; src = fetchurl { url = "https://download.calibre-ebook.com/${version}/${name}.tar.xz"; - sha256 = "1s1kq8axfymr7agg7dqw47kanlrkzzhsy8pcj1fs5644zjp5n0bq"; + sha256 = "167hhv4wimkjnyfgqkyqmaiixhicbxacy6190gps98jyj4csi8ra"; }; patches = [ @@ -43,7 +43,7 @@ stdenv.mkDerivation rec { ] ++ (with python2Packages; [ apsw cssselect css-parser dateutil dnspython html5-parser lxml mechanize netifaces pillow python pyqt5_with_qtwebkit sip - regex msgpack + regex msgpack beautifulsoup4 # the following are distributed with calibre, but we use upstream instead odfpy ]); diff --git a/nixpkgs/pkgs/applications/misc/crumbs/default.nix b/nixpkgs/pkgs/applications/misc/crumbs/default.nix new file mode 100644 index 000000000000..2d612c16fef3 --- /dev/null +++ b/nixpkgs/pkgs/applications/misc/crumbs/default.nix @@ -0,0 +1,33 @@ +{ stdenv, fetchFromGitHub }: + +stdenv.mkDerivation rec { + pname = "crumbs"; + version = "0.0.3"; + + src = fetchFromGitHub + { owner = "fasseg"; + repo = "crumbs"; + rev = version; + sha256 = "0jjvydn4i4n9xv8vsal2jxpa95mk2lw6myv0gx9wih242k9vy0l7"; + }; + + prePatch = '' + sed -i 's|gfind|find|' crumbs-completion.fish + ''; + + postInstall = '' + mkdir -p $out/share/bash-completion/completions + mkdir -p $out/share/fish/vendor_completions.d + + cp crumbs-completion.bash $out/share/bash-completion/completions/crumbs + cp crumbs-completion.fish $out/share/fish/vendor_completions.d/crumbs.fish + ''; + + meta = with stdenv.lib; + { description = "Bookmarks for the command line"; + homepage = https://github.com/fasseg/crumbs; + license = licenses.wtfpl; + platforms = platforms.all; + maintainers = with maintainers; [ thesola10 ]; + }; +} diff --git a/nixpkgs/pkgs/applications/misc/doing/default.nix b/nixpkgs/pkgs/applications/misc/doing/default.nix index 0286e38a0edf..9ae2ea41d831 100644 --- a/nixpkgs/pkgs/applications/misc/doing/default.nix +++ b/nixpkgs/pkgs/applications/misc/doing/default.nix @@ -17,7 +17,7 @@ bundlerEnv rec { in a TaskPaper-formatted text file. It allows for multiple sections/categories and flexible output formatting. ''; - homepage = http://brettterpstra.com/projects/doing/; + homepage = https://brettterpstra.com/projects/doing/; license = licenses.mit; maintainers = with maintainers; [ ktf ]; platforms = platforms.unix; diff --git a/nixpkgs/pkgs/applications/misc/gnome-recipes/default.nix b/nixpkgs/pkgs/applications/misc/gnome-recipes/default.nix index 1cf6f547c30b..01f5af339ff0 100644 --- a/nixpkgs/pkgs/applications/misc/gnome-recipes/default.nix +++ b/nixpkgs/pkgs/applications/misc/gnome-recipes/default.nix @@ -55,7 +55,7 @@ in stdenv.mkDerivation rec { # https://github.com/NixOS/nixpkgs/issues/36468 # https://gitlab.gnome.org/GNOME/recipes/issues/76 - NIX_CFLAGS_COMPILE = "-I${gnome3.glib.dev}/include/gio-unix-2.0"; + NIX_CFLAGS_COMPILE = "-I${glib.dev}/include/gio-unix-2.0"; postPatch = '' chmod +x src/list_to_c.py diff --git a/nixpkgs/pkgs/applications/misc/gollum/Gemfile.lock b/nixpkgs/pkgs/applications/misc/gollum/Gemfile.lock index 4490ff60a5b9..9a12ba2bfd55 100644 --- a/nixpkgs/pkgs/applications/misc/gollum/Gemfile.lock +++ b/nixpkgs/pkgs/applications/misc/gollum/Gemfile.lock @@ -36,7 +36,7 @@ GEM mime-types-data (3.2019.0331) mini_portile2 (2.4.0) mustache (0.99.8) - nokogiri (1.10.2) + nokogiri (1.10.3) mini_portile2 (~> 2.4.0) posix-spawn (0.3.13) rack (1.6.11) @@ -55,7 +55,7 @@ GEM unf (~> 0.1.0) unf (0.1.4) unf_ext - unf_ext (0.0.7.5) + unf_ext (0.0.7.6) useragent (0.16.10) PLATFORMS diff --git a/nixpkgs/pkgs/applications/misc/gollum/gemset.nix b/nixpkgs/pkgs/applications/misc/gollum/gemset.nix index bef80a95bbb5..6de5553ac7cf 100644 --- a/nixpkgs/pkgs/applications/misc/gollum/gemset.nix +++ b/nixpkgs/pkgs/applications/misc/gollum/gemset.nix @@ -151,10 +151,10 @@ platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0sy96cc8i5y4p67fhf4d9c6sg8ymrrva21zyvzw55l0pa1582wx2"; + sha256 = "02bjydih0j515szfv9mls195cvpyidh6ixm7dwbl3s2sbaxxk5s4"; type = "gem"; }; - version = "1.10.2"; + version = "1.10.3"; }; posix-spawn = { groups = ["default"]; @@ -266,10 +266,10 @@ platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "06p1i6qhy34bpb8q8ms88y6f2kz86azwm098yvcc0nyqk9y729j1"; + sha256 = "1ll6w64ibh81qwvjx19h8nj7mngxgffg7aigjx11klvf5k2g4nxf"; type = "gem"; }; - version = "0.0.7.5"; + version = "0.0.7.6"; }; useragent = { groups = ["default"]; diff --git a/nixpkgs/pkgs/applications/misc/guake/default.nix b/nixpkgs/pkgs/applications/misc/guake/default.nix index 0541468345e9..1e2c57a34691 100644 --- a/nixpkgs/pkgs/applications/misc/guake/default.nix +++ b/nixpkgs/pkgs/applications/misc/guake/default.nix @@ -14,6 +14,11 @@ in python3.pkgs.buildPythonApplication rec { sha256 = "1j38z968ha8ij6wrgbwvr8ad930nvhybm9g7pf4s4zv6d3vln0vm"; }; + # Strict deps breaks guake + # See https://github.com/NixOS/nixpkgs/issues/59930 + # and https://github.com/NixOS/nixpkgs/issues/56943 + strictDeps = false; + nativeBuildInputs = [ gettext gobject-introspection wrapGAppsHook python3.pkgs.pip glibcLocales ]; buildInputs = [ gtk3 keybinder3 libnotify python3 vte ]; diff --git a/nixpkgs/pkgs/applications/misc/hugo/default.nix b/nixpkgs/pkgs/applications/misc/hugo/default.nix index da48f702f737..f9f67b6cdaae 100644 --- a/nixpkgs/pkgs/applications/misc/hugo/default.nix +++ b/nixpkgs/pkgs/applications/misc/hugo/default.nix @@ -2,7 +2,7 @@ buildGoModule rec { name = "hugo-${version}"; - version = "0.55.0"; + version = "0.55.3"; goPackagePath = "github.com/gohugoio/hugo"; @@ -10,7 +10,7 @@ buildGoModule rec { owner = "gohugoio"; repo = "hugo"; rev = "v${version}"; - sha256 = "063z79m9rganzjzqvy7bg6b29m877asm5haxp0d5cb5ac7cx55rj"; + sha256 = "0hpyaxfjgfm04fcw3qha2rdx93fr326mw5aiw95vnj5i0x1xbs3x"; }; modSha256 = "0yrwkaaasj9ihjjfbywnzkppix1y2znagg3dkyikk21sl5n0nz23"; diff --git a/nixpkgs/pkgs/applications/misc/jekyll/basic/Gemfile.lock b/nixpkgs/pkgs/applications/misc/jekyll/basic/Gemfile.lock index 6841bc14c384..f4c1aceb4f00 100644 --- a/nixpkgs/pkgs/applications/misc/jekyll/basic/Gemfile.lock +++ b/nixpkgs/pkgs/applications/misc/jekyll/basic/Gemfile.lock @@ -1,23 +1,23 @@ GEM remote: https://rubygems.org/ specs: - activesupport (4.2.10) + activesupport (4.2.11.1) i18n (~> 0.7) minitest (~> 5.1) thread_safe (~> 0.3, >= 0.3.4) tzinfo (~> 1.1) - addressable (2.5.2) + addressable (2.6.0) public_suffix (>= 2.0.2, < 4.0) colorator (1.1.0) - concurrent-ruby (1.1.1) + concurrent-ruby (1.1.5) em-websocket (0.5.1) eventmachine (>= 0.12.9) http_parser.rb (~> 0.6.0) eventmachine (1.2.7) - ffi (1.9.25) + ffi (1.10.0) forwardable-extended (2.6.0) gemoji (3.0.0) - html-pipeline (2.8.4) + html-pipeline (2.11.0) activesupport (>= 2) nokogiri (>= 1.4) http_parser.rb (0.6.0) @@ -38,42 +38,42 @@ GEM safe_yaml (~> 1.0) jekyll-avatar (0.6.0) jekyll (~> 3.0) - jekyll-mentions (1.4.1) + jekyll-mentions (1.5.1) html-pipeline (~> 2.3) - jekyll (~> 3.0) + jekyll (>= 3.7, < 5.0) jekyll-sass-converter (1.5.2) sass (~> 3.4) - jekyll-seo-tag (2.5.0) - jekyll (~> 3.3) - jekyll-sitemap (1.2.0) + jekyll-seo-tag (2.6.0) jekyll (~> 3.3) - jekyll-watch (2.1.2) + jekyll-sitemap (1.3.1) + jekyll (>= 3.7, < 5.0) + jekyll-watch (2.2.1) listen (~> 3.0) - jemoji (0.10.1) + jemoji (0.11.0) gemoji (~> 3.0) html-pipeline (~> 2.2) - jekyll (~> 3.0) + jekyll (>= 3.0, < 5.0) kramdown (1.17.0) - liquid (4.0.1) + liquid (4.0.3) listen (3.1.5) rb-fsevent (~> 0.9, >= 0.9.4) rb-inotify (~> 0.9, >= 0.9.7) ruby_dep (~> 1.2) mercenary (0.3.6) - mini_portile2 (2.3.0) + mini_portile2 (2.4.0) minitest (5.11.3) - nokogiri (1.8.5) - mini_portile2 (~> 2.3.0) + nokogiri (1.10.3) + mini_portile2 (~> 2.4.0) pathutil (0.16.2) forwardable-extended (~> 2.6) public_suffix (3.0.3) rb-fsevent (0.10.3) - rb-inotify (0.9.10) - ffi (>= 0.5.0, < 2) + rb-inotify (0.10.0) + ffi (~> 1.0) rouge (3.3.0) ruby_dep (1.5.0) - safe_yaml (1.0.4) - sass (3.6.0) + safe_yaml (1.0.5) + sass (3.7.4) sass-listen (~> 4.0.0) sass-listen (4.0.0) rb-fsevent (~> 0.9, >= 0.9.4) @@ -96,4 +96,4 @@ DEPENDENCIES rouge BUNDLED WITH - 1.16.4 + 1.17.2 diff --git a/nixpkgs/pkgs/applications/misc/jekyll/basic/gemset.nix b/nixpkgs/pkgs/applications/misc/jekyll/basic/gemset.nix index d680f9255905..0c71fbe653dd 100644 --- a/nixpkgs/pkgs/applications/misc/jekyll/basic/gemset.nix +++ b/nixpkgs/pkgs/applications/misc/jekyll/basic/gemset.nix @@ -1,23 +1,29 @@ { activesupport = { dependencies = ["i18n" "minitest" "thread_safe" "tzinfo"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0s12j8vl8vrxfngkdlz9g8bpz9akq1z42d57mx5r537b2pji8nr7"; + sha256 = "1vbq7a805bfvyik2q3kl9s3r418f5qzvysqbz2cwy4hr7m2q4ir6"; type = "gem"; }; - version = "4.2.10"; + version = "4.2.11.1"; }; addressable = { dependencies = ["public_suffix"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0viqszpkggqi8hq87pqp0xykhvz60g99nwmkwsb0v45kc2liwxvk"; + sha256 = "0bcm2hchn897xjhqj9zzsxf3n9xhddymj4lsclz508f4vw3av46l"; type = "gem"; }; - version = "2.5.2"; + version = "2.6.0"; }; colorator = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "0f7wvpam948cglrciyqd798gdc6z3cfijciavd0dfixgaypmvy72"; @@ -26,15 +32,19 @@ version = "1.1.0"; }; concurrent-ruby = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "1bnr2dlj2a11qy3rwh6m1mv5419vy32j2axk3ln7bphyvwn7pli0"; + sha256 = "1x07r23s7836cpp5z9yrlbpljcxpax14yw4fy4bnp6crhr6x24an"; type = "gem"; }; - version = "1.1.1"; + version = "1.1.5"; }; em-websocket = { dependencies = ["eventmachine" "http_parser.rb"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "1bsw8vjz0z267j40nhbmrvfz7dvacq4p0pagvyp17jif6mj6v7n3"; @@ -43,6 +53,8 @@ version = "0.5.1"; }; eventmachine = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "0wh9aqb0skz80fhfn66lbpr4f86ya2z5rx6gm5xlfhd05bj1ch4r"; @@ -51,14 +63,18 @@ version = "1.2.7"; }; ffi = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0jpm2dis1j7zvvy3lg7axz9jml316zrn7s0j59vyq3qr127z0m7q"; + sha256 = "0j8pzj8raxbir5w5k6s7a042sb5k02pg0f8s4na1r5lan901j00p"; type = "gem"; }; - version = "1.9.25"; + version = "1.10.0"; }; forwardable-extended = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "15zcqfxfvsnprwm8agia85x64vjzr2w0xn9vxfnxzgcv8s699v0v"; @@ -67,6 +83,8 @@ version = "2.6.0"; }; gemoji = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "1h85qpn2xbmsn8ssf2fqzlqg181j000m5z4l3g26r7vblncg162d"; @@ -76,14 +94,18 @@ }; html-pipeline = { dependencies = ["activesupport" "nokogiri"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "1mpj5y13jk1arqkhdk66n49kyglmci980c1l6np7pqgyjllb68ad"; + sha256 = "14659ap360gzmrxl8mb28ys6mld7xw3q4q7pqy0l1cn67szdq779"; type = "gem"; }; - version = "2.8.4"; + version = "2.11.0"; }; "http_parser.rb" = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "15nidriy0v5yqfjsgsra51wmknxci2n2grliz78sf9pga3n0l7gi"; @@ -93,6 +115,8 @@ }; i18n = { dependencies = ["concurrent-ruby"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "038qvz7kd3cfxk8bvagqhakx68pfbnmghpdkx7573wbf0maqp9a3"; @@ -102,6 +126,8 @@ }; jekyll = { dependencies = ["addressable" "colorator" "em-websocket" "i18n" "jekyll-sass-converter" "jekyll-watch" "kramdown" "liquid" "mercenary" "pathutil" "rouge" "safe_yaml"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "1nn2sc308l2mz0yiall4r90l6vy67qp4sy9zapi73a948nd4a5k3"; @@ -111,6 +137,8 @@ }; jekyll-avatar = { dependencies = ["jekyll"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "124624r83pmn7sp2idnsph9m1bbdiha5jnza4ypna8w2inpih51p"; @@ -120,15 +148,19 @@ }; jekyll-mentions = { dependencies = ["html-pipeline" "jekyll"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0hg1rlra12im62z5yml4rlll3icz1146hkcv98mk2a96fsgniwqf"; + sha256 = "1r81nbw598s485jsppbpy9kwa471w1rdkpdn3a1mq0swg87cp67v"; type = "gem"; }; - version = "1.4.1"; + version = "1.5.1"; }; jekyll-sass-converter = { dependencies = ["sass"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "008ikh5fk0n6ri54mylcl8jn0mq8p2nfyfqif2q3pp0lwilkcxsk"; @@ -138,41 +170,51 @@ }; jekyll-seo-tag = { dependencies = ["jekyll"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "19yfr5i04gm50swbc6xxf4090z5z1v0kjfnvh695ydq1dkyx1csl"; + sha256 = "104v01vh7frgyra3s32wap1ag9fxcm8pgb8v957khzskqy2jh7am"; type = "gem"; }; - version = "2.5.0"; + version = "2.6.0"; }; jekyll-sitemap = { dependencies = ["jekyll"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0xy93ysl1q8r4xhbnffycvsslja0dskh2z2pl1jnykwsy27dc89n"; + sha256 = "0d3wqvbn37b24ag31xchb5hhnwfl6fnw6pyzp434jggbssxy0a5m"; type = "gem"; }; - version = "1.2.0"; + version = "1.3.1"; }; jekyll-watch = { dependencies = ["listen"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "1s9ly83sp8albvgdff12xy2h4xd8lm6z2fah4lzmk2yvp85jzdzv"; + sha256 = "1qd7hy1kl87fl7l0frw5qbn22x7ayfzlv9a5ca1m59g0ym1ysi5w"; type = "gem"; }; - version = "2.1.2"; + version = "2.2.1"; }; jemoji = { dependencies = ["gemoji" "html-pipeline" "jekyll"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "1yjbgawzmlcppmlhz5sdhjim6ki0vh0vh07mbyf05qa4994ckihs"; + sha256 = "0x463l6a8ikaf6pzap0dgh4gfvlkx56g6kdasj9jfb1ld3fvl99k"; type = "gem"; }; - version = "0.10.1"; + version = "0.11.0"; }; kramdown = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "1n1c4jmrh5ig8iv1rw81s4mw4xsp4v97hvf8zkigv4hn5h542qjq"; @@ -181,15 +223,19 @@ version = "1.17.0"; }; liquid = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0bs9smxgj29s4k76zfj09f7mhd35qwm9zki1yqa4jfwiki8v97nw"; + sha256 = "0zhg5ha8zy8zw9qr3fl4wgk4r5940n4128xm2pn4shpbzdbsj5by"; type = "gem"; }; - version = "4.0.1"; + version = "4.0.3"; }; listen = { dependencies = ["rb-fsevent" "rb-inotify" "ruby_dep"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "01v5mrnfqm6sgm8xn2v5swxsn1wlmq7rzh2i48d4jzjsc7qvb6mx"; @@ -198,6 +244,8 @@ version = "3.1.5"; }; mercenary = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "10la0xw82dh5mqab8bl0dk21zld63cqxb1g16fk8cb39ylc4n21a"; @@ -206,14 +254,18 @@ version = "0.3.6"; }; mini_portile2 = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "13d32jjadpjj6d2wdhkfpsmy68zjx90p49bgf8f7nkpz86r1fr11"; + sha256 = "15zplpfw3knqifj9bpf604rb3wc1vhq6363pd6lvhayng8wql5vy"; type = "gem"; }; - version = "2.3.0"; + version = "2.4.0"; }; minitest = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "0icglrhghgwdlnzzp4jf76b0mbc71s80njn5afyfjn4wqji8mqbq"; @@ -223,15 +275,19 @@ }; nokogiri = { dependencies = ["mini_portile2"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0byyxrazkfm29ypcx5q4syrv126nvjnf7z6bqi01sqkv4llsi4qz"; + sha256 = "02bjydih0j515szfv9mls195cvpyidh6ixm7dwbl3s2sbaxxk5s4"; type = "gem"; }; - version = "1.8.5"; + version = "1.10.3"; }; pathutil = { dependencies = ["forwardable-extended"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "12fm93ljw9fbxmv2krki5k5wkvr7560qy8p4spvb9jiiaqv78fz4"; @@ -240,6 +296,8 @@ version = "0.16.2"; }; public_suffix = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "08q64b5br692dd3v0a9wq9q5dvycc6kmiqmjbdxkxbfizggsvx6l"; @@ -248,6 +306,8 @@ version = "3.0.3"; }; rb-fsevent = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "1lm1k7wpz69jx7jrc92w3ggczkjyjbfziq5mg62vjnxmzs383xx8"; @@ -257,14 +317,18 @@ }; rb-inotify = { dependencies = ["ffi"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0yfsgw5n7pkpyky6a9wkf1g9jafxb0ja7gz0qw0y14fd2jnzfh71"; + sha256 = "1fs7hxm9g6ywv2yih83b879klhc4fs8i0p9166z795qmd77dk0a4"; type = "gem"; }; - version = "0.9.10"; + version = "0.10.0"; }; rouge = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "1digsi2s8wyzx8vsqcxasw205lg6s7izx8jypl8rrpjwshmv83ql"; @@ -273,6 +337,8 @@ version = "3.3.0"; }; ruby_dep = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "1c1bkl97i9mkcvkn1jks346ksnvnnp84cs22gwl0vd7radybrgy5"; @@ -281,24 +347,30 @@ version = "1.5.0"; }; safe_yaml = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "1hly915584hyi9q9vgd968x2nsi5yag9jyf5kq60lwzi5scr7094"; + sha256 = "0j7qv63p0vqcd838i2iy2f76c3dgwzkiz1d1xkg7n0pbnxj2vb56"; type = "gem"; }; - version = "1.0.4"; + version = "1.0.5"; }; sass = { dependencies = ["sass-listen"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "18c6prbw9wl8bqhb2435pd9s0lzarl3g7xf8pmyla28zblvwxmyh"; + sha256 = "0p95lhs0jza5l7hqci1isflxakz83xkj97lkvxl919is0lwhv2w0"; type = "gem"; }; - version = "3.6.0"; + version = "3.7.4"; }; sass-listen = { dependencies = ["rb-fsevent" "rb-inotify"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "0xw3q46cmahkgyldid5hwyiwacp590zj2vmswlll68ryvmvcp7df"; @@ -307,6 +379,8 @@ version = "4.0.0"; }; thread_safe = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "0nmhcgq6cgz44srylra07bmaw99f5271l0dpsvl5f75m44l0gmwy"; @@ -316,6 +390,8 @@ }; tzinfo = { dependencies = ["thread_safe"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "1fjx9j327xpkkdlxwmkl3a8wqj7i4l4jwlrv3z13mg95z9wl253z"; diff --git a/nixpkgs/pkgs/applications/misc/jekyll/full/Gemfile.lock b/nixpkgs/pkgs/applications/misc/jekyll/full/Gemfile.lock index 5fe2d1085001..f61e985cbf76 100644 --- a/nixpkgs/pkgs/applications/misc/jekyll/full/Gemfile.lock +++ b/nixpkgs/pkgs/applications/misc/jekyll/full/Gemfile.lock @@ -1,7 +1,7 @@ GEM remote: https://rubygems.org/ specs: - activesupport (4.2.10) + activesupport (4.2.11.1) i18n (~> 0.7) minitest (~> 5.1) thread_safe (~> 0.3, >= 0.3.4) @@ -14,21 +14,21 @@ GEM coffee-script (2.4.1) coffee-script-source execjs - coffee-script-source (1.11.1) + coffee-script-source (1.12.2) colorator (1.1.0) - concurrent-ruby (1.1.1) + concurrent-ruby (1.1.5) em-websocket (0.5.1) eventmachine (>= 0.12.9) http_parser.rb (~> 0.6.0) eventmachine (1.2.7) execjs (2.7.0) - faraday (0.15.3) + faraday (0.15.4) multipart-post (>= 1.2, < 3) fast-stemmer (1.0.2) - ffi (1.9.25) + ffi (1.10.0) forwardable-extended (2.6.0) gemoji (3.0.0) - html-pipeline (2.8.4) + html-pipeline (2.11.0) activesupport (>= 2) nokogiri (>= 1.4) http_parser.rb (0.6.0) @@ -49,33 +49,33 @@ GEM safe_yaml (~> 1.0) jekyll-avatar (0.6.0) jekyll (~> 3.0) - jekyll-coffeescript (1.1.1) + jekyll-coffeescript (1.2.2) coffee-script (~> 2.2) - coffee-script-source (~> 1.11.1) - jekyll-feed (0.11.0) - jekyll (~> 3.3) + coffee-script-source (~> 1.12) + jekyll-feed (0.12.1) + jekyll (>= 3.7, < 5.0) jekyll-gist (1.5.0) octokit (~> 4.2) - jekyll-mentions (1.4.1) + jekyll-mentions (1.5.1) html-pipeline (~> 2.3) - jekyll (~> 3.0) + jekyll (>= 3.7, < 5.0) jekyll-paginate (1.1.0) - jekyll-redirect-from (0.14.0) - jekyll (~> 3.3) + jekyll-redirect-from (0.15.0) + jekyll (>= 3.3, < 5.0) jekyll-sass-converter (1.5.2) sass (~> 3.4) - jekyll-seo-tag (2.5.0) + jekyll-seo-tag (2.6.0) jekyll (~> 3.3) - jekyll-sitemap (1.2.0) - jekyll (~> 3.3) - jekyll-watch (2.1.2) + jekyll-sitemap (1.3.1) + jekyll (>= 3.7, < 5.0) + jekyll-watch (2.2.1) listen (~> 3.0) - jemoji (0.10.1) + jemoji (0.11.0) gemoji (~> 3.0) html-pipeline (~> 2.2) - jekyll (~> 3.0) + jekyll (>= 3.0, < 5.0) kramdown (1.17.0) - liquid (4.0.1) + liquid (4.0.3) liquid-c (3.0.0) liquid (>= 3.0.0) listen (3.1.5) @@ -85,14 +85,14 @@ GEM mercenary (0.3.6) mime-types (3.2.2) mime-types-data (~> 3.2015) - mime-types-data (3.2018.0812) - mini_portile2 (2.3.0) + mime-types-data (3.2019.0331) + mini_portile2 (2.4.0) minitest (5.11.3) multi_json (1.13.1) multipart-post (2.0.0) - nokogiri (1.8.5) - mini_portile2 (~> 2.3.0) - octokit (4.13.0) + nokogiri (1.10.3) + mini_portile2 (~> 2.4.0) + octokit (4.14.0) sawyer (~> 0.8.0, >= 0.5.3) pathutil (0.16.2) forwardable-extended (~> 2.6) @@ -100,15 +100,15 @@ GEM pygments.rb (1.2.1) multi_json (>= 1.0.0) rb-fsevent (0.10.3) - rb-inotify (0.9.10) - ffi (>= 0.5.0, < 2) + rb-inotify (0.10.0) + ffi (~> 1.0) rdiscount (2.2.0.1) - rdoc (6.0.4) + rdoc (6.1.1) redcarpet (3.4.0) rouge (3.3.0) ruby_dep (1.5.0) - safe_yaml (1.0.4) - sass (3.6.0) + safe_yaml (1.0.5) + sass (3.7.4) sass-listen (~> 4.0.0) sass-listen (4.0.0) rb-fsevent (~> 0.9, >= 0.9.4) @@ -117,7 +117,7 @@ GEM addressable (>= 2.3.5, < 2.6) faraday (~> 0.8, < 1.0) thread_safe (0.3.6) - tomlrb (1.2.7) + tomlrb (1.2.8) tzinfo (1.2.5) thread_safe (~> 0.1) yajl-ruby (1.3.1) @@ -152,4 +152,4 @@ DEPENDENCIES yajl-ruby (~> 1.3.1) BUNDLED WITH - 1.16.4 + 1.17.2 diff --git a/nixpkgs/pkgs/applications/misc/jekyll/full/gemset.nix b/nixpkgs/pkgs/applications/misc/jekyll/full/gemset.nix index 4e33cd6ccdcf..de3de298fd34 100644 --- a/nixpkgs/pkgs/applications/misc/jekyll/full/gemset.nix +++ b/nixpkgs/pkgs/applications/misc/jekyll/full/gemset.nix @@ -1,15 +1,19 @@ { activesupport = { dependencies = ["i18n" "minitest" "thread_safe" "tzinfo"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0s12j8vl8vrxfngkdlz9g8bpz9akq1z42d57mx5r537b2pji8nr7"; + sha256 = "1vbq7a805bfvyik2q3kl9s3r418f5qzvysqbz2cwy4hr7m2q4ir6"; type = "gem"; }; - version = "4.2.10"; + version = "4.2.11.1"; }; addressable = { dependencies = ["public_suffix"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "0viqszpkggqi8hq87pqp0xykhvz60g99nwmkwsb0v45kc2liwxvk"; @@ -19,6 +23,20 @@ }; classifier-reborn = { dependencies = ["fast-stemmer"]; + groups = ["default"]; + platforms = [{ + engine = "maglev"; + } { + engine = "mingw"; + } { + engine = "mingw"; + } { + engine = "mswin"; + } { + engine = "rbx"; + } { + engine = "ruby"; + }]; source = { remotes = ["https://rubygems.org"]; sha256 = "04nxmm5b7j7r0ij9pcpdr7xqpig559gfzrw042ycxcfyav2pv6ij"; @@ -27,6 +45,8 @@ version = "2.2.0"; }; coderay = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "15vav4bhcc2x3jmi3izb11l4d9f3xv8hp2fszb7iqmpsccv1pz4y"; @@ -36,6 +56,8 @@ }; coffee-script = { dependencies = ["coffee-script-source" "execjs"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "0rc7scyk7mnpfxqv5yy4y5q1hx3i7q3ahplcp4bq2g5r24g2izl2"; @@ -44,14 +66,18 @@ version = "2.4.1"; }; coffee-script-source = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0xfshhlz808f8639wc88wgls1mww35sid8rd55vn0a4yqajf4vh9"; + sha256 = "1907v9q1zcqmmyqzhzych5l7qifgls2rlbnbhy5vzyr7i7yicaz1"; type = "gem"; }; - version = "1.11.1"; + version = "1.12.2"; }; colorator = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "0f7wvpam948cglrciyqd798gdc6z3cfijciavd0dfixgaypmvy72"; @@ -60,15 +86,19 @@ version = "1.1.0"; }; concurrent-ruby = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "1bnr2dlj2a11qy3rwh6m1mv5419vy32j2axk3ln7bphyvwn7pli0"; + sha256 = "1x07r23s7836cpp5z9yrlbpljcxpax14yw4fy4bnp6crhr6x24an"; type = "gem"; }; - version = "1.1.1"; + version = "1.1.5"; }; em-websocket = { dependencies = ["eventmachine" "http_parser.rb"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "1bsw8vjz0z267j40nhbmrvfz7dvacq4p0pagvyp17jif6mj6v7n3"; @@ -77,6 +107,8 @@ version = "0.5.1"; }; eventmachine = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "0wh9aqb0skz80fhfn66lbpr4f86ya2z5rx6gm5xlfhd05bj1ch4r"; @@ -85,6 +117,8 @@ version = "1.2.7"; }; execjs = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "1yz55sf2nd3l666ms6xr18sm2aggcvmb8qr3v53lr4rir32y1yp1"; @@ -94,14 +128,30 @@ }; faraday = { dependencies = ["multipart-post"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "16hwxc8v0z6gkanckjhx0ffgqmzpc4ywz4dfhxpjlz2mbz8d5m52"; + sha256 = "0s72m05jvzc1pd6cw1i289chas399q0a14xrwg4rvkdwy7bgzrh0"; type = "gem"; }; - version = "0.15.3"; + version = "0.15.4"; }; fast-stemmer = { + groups = ["default"]; + platforms = [{ + engine = "maglev"; + } { + engine = "mingw"; + } { + engine = "mingw"; + } { + engine = "mswin"; + } { + engine = "rbx"; + } { + engine = "ruby"; + }]; source = { remotes = ["https://rubygems.org"]; sha256 = "0688clyk4xxh3kdb18vi089k90mca8ji5fwaknh3da5wrzcrzanh"; @@ -110,14 +160,18 @@ version = "1.0.2"; }; ffi = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0jpm2dis1j7zvvy3lg7axz9jml316zrn7s0j59vyq3qr127z0m7q"; + sha256 = "0j8pzj8raxbir5w5k6s7a042sb5k02pg0f8s4na1r5lan901j00p"; type = "gem"; }; - version = "1.9.25"; + version = "1.10.0"; }; forwardable-extended = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "15zcqfxfvsnprwm8agia85x64vjzr2w0xn9vxfnxzgcv8s699v0v"; @@ -126,6 +180,8 @@ version = "2.6.0"; }; gemoji = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "1h85qpn2xbmsn8ssf2fqzlqg181j000m5z4l3g26r7vblncg162d"; @@ -135,14 +191,18 @@ }; html-pipeline = { dependencies = ["activesupport" "nokogiri"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "1mpj5y13jk1arqkhdk66n49kyglmci980c1l6np7pqgyjllb68ad"; + sha256 = "14659ap360gzmrxl8mb28ys6mld7xw3q4q7pqy0l1cn67szdq779"; type = "gem"; }; - version = "2.8.4"; + version = "2.11.0"; }; "http_parser.rb" = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "15nidriy0v5yqfjsgsra51wmknxci2n2grliz78sf9pga3n0l7gi"; @@ -152,6 +212,8 @@ }; i18n = { dependencies = ["concurrent-ruby"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "038qvz7kd3cfxk8bvagqhakx68pfbnmghpdkx7573wbf0maqp9a3"; @@ -161,6 +223,8 @@ }; jekyll = { dependencies = ["addressable" "colorator" "em-websocket" "i18n" "jekyll-sass-converter" "jekyll-watch" "kramdown" "liquid" "mercenary" "pathutil" "rouge" "safe_yaml"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "1nn2sc308l2mz0yiall4r90l6vy67qp4sy9zapi73a948nd4a5k3"; @@ -170,6 +234,8 @@ }; jekyll-avatar = { dependencies = ["jekyll"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "124624r83pmn7sp2idnsph9m1bbdiha5jnza4ypna8w2inpih51p"; @@ -179,24 +245,30 @@ }; jekyll-coffeescript = { dependencies = ["coffee-script" "coffee-script-source"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "06qf4j9f6ysjb4bq6gsdaiz2ksmhc5yb484v458ra3s6ybccqvvy"; + sha256 = "040i6cyv20qmxlpm74kh5hfci8208ja4903yxdv4x0qs0z172kl9"; type = "gem"; }; - version = "1.1.1"; + version = "1.2.2"; }; jekyll-feed = { dependencies = ["jekyll"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "11mlqqbkmddnyh8xfjv5k6v7c73bbi92w7vw4x1c9xvggxrjzicp"; + sha256 = "01f0ivjdr3qc9dh9n8lj6paiy7zmlb7hs9nk6rgni6jjn5plslg3"; type = "gem"; }; - version = "0.11.0"; + version = "0.12.1"; }; jekyll-gist = { dependencies = ["octokit"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "03wz9j6yq3552nzf4g71qrdm9pfdgbm68abml9sjjgiaan1n8ns9"; @@ -206,14 +278,18 @@ }; jekyll-mentions = { dependencies = ["html-pipeline" "jekyll"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0hg1rlra12im62z5yml4rlll3icz1146hkcv98mk2a96fsgniwqf"; + sha256 = "1r81nbw598s485jsppbpy9kwa471w1rdkpdn3a1mq0swg87cp67v"; type = "gem"; }; - version = "1.4.1"; + version = "1.5.1"; }; jekyll-paginate = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "0r7bcs8fq98zldih4787zk5i9w24nz5wa26m84ssja95n3sas2l8"; @@ -223,15 +299,19 @@ }; jekyll-redirect-from = { dependencies = ["jekyll"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "08xfd7fvqcq6skybxsn4d60rqn4ws2y9hkhl71wz9zrc55xhgxa4"; + sha256 = "1cwpr1z7irar81nm60prvl8ywadc82xhpdxs50n7kiic6q6lkjsb"; type = "gem"; }; - version = "0.14.0"; + version = "0.15.0"; }; jekyll-sass-converter = { dependencies = ["sass"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "008ikh5fk0n6ri54mylcl8jn0mq8p2nfyfqif2q3pp0lwilkcxsk"; @@ -241,41 +321,51 @@ }; jekyll-seo-tag = { dependencies = ["jekyll"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "19yfr5i04gm50swbc6xxf4090z5z1v0kjfnvh695ydq1dkyx1csl"; + sha256 = "104v01vh7frgyra3s32wap1ag9fxcm8pgb8v957khzskqy2jh7am"; type = "gem"; }; - version = "2.5.0"; + version = "2.6.0"; }; jekyll-sitemap = { dependencies = ["jekyll"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0xy93ysl1q8r4xhbnffycvsslja0dskh2z2pl1jnykwsy27dc89n"; + sha256 = "0d3wqvbn37b24ag31xchb5hhnwfl6fnw6pyzp434jggbssxy0a5m"; type = "gem"; }; - version = "1.2.0"; + version = "1.3.1"; }; jekyll-watch = { dependencies = ["listen"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "1s9ly83sp8albvgdff12xy2h4xd8lm6z2fah4lzmk2yvp85jzdzv"; + sha256 = "1qd7hy1kl87fl7l0frw5qbn22x7ayfzlv9a5ca1m59g0ym1ysi5w"; type = "gem"; }; - version = "2.1.2"; + version = "2.2.1"; }; jemoji = { dependencies = ["gemoji" "html-pipeline" "jekyll"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "1yjbgawzmlcppmlhz5sdhjim6ki0vh0vh07mbyf05qa4994ckihs"; + sha256 = "0x463l6a8ikaf6pzap0dgh4gfvlkx56g6kdasj9jfb1ld3fvl99k"; type = "gem"; }; - version = "0.10.1"; + version = "0.11.0"; }; kramdown = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "1n1c4jmrh5ig8iv1rw81s4mw4xsp4v97hvf8zkigv4hn5h542qjq"; @@ -284,15 +374,43 @@ version = "1.17.0"; }; liquid = { + groups = ["default"]; + platforms = [{ + engine = "maglev"; + } { + engine = "mingw"; + } { + engine = "mingw"; + } { + engine = "mswin"; + } { + engine = "rbx"; + } { + engine = "ruby"; + }]; source = { remotes = ["https://rubygems.org"]; - sha256 = "0bs9smxgj29s4k76zfj09f7mhd35qwm9zki1yqa4jfwiki8v97nw"; + sha256 = "0zhg5ha8zy8zw9qr3fl4wgk4r5940n4128xm2pn4shpbzdbsj5by"; type = "gem"; }; - version = "4.0.1"; + version = "4.0.3"; }; liquid-c = { dependencies = ["liquid"]; + groups = ["default"]; + platforms = [{ + engine = "maglev"; + } { + engine = "mingw"; + } { + engine = "mingw"; + } { + engine = "mswin"; + } { + engine = "rbx"; + } { + engine = "ruby"; + }]; source = { remotes = ["https://rubygems.org"]; sha256 = "0a5n7q314ma32y7v9a1g6ps60b14zfn2q4nip4j5aknblz51v7gi"; @@ -302,6 +420,8 @@ }; listen = { dependencies = ["rb-fsevent" "rb-inotify" "ruby_dep"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "01v5mrnfqm6sgm8xn2v5swxsn1wlmq7rzh2i48d4jzjsc7qvb6mx"; @@ -310,6 +430,8 @@ version = "3.1.5"; }; mercenary = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "10la0xw82dh5mqab8bl0dk21zld63cqxb1g16fk8cb39ylc4n21a"; @@ -319,6 +441,8 @@ }; mime-types = { dependencies = ["mime-types-data"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "0fjxy1jm52ixpnv3vg9ld9pr9f35gy0jp66i1njhqjvmnvq0iwwk"; @@ -327,22 +451,28 @@ version = "3.2.2"; }; mime-types-data = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "07wvp0aw2gjm4njibb70as6rh5hi1zzri5vky1q6jx95h8l56idc"; + sha256 = "1m00pg19cm47n1qlcxgl91ajh2yq0fszvn1vy8fy0s1jkrp9fw4a"; type = "gem"; }; - version = "3.2018.0812"; + version = "3.2019.0331"; }; mini_portile2 = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "13d32jjadpjj6d2wdhkfpsmy68zjx90p49bgf8f7nkpz86r1fr11"; + sha256 = "15zplpfw3knqifj9bpf604rb3wc1vhq6363pd6lvhayng8wql5vy"; type = "gem"; }; - version = "2.3.0"; + version = "2.4.0"; }; minitest = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "0icglrhghgwdlnzzp4jf76b0mbc71s80njn5afyfjn4wqji8mqbq"; @@ -351,6 +481,20 @@ version = "5.11.3"; }; multi_json = { + groups = ["default"]; + platforms = [{ + engine = "maglev"; + } { + engine = "mingw"; + } { + engine = "mingw"; + } { + engine = "mswin"; + } { + engine = "rbx"; + } { + engine = "ruby"; + }]; source = { remotes = ["https://rubygems.org"]; sha256 = "1rl0qy4inf1mp8mybfk56dfga0mvx97zwpmq5xmiwl5r770171nv"; @@ -359,6 +503,8 @@ version = "1.13.1"; }; multipart-post = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "09k0b3cybqilk1gwrwwain95rdypixb2q9w65gd44gfzsd84xi1x"; @@ -368,24 +514,30 @@ }; nokogiri = { dependencies = ["mini_portile2"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0byyxrazkfm29ypcx5q4syrv126nvjnf7z6bqi01sqkv4llsi4qz"; + sha256 = "02bjydih0j515szfv9mls195cvpyidh6ixm7dwbl3s2sbaxxk5s4"; type = "gem"; }; - version = "1.8.5"; + version = "1.10.3"; }; octokit = { dependencies = ["sawyer"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "1yh0yzzqg575ix3y2l2261b9ag82gv2v4f1wczdhcmfbxcz755x6"; + sha256 = "1w7agbfg39jzqk81yad9xhscg31869277ysr2iwdvpjafl5lj4ha"; type = "gem"; }; - version = "4.13.0"; + version = "4.14.0"; }; pathutil = { dependencies = ["forwardable-extended"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "12fm93ljw9fbxmv2krki5k5wkvr7560qy8p4spvb9jiiaqv78fz4"; @@ -394,6 +546,8 @@ version = "0.16.2"; }; public_suffix = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "08q64b5br692dd3v0a9wq9q5dvycc6kmiqmjbdxkxbfizggsvx6l"; @@ -403,6 +557,20 @@ }; "pygments.rb" = { dependencies = ["multi_json"]; + groups = ["default"]; + platforms = [{ + engine = "maglev"; + } { + engine = "mingw"; + } { + engine = "mingw"; + } { + engine = "mswin"; + } { + engine = "rbx"; + } { + engine = "ruby"; + }]; source = { remotes = ["https://rubygems.org"]; sha256 = "0lbvnwvz770ambm4d6lxgc2097rydn5rcc5d6986bnkzyxfqqjnv"; @@ -411,6 +579,8 @@ version = "1.2.1"; }; rb-fsevent = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "1lm1k7wpz69jx7jrc92w3ggczkjyjbfziq5mg62vjnxmzs383xx8"; @@ -420,14 +590,30 @@ }; rb-inotify = { dependencies = ["ffi"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0yfsgw5n7pkpyky6a9wkf1g9jafxb0ja7gz0qw0y14fd2jnzfh71"; + sha256 = "1fs7hxm9g6ywv2yih83b879klhc4fs8i0p9166z795qmd77dk0a4"; type = "gem"; }; - version = "0.9.10"; + version = "0.10.0"; }; rdiscount = { + groups = ["default"]; + platforms = [{ + engine = "maglev"; + } { + engine = "mingw"; + } { + engine = "mingw"; + } { + engine = "mswin"; + } { + engine = "rbx"; + } { + engine = "ruby"; + }]; source = { remotes = ["https://rubygems.org"]; sha256 = "1arvk3k06prxasq1djbj065ixar4zl171340g7wr1ww4gj9makx3"; @@ -436,14 +622,30 @@ version = "2.2.0.1"; }; rdoc = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0anv42cqcdc6g4n386mrva7mgav5i0c2ry3yzvzzc6z6hymkmcr7"; + sha256 = "07b7ac2nls2yskaicqshxgip6dwpxl80hlx4pslq90jgpxgs2kkp"; type = "gem"; }; - version = "6.0.4"; + version = "6.1.1"; }; redcarpet = { + groups = ["default"]; + platforms = [{ + engine = "maglev"; + } { + engine = "mingw"; + } { + engine = "mingw"; + } { + engine = "mswin"; + } { + engine = "rbx"; + } { + engine = "ruby"; + }]; source = { remotes = ["https://rubygems.org"]; sha256 = "0h9qz2hik4s9knpmbwrzb3jcp3vc5vygp9ya8lcpl7f1l9khmcd7"; @@ -452,6 +654,8 @@ version = "3.4.0"; }; rouge = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "1digsi2s8wyzx8vsqcxasw205lg6s7izx8jypl8rrpjwshmv83ql"; @@ -460,6 +664,8 @@ version = "3.3.0"; }; ruby_dep = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "1c1bkl97i9mkcvkn1jks346ksnvnnp84cs22gwl0vd7radybrgy5"; @@ -468,24 +674,30 @@ version = "1.5.0"; }; safe_yaml = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "1hly915584hyi9q9vgd968x2nsi5yag9jyf5kq60lwzi5scr7094"; + sha256 = "0j7qv63p0vqcd838i2iy2f76c3dgwzkiz1d1xkg7n0pbnxj2vb56"; type = "gem"; }; - version = "1.0.4"; + version = "1.0.5"; }; sass = { dependencies = ["sass-listen"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "18c6prbw9wl8bqhb2435pd9s0lzarl3g7xf8pmyla28zblvwxmyh"; + sha256 = "0p95lhs0jza5l7hqci1isflxakz83xkj97lkvxl919is0lwhv2w0"; type = "gem"; }; - version = "3.6.0"; + version = "3.7.4"; }; sass-listen = { dependencies = ["rb-fsevent" "rb-inotify"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "0xw3q46cmahkgyldid5hwyiwacp590zj2vmswlll68ryvmvcp7df"; @@ -495,6 +707,8 @@ }; sawyer = { dependencies = ["addressable" "faraday"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "0sv1463r7bqzvx4drqdmd36m7rrv6sf1v3c6vswpnq3k6vdw2dvd"; @@ -503,6 +717,8 @@ version = "0.8.1"; }; thread_safe = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "0nmhcgq6cgz44srylra07bmaw99f5271l0dpsvl5f75m44l0gmwy"; @@ -511,15 +727,19 @@ version = "0.3.6"; }; tomlrb = { + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "1x3bg9mmma1gsl5j5kc9m8m77w6qwcq6ix2d0kwi5rcwpr7siyx6"; + sha256 = "0g28ssfal6vry3cmhy509ba3vi5d5aggz1gnffnvvmc8ml8vkpiv"; type = "gem"; }; - version = "1.2.7"; + version = "1.2.8"; }; tzinfo = { dependencies = ["thread_safe"]; + groups = ["default"]; + platforms = []; source = { remotes = ["https://rubygems.org"]; sha256 = "1fjx9j327xpkkdlxwmkl3a8wqj7i4l4jwlrv3z13mg95z9wl253z"; @@ -528,6 +748,20 @@ version = "1.2.5"; }; yajl-ruby = { + groups = ["default"]; + platforms = [{ + engine = "maglev"; + } { + engine = "mingw"; + } { + engine = "mingw"; + } { + engine = "mswin"; + } { + engine = "rbx"; + } { + engine = "ruby"; + }]; source = { remotes = ["https://rubygems.org"]; sha256 = "1rn4kc9fha990yd252wglh6rcyh35cavm1vpyfj8krlcwph09g30"; diff --git a/nixpkgs/pkgs/applications/misc/joplin-desktop/default.nix b/nixpkgs/pkgs/applications/misc/joplin-desktop/default.nix index bf2de7271abd..615ca619aec7 100644 --- a/nixpkgs/pkgs/applications/misc/joplin-desktop/default.nix +++ b/nixpkgs/pkgs/applications/misc/joplin-desktop/default.nix @@ -1,8 +1,8 @@ { stdenv, appimage-run, fetchurl, gsettings-desktop-schemas, gtk3, gobject-introspection, wrapGAppsHook }: let - version = "1.0.142"; - sha256 = "0k7lnv3qqz17a2a2d431sic3ggi3373r5k0kwxm4017ama7d72m1"; + version = "1.0.143"; + sha256 = "1waglwxpr18a07m7ix9al6ac4hrdqzzqmy1qgp45b922nbkw9g10"; in stdenv.mkDerivation rec { name = "joplin-${version}"; diff --git a/nixpkgs/pkgs/applications/misc/mako/default.nix b/nixpkgs/pkgs/applications/misc/mako/default.nix index 3d8ed3627f2a..f076a2838eb4 100644 --- a/nixpkgs/pkgs/applications/misc/mako/default.nix +++ b/nixpkgs/pkgs/applications/misc/mako/default.nix @@ -1,19 +1,24 @@ -{ stdenv, fetchFromGitHub, meson, ninja, pkgconfig, scdoc, systemd, pango, cairo +{ stdenv, fetchFromGitHub, meson, ninja, pkgconfig, scdoc +, systemd, pango, cairo, gdk_pixbuf , wayland, wayland-protocols }: stdenv.mkDerivation rec { - name = "mako-${version}"; - version = "1.2"; + pname = "mako"; + version = "1.3"; src = fetchFromGitHub { owner = "emersion"; - repo = "mako"; + repo = pname; rev = "v${version}"; - sha256 = "112b7s5bkvwlgsm2kng2vh8mn6wr3a6c7n1arl9adxlghdym449h"; + sha256 = "17azdc37xsbmx13fkfp23vg9lznrv9fh6nhagn64wdq3nhsxm3b6"; }; - nativeBuildInputs = [ meson ninja pkgconfig scdoc ]; - buildInputs = [ systemd pango cairo wayland wayland-protocols ]; + nativeBuildInputs = [ meson ninja pkgconfig scdoc wayland-protocols ]; + buildInputs = [ systemd pango cairo gdk_pixbuf wayland ]; + + mesonFlags = [ + "-Dicons=enabled" "-Dman-pages=enabled" "-Dzsh-completions=true" + ]; meta = with stdenv.lib; { description = "A lightweight Wayland notification daemon"; diff --git a/nixpkgs/pkgs/applications/misc/qtbitcointrader/default.nix b/nixpkgs/pkgs/applications/misc/qtbitcointrader/default.nix index 9ad9f4fe15a7..7586669218da 100644 --- a/nixpkgs/pkgs/applications/misc/qtbitcointrader/default.nix +++ b/nixpkgs/pkgs/applications/misc/qtbitcointrader/default.nix @@ -1,14 +1,14 @@ { stdenv, fetchzip, qt5 }: let - version = "1.40.40"; + version = "1.40.41"; in stdenv.mkDerivation { name = "qtbitcointrader-${version}"; src = fetchzip { url = "https://github.com/JulyIGHOR/QtBitcoinTrader/archive/v${version}.tar.gz"; - sha256 = "10gxxkmn7w2hbmznpx6ybbbvlvh640nyzya1yfn162vzbjg14jdi"; + sha256 = "0v2rqzswqxfhxvkj1i7b48sd6kbj3w9issvn05yhp7bx75gwns4p"; }; buildInputs = [ qt5.qtbase qt5.qtmultimedia qt5.qtscript ]; diff --git a/nixpkgs/pkgs/applications/misc/rofi/default.nix b/nixpkgs/pkgs/applications/misc/rofi/default.nix index 0edcde12e341..a8e82998c306 100644 --- a/nixpkgs/pkgs/applications/misc/rofi/default.nix +++ b/nixpkgs/pkgs/applications/misc/rofi/default.nix @@ -26,7 +26,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "Window switcher, run dialog and dmenu replacement"; - homepage = https://davedavenport.github.io/rofi; + homepage = https://github.com/davatorium/rofi; license = licenses.mit; maintainers = with maintainers; [ mbakke garbas ma27 ]; platforms = with platforms; linux; diff --git a/nixpkgs/pkgs/applications/misc/rxvt_unicode/wrapper.nix b/nixpkgs/pkgs/applications/misc/rxvt_unicode/wrapper.nix index 909c267def82..fd0860b3aaef 100644 --- a/nixpkgs/pkgs/applications/misc/rxvt_unicode/wrapper.nix +++ b/nixpkgs/pkgs/applications/misc/rxvt_unicode/wrapper.nix @@ -1,4 +1,4 @@ -{ symlinkJoin, rxvt_unicode, makeWrapper, plugins }: +{ symlinkJoin, rxvt_unicode, makeWrapper, plugins, perlPackages, perlDeps ? []}: let rxvt_name = builtins.parseDrvName rxvt_unicode.name; @@ -12,8 +12,10 @@ in symlinkJoin { postBuild = '' wrapProgram $out/bin/urxvt \ + --prefix PERL5LIB : "${perlPackages.makePerlPath perlDeps}" \ --suffix-each URXVT_PERL_LIB ':' "$out/lib/urxvt/perl" wrapProgram $out/bin/urxvtd \ + --prefix PERL5LIB : "${perlPackages.makePerlPath perlDeps}" \ --suffix-each URXVT_PERL_LIB ':' "$out/lib/urxvt/perl" ''; diff --git a/nixpkgs/pkgs/applications/misc/stretchly/default.nix b/nixpkgs/pkgs/applications/misc/stretchly/default.nix new file mode 100644 index 000000000000..8f26ee99e227 --- /dev/null +++ b/nixpkgs/pkgs/applications/misc/stretchly/default.nix @@ -0,0 +1,139 @@ +{ GConf +, alsaLib +, at-spi2-atk +, atk +, cairo +, cups +, dbus +, expat +, fetchurl +, fontconfig +, gdk_pixbuf +, glib +, gtk2 +, gtk3 +, lib +, libX11 +, libXScrnSaver +, libXcomposite +, libXcursor +, libXdamage +, libXext +, libXfixes +, libXi +, libXrandr +, libXrender +, libXtst +, libappindicator +, libdrm +, libnotify +, libpciaccess +, libpng12 +, libxcb +, nspr +, nss +, pango +, pciutils +, pulseaudio +, stdenv +, udev +, wrapGAppsHook +}: + +let + libs = [ + GConf + alsaLib + at-spi2-atk + atk + cairo + cups + dbus + expat + fontconfig + gdk_pixbuf + glib + gtk2 + gtk3 + libX11 + libXScrnSaver + libXcomposite + libXcursor + libXdamage + libXext + libXfixes + libXi + libXrandr + libXrender + libXtst + libappindicator + libdrm + libnotify + libpciaccess + libpng12 + libxcb + nspr + nss + pango + pciutils + pulseaudio + stdenv.cc.cc.lib + udev + ]; + + libPath = lib.makeLibraryPath libs; +in + +stdenv.mkDerivation rec { + pname = "stretchly"; + version = "0.19.1"; + + src = fetchurl { + url = "https://github.com/hovancik/stretchly/releases/download/v${version}/stretchly-${version}.tar.xz"; + sha256 = "1q2wxfqs8qv9b1rfh5lhmyp3rrgdl05m6ihsgkxlgp0yzi07afz8"; + }; + + nativeBuildInputs = [ + wrapGAppsHook + ]; + + buildInputs = libs; + + dontPatchELF = true; + dontBuild = true; + dontConfigure = true; + + installPhase = '' + mkdir -p $out/bin $out/lib/stretchly + cp -r ./* $out/lib/stretchly/ + ln -s $out/lib/stretchly/libffmpeg.so $out/lib/ + ln -s $out/lib/stretchly/libnode.so $out/lib/ + ln -s $out/lib/stretchly/stretchly $out/bin/ + ''; + + preFixup = '' + patchelf --set-rpath "${libPath}" $out/lib/stretchly/libffmpeg.so + patchelf --set-rpath "${libPath}" $out/lib/stretchly/libnode.so + + patchelf \ + --set-rpath "$out/lib/stretchly:${libPath}" \ + --set-interpreter "$(cat $NIX_CC/nix-support/dynamic-linker)" \ + $out/lib/stretchly/stretchly + ''; + + meta = with stdenv.lib; { + description = "A break time reminder app"; + longDescription = '' + stretchly is a cross-platform electron app that reminds you to take + breaks when working on your computer. By default, it runs in your tray + and displays a reminder window containing an idea for a microbreak for 20 + seconds every 10 minutes. Every 30 minutes, it displays a window + containing an idea for a longer 5 minute break. + ''; + homepage = https://hovancik.net/stretchly; + downloadPage = https://hovancik.net/stretchly/downloads/; + license = licenses.bsd2; + maintainers = with maintainers; [ cdepillabout ]; + platforms = platforms.linux; + }; +} diff --git a/nixpkgs/pkgs/applications/misc/xterm/default.nix b/nixpkgs/pkgs/applications/misc/xterm/default.nix index 25d2e0905806..c306e8e6d92b 100644 --- a/nixpkgs/pkgs/applications/misc/xterm/default.nix +++ b/nixpkgs/pkgs/applications/misc/xterm/default.nix @@ -63,7 +63,7 @@ stdenv.mkDerivation rec { ''; meta = { - homepage = http://invisible-island.net/xterm; + homepage = https://invisible-island.net/xterm; license = with stdenv.lib.licenses; [ mit ]; maintainers = with stdenv.lib.maintainers; [vrthra]; platforms = with stdenv.lib.platforms; linux ++ darwin; diff --git a/nixpkgs/pkgs/applications/networking/browsers/chromium/upstream-info.nix b/nixpkgs/pkgs/applications/networking/browsers/chromium/upstream-info.nix index de83e0e0646c..566ec6006aa1 100644 --- a/nixpkgs/pkgs/applications/networking/browsers/chromium/upstream-info.nix +++ b/nixpkgs/pkgs/applications/networking/browsers/chromium/upstream-info.nix @@ -1,18 +1,18 @@ # This file is autogenerated from update.sh in the same directory. { beta = { - sha256 = "1lpgkf292f6v6v19zjp1si6vvizixk9192yjx76pq1d790678qrb"; - sha256bin64 = "028c3gjh5zbxr53wkk3s5jvgwc2fz9cnvnyr58q4la91vyrbjslm"; - version = "74.0.3729.61"; + sha256 = "0vsvjhmrc2p8mf4rfp9bf9y4nqkbbi5v8008cdvr6c14zq35w7hy"; + sha256bin64 = "1zzmk08y2rhirm297a91bvq5q30vvxll8fzfp7z5cpqb6az80y2h"; + version = "74.0.3729.108"; }; dev = { - sha256 = "15197r2gbx4h7dsasvgz0vcl7mqmj0glc4sip99dw145drwdpmsq"; - sha256bin64 = "1qf089l5k7l69msrn49z5lkg932n1rgnzgr9yg5ja89arcgynacv"; - version = "75.0.3753.4"; + sha256 = "1wrg4r2q043i8i4vq9zn69yvnzjxzmxyn21k367909kci83hhi44"; + sha256bin64 = "1jv9wi4nddijjp9y0r77rxciqsd1rkd87ipvagyq5nzpxr6wdzsa"; + version = "75.0.3766.2"; }; stable = { - sha256 = "1bskjr7yiwvdab1b5mp36y6964xqpgks6dqazm4qifwqvqcw80pb"; - sha256bin64 = "00ndrqhmnk567sw6xj22m84126qvivq0jzfx0v46ya3kq6ln22gr"; - version = "73.0.3683.103"; + sha256 = "0vsvjhmrc2p8mf4rfp9bf9y4nqkbbi5v8008cdvr6c14zq35w7hy"; + sha256bin64 = "0zs3khzszppmjf5s4rs6fbmhgc9y0abj4q4q8j3hn6nisddi9q9c"; + version = "74.0.3729.108"; }; } diff --git a/nixpkgs/pkgs/applications/networking/browsers/tor-browser-bundle-bin/default.nix b/nixpkgs/pkgs/applications/networking/browsers/tor-browser-bundle-bin/default.nix index 283c63dcd6fc..f37677b05213 100644 --- a/nixpkgs/pkgs/applications/networking/browsers/tor-browser-bundle-bin/default.nix +++ b/nixpkgs/pkgs/applications/networking/browsers/tor-browser-bundle-bin/default.nix @@ -302,10 +302,12 @@ stdenv.mkDerivation rec { # easily generated by firefox at startup. rm -f "\$HOME/TorBrowser/Data/Browser/profile.default"/{compatibility.ini,extensions.ini,extensions.json} + # XDG + : "\''${XDG_RUNTIME_DIR:=/run/user/\$(id -u)}" + : "\''${XDG_CONFIG_HOME:=\$REAL_HOME/.config}" + ${optionalString pulseaudioSupport '' # Figure out some envvars for pulseaudio - : "\''${XDG_RUNTIME_DIR:=/run/user/\$(id -u)}" - : "\''${XDG_CONFIG_HOME:=\$REAL_HOME/.config}" : "\''${PULSE_SERVER:=\$XDG_RUNTIME_DIR/pulse/native}" : "\''${PULSE_COOKIE:=\$XDG_CONFIG_HOME/pulse/cookie}" ''} @@ -336,9 +338,9 @@ stdenv.mkDerivation rec { \ TMPDIR="\''${TMPDIR:-/tmp}" \ HOME="\$HOME" \ - XAUTHORITY="\''${XAUTHORITY:-}" \ + XAUTHORITY="\''${XAUTHORITY:-\$HOME/.Xauthority}" \ DISPLAY="\$DISPLAY" \ - DBUS_SESSION_BUS_ADDRESS="\$DBUS_SESSION_BUS_ADDRESS" \ + DBUS_SESSION_BUS_ADDRESS="\''${DBUS_SESSION_BUS_ADDRESS:-unix:path=\$XDG_RUNTIME_DIR/bus}" \\ \ XDG_DATA_HOME="\$HOME/.local/share" \ XDG_DATA_DIRS="$WRAPPER_XDG_DATA_DIRS" \ diff --git a/nixpkgs/pkgs/applications/networking/browsers/vivaldi/default.nix b/nixpkgs/pkgs/applications/networking/browsers/vivaldi/default.nix index 85751e526fae..e5e162ff333f 100644 --- a/nixpkgs/pkgs/applications/networking/browsers/vivaldi/default.nix +++ b/nixpkgs/pkgs/applications/networking/browsers/vivaldi/default.nix @@ -7,21 +7,24 @@ , glib, gtk3, pango, gdk_pixbuf, cairo, atk, at-spi2-atk, at-spi2-core, gnome2 , nss, nspr , patchelf, makeWrapper +, isSnapshot ? false , proprietaryCodecs ? false, vivaldi-ffmpeg-codecs ? null }: -stdenv.mkDerivation rec { - name = "${product}-${version}"; - product = "vivaldi"; +let + branch = if isSnapshot then "snapshot" else "stable"; + vivaldiName = if isSnapshot then "vivaldi-snapshot" else "vivaldi"; +in stdenv.mkDerivation rec { + pname = "vivaldi"; version = "2.4.1488.40-1"; src = fetchurl { - url = "https://downloads.vivaldi.com/stable/${product}-stable_${version}_amd64.deb"; + url = "https://downloads.vivaldi.com/${branch}/vivaldi-${branch}_${version}_amd64.deb"; sha256 = "0w084mamy72v1kkfgg8nn2q3hmfj7v216kkvqb52f1nyycqqzb37"; }; unpackPhase = '' - ar vx ${src} + ar vx $src tar -xvf data.tar.xz ''; @@ -38,17 +41,17 @@ stdenv.mkDerivation rec { libPath = stdenv.lib.makeLibraryPath buildInputs + stdenv.lib.optionalString (stdenv.is64bit) (":" + stdenv.lib.makeSearchPathOutput "lib" "lib64" buildInputs) - + ":$out/opt/vivaldi/lib"; + + ":$out/opt/${vivaldiName}/lib"; buildPhase = '' echo "Patching Vivaldi binaries" patchelf \ --set-interpreter "$(cat $NIX_CC/nix-support/dynamic-linker)" \ --set-rpath "${libPath}" \ - opt/vivaldi/vivaldi-bin + opt/${vivaldiName}/vivaldi-bin '' + stdenv.lib.optionalString proprietaryCodecs '' sed -i '/^VIVALDI_FFMPEG_FOUND/ a \ - checkffmpeg "${vivaldi-ffmpeg-codecs}/lib/libffmpeg.so"' opt/vivaldi/vivaldi + checkffmpeg "${vivaldi-ffmpeg-codecs}/lib/libffmpeg.so"' opt/${vivaldiName}/vivaldi '' + '' echo "Finished patching Vivaldi binaries" ''; @@ -60,16 +63,16 @@ stdenv.mkDerivation rec { mkdir -p "$out" cp -r opt "$out" mkdir "$out/bin" - ln -s "$out/opt/vivaldi/vivaldi" "$out/bin/vivaldi" + ln -s "$out/opt/${vivaldiName}/${vivaldiName}" "$out/bin/vivaldi" mkdir -p "$out/share" cp -r usr/share/{applications,xfce4} "$out"/share substituteInPlace "$out"/share/applications/*.desktop \ - --replace /usr/bin/vivaldi-stable "$out"/bin/vivaldi + --replace /usr/bin/${vivaldiName} "$out"/bin/vivaldi local d for d in 16 22 24 32 48 64 128 256; do mkdir -p "$out"/share/icons/hicolor/''${d}x''${d}/apps ln -s \ - "$out"/opt/vivaldi/product_logo_''${d}.png \ + "$out"/opt/${vivaldiName}/product_logo_''${d}.png \ "$out"/share/icons/hicolor/''${d}x''${d}/apps/vivaldi.png done wrapProgram "$out/bin/vivaldi" \ diff --git a/nixpkgs/pkgs/applications/networking/cluster/kubernetes/default.nix b/nixpkgs/pkgs/applications/networking/cluster/kubernetes/default.nix index ef31898dd0c7..3c20eb126f0c 100644 --- a/nixpkgs/pkgs/applications/networking/cluster/kubernetes/default.nix +++ b/nixpkgs/pkgs/applications/networking/cluster/kubernetes/default.nix @@ -15,13 +15,13 @@ with lib; stdenv.mkDerivation rec { name = "kubernetes-${version}"; - version = "1.13.5"; + version = "1.14.0"; src = fetchFromGitHub { owner = "kubernetes"; repo = "kubernetes"; rev = "v${version}"; - sha256 = "06pf4h76zsqs3dsxr57y9sb9sw48nfyw1x2q1725zww61jfz2a6y"; + sha256 = "1c04x474m5b7qqs9kddrx2mygwpv40hvylr3cq34qxdxgang3qc6"; }; buildInputs = [ removeReferencesTo makeWrapper which go rsync go-bindata ]; @@ -30,7 +30,7 @@ stdenv.mkDerivation rec { postPatch = '' substituteInPlace "hack/lib/golang.sh" --replace "_cgo" "" - substituteInPlace "hack/generate-docs.sh" --replace "make" "make SHELL=${stdenv.shell}" + substituteInPlace "hack/update-generated-docs.sh" --replace "make" "make SHELL=${stdenv.shell}" # hack/update-munge-docs.sh only performs some tests on the documentation. # They broke building k8s; disabled for now. echo "true" > "hack/update-munge-docs.sh" @@ -41,7 +41,7 @@ stdenv.mkDerivation rec { WHAT="${concatStringsSep " " components}"; postBuild = '' - ./hack/generate-docs.sh + ./hack/update-generated-docs.sh (cd build/pause && cc pause.c -o pause) ''; diff --git a/nixpkgs/pkgs/applications/networking/cluster/terraform-providers/data.nix b/nixpkgs/pkgs/applications/networking/cluster/terraform-providers/data.nix index ef0da8e6ff5b..76178c0cd8b6 100644 --- a/nixpkgs/pkgs/applications/networking/cluster/terraform-providers/data.nix +++ b/nixpkgs/pkgs/applications/networking/cluster/terraform-providers/data.nix @@ -11,22 +11,22 @@ { owner = "terraform-providers"; repo = "terraform-provider-alicloud"; - version = "1.36.0"; - sha256 = "13rmlsnplcmcxjksm1wizgzlx47h5in5gmqhbm2n6k5ayx4x9ha2"; + version = "1.39.0"; + sha256 = "0m5fvqrvnb7v5iii67l743j3hq32rljrvnkgc7fcd46zrf38yl2h"; }; archive = { owner = "terraform-providers"; repo = "terraform-provider-archive"; - version = "1.2.0"; - sha256 = "1qargj90nl7r8a1fcsj10fnjirskvbn3gz0dsijqnr432jxs2cvh"; + version = "1.2.1"; + sha256 = "1avx3m0dkld0kmsi8ifqcla8254p1izh5wrziffr7r05s152r8qf"; }; arukas = { owner = "terraform-providers"; repo = "terraform-provider-arukas"; - version = "1.0.0"; - sha256 = "1v8jzns8czy8navdrabsvc9zk1s9vs1718ngjlx9vs13r3bkm2fc"; + version = "1.1.0"; + sha256 = "1akl9fzgm5qv01vz18xjzyqjnlxw699qq4x8vr96j16l1zf10h99"; }; atlas = { @@ -39,8 +39,8 @@ { owner = "terraform-providers"; repo = "terraform-provider-aws"; - version = "2.3.0"; - sha256 = "0cim14q56xr7hm06d4sy205p4xp1x3kbddb4pw65ck671i55h7ln"; + version = "2.6.0"; + sha256 = "0hpnyid5w33n8ypwcz3a43gazbvk6m60b57qll2qgx6bm1q75b19"; }; azuread = { @@ -53,15 +53,15 @@ { owner = "terraform-providers"; repo = "terraform-provider-azurerm"; - version = "1.23.0"; - sha256 = "11v1832sxnigyiyy4i34mxwn7j18i6iwsff8sqabpa7v9a405ngp"; + version = "1.25.0"; + sha256 = "1fhcljxz6cb5q1kd7aprxv1bga53x6fg65q5wkp985aaqnl42b8k"; }; azurestack = { owner = "terraform-providers"; repo = "terraform-provider-azurestack"; - version = "0.4.0"; - sha256 = "1c9am0v4aq95k1jblc767vykk76dp0d6z2fvy148ag77cy2jgryc"; + version = "0.5.0"; + sha256 = "0pi8hjl6350ibkiz5pi86p58gkj8jf0a27ar04npsrn2wcz90k8z"; }; bigip = { @@ -81,8 +81,8 @@ { owner = "terraform-providers"; repo = "terraform-provider-brightbox"; - version = "1.0.6"; - sha256 = "02wbx36kdbxxjwsbbwry3drdx5injllglpsb56dw1fvqymmal7wl"; + version = "1.1.1"; + sha256 = "03fh287fmbg9jxsiniwj3sn6nsjdm46qv82b5aaqny3qmhjddjvq"; }; chef = { @@ -109,15 +109,15 @@ { owner = "terraform-providers"; repo = "terraform-provider-cloudflare"; - version = "1.12.0"; - sha256 = "1kq9mbs3if7w9jnsdkj104lvy532lwkd9wr4m0ilh7zql0vm9mpk"; + version = "1.13.0"; + sha256 = "01fgcycn5g53cx32xcgrpcpvjxwb8w11hs0f7s2bhg6cq0v9higj"; }; cloudscale = { owner = "terraform-providers"; repo = "terraform-provider-cloudscale"; - version = "1.0.1"; - sha256 = "0lhzwbm1a2s11s0ahb3vxfvshh385fgy1ficvip4rl31dahhwrav"; + version = "1.1.0"; + sha256 = "09b1cq0vyyag8aaiwmhnwrgigmxvxk3qsk31h4hshm7ddk7db9qn"; }; cloudstack = { @@ -137,15 +137,15 @@ { owner = "terraform-providers"; repo = "terraform-provider-consul"; - version = "2.2.0"; - sha256 = "13jwvf7n7238pzvdq9m6vnl9vqa9hkr1mrcf9sa1q9lxkim9ijfk"; + version = "2.3.0"; + sha256 = "06b6yjmaq7sq26kfsqxlxmz5mnr1xsn1vywndh14grqwg6zmsrl6"; }; datadog = { owner = "terraform-providers"; repo = "terraform-provider-datadog"; - version = "1.7.0"; - sha256 = "0a7nvq2nhfv2jg6jc83jjg11yzzkiwyifdj5ni76nri82mbhb14q"; + version = "1.8.0"; + sha256 = "1pmz4gxhfynj7mjcl07n2ggz00vccw4bv6cmp7na3ssbpnjiy1wx"; }; digitalocean = { @@ -193,8 +193,8 @@ { owner = "terraform-providers"; repo = "terraform-provider-external"; - version = "1.1.0"; - sha256 = "18gwwcspj31rid0r603z0688nzdwl2nl8wy6hrzw62bx5gy4bdrp"; + version = "1.1.1"; + sha256 = "110kqp4gsag3l8h9hwjdq98s9rz9f5w31mrs50rygdc0yd43hq8z"; }; fastly = { @@ -228,15 +228,15 @@ { owner = "terraform-providers"; repo = "terraform-provider-google"; - version = "2.3.0"; - sha256 = "063z08qamy0yx376qh0pxd446kbnp6z1828x6nr7yzh4dw0rzc3s"; + version = "2.4.0"; + sha256 = "153pr01m7clvdq9c09xhvlivagn12nlxhykh1x9yya9ixkg0zrrv"; }; google-beta = { owner = "terraform-providers"; repo = "terraform-provider-google-beta"; - version = "2.3.0"; - sha256 = "120vq5bd092ykv6cc53w9208wfmf2hd8hflas282l4yg0b47gx83"; + version = "2.4.0"; + sha256 = "08vbj9m6x0byf7x77a5b5isg0kmlxvc9gswgilzi6djgwg0j6wwd"; }; grafana = { @@ -270,8 +270,8 @@ { owner = "terraform-providers"; repo = "terraform-provider-heroku"; - version = "1.8.0"; - sha256 = "0gansylgmc8fx9lskpc4dkjjc3v3jxbmcgscbgc4qfiiybxf5j8n"; + version = "1.9.0"; + sha256 = "1qabhf2qm7ba5jw6hclkcp2pw8c39ggrqkhhqg0bh03h220rl22x"; }; http = { @@ -326,15 +326,15 @@ { owner = "terraform-providers"; repo = "terraform-provider-linode"; - version = "1.5.0"; - sha256 = "1vp20jxxrzm57z6ldni2rbw9plwws17gpzv3z0ffqa9rijqxh3fr"; + version = "1.6.0"; + sha256 = "0i2x0jd26qanziihlc38s7rxiab66ld2s5gz18rrmrbwhcl95fwj"; }; local = { owner = "terraform-providers"; repo = "terraform-provider-local"; - version = "1.2.0"; - sha256 = "1w63ar3cr4095kza400chmmdzdadb1d871pbd14pzghl63nyg4ig"; + version = "1.2.1"; + sha256 = "1hi2bxa79fbdf2n6z59xdfs7bx8cg7q2l84hrxdh3cqbnb8jvsbr"; }; logentries = { @@ -389,8 +389,8 @@ { owner = "terraform-providers"; repo = "terraform-provider-ns1"; - version = "1.2.0"; - sha256 = "0j9xghm84zjfgsmix1gdslr4pnhcf2xmb7n1851a3pmxd851fd9b"; + version = "1.3.0"; + sha256 = "1h21a4z7js2iwg0gj217lq4d7wccxhjmi5k37asfj18ch0x37c7v"; }; nsxt = { @@ -403,8 +403,8 @@ { owner = "terraform-providers"; repo = "terraform-provider-null"; - version = "2.1.0"; - sha256 = "0hgdg5hl33kx9hzj2y0aqfq32q92b3hbdvx1a391c0miz6rn2k8k"; + version = "2.1.1"; + sha256 = "0sw7j2cz9d5207l1v32ahqahmsk1dzzsf83zqivaa5jk3w4bfnjz"; }; nutanix = { @@ -417,8 +417,8 @@ { owner = "terraform-providers"; repo = "terraform-provider-oci"; - version = "3.20.0-rc1"; - sha256 = "07z4bl2fz8wvfjznrci6dxigx8ypi2r4w8xk9fv0bpjm0a6pp813"; + version = "3.23.0-rc1"; + sha256 = "11h8na7czj4iqm9z3pd8im06qqp3mng62h8ha5afvz2phv1g096h"; }; oneandone = { @@ -431,15 +431,15 @@ { owner = "terraform-providers"; repo = "terraform-provider-opc"; - version = "1.3.2"; - sha256 = "0fm53xwgpsmfkqavichxb0vq86bqqpsadlyzqz5jafw3aavx91cb"; + version = "1.3.3"; + sha256 = "1hwbwyhjrs16cy66przs44znni0x3nwfsx18glxbikb2zx1ph93n"; }; openstack = { owner = "terraform-providers"; repo = "terraform-provider-openstack"; - version = "1.16.0"; - sha256 = "1s0fclqclq1rrwz6217jw4qh6bz3zlk6zxsaabxvzbsg4b7vwnrh"; + version = "1.17.0"; + sha256 = "1a3asmkf3w1ndy5rpyn09msp5l1sm2cdm3ca7cx5v00pjvww9f52"; }; opentelekomcloud = { @@ -459,8 +459,8 @@ { owner = "terraform-providers"; repo = "terraform-provider-oraclepaas"; - version = "1.5.0"; - sha256 = "1vnaqzs7i2kn37sxf1sknxn6j1d24xlckqcvkh9xzi8jrmm4rgvr"; + version = "1.5.1"; + sha256 = "0xyyhr2n1aw1qn90535llv72cdgdqpni9l4gn8sj0ligpjhba7qz"; }; ovh = { @@ -473,8 +473,8 @@ { owner = "terraform-providers"; repo = "terraform-provider-packet"; - version = "1.5.0"; - sha256 = "0c59f2q8ivdn47f4shy674794aijc7byb6273lfcdaal1gb0mw79"; + version = "1.7.2"; + sha256 = "103r0k626ham8wh7rwlx1hald4rik17mv2mcfjz9za65v7z139vr"; }; pagerduty = { @@ -494,8 +494,8 @@ { owner = "terraform-providers"; repo = "terraform-provider-postgresql"; - version = "0.2.1"; - sha256 = "025s3wgj1v6iwaliyics33cb5sx4614658z1vxxrxx7xb9pf328w"; + version = "0.3.0"; + sha256 = "0z1xdzl5mn6dxgkgmysq06g3fg9cdlwa59ng42r6kffcbl0mwgfh"; }; powerdns = { @@ -508,8 +508,8 @@ { owner = "terraform-providers"; repo = "terraform-provider-profitbricks"; - version = "1.4.3"; - sha256 = "19rqqx5ib23nhvz2smh20cii25g09sdi7kg85m4nlbh63gqg86qf"; + version = "1.4.4"; + sha256 = "0pzcl3pdhaykihvv1v38zrv607mydchvkzrzhwcakgmdkp3vq54i"; }; rabbitmq = { @@ -529,8 +529,8 @@ { owner = "terraform-providers"; repo = "terraform-provider-random"; - version = "2.1.0"; - sha256 = "1219128f838szcjgjv6figrmh4r7lhxrr22mf9fpf5a30wi0ssqf"; + version = "2.1.1"; + sha256 = "0ivmxacb9pzz7av2rr6jal5vwdv24689a2806nqvvzdy2s8mlzd3"; }; rightscale = { @@ -585,8 +585,8 @@ { owner = "terraform-providers"; repo = "terraform-provider-spotinst"; - version = "1.9.0"; - sha256 = "0rpkxg50gkm9ji7s4bl1wz7j1z2iw5jq9n1pfmcs9nk0rl17j77j"; + version = "1.11.0"; + sha256 = "10q1w66gbx6863797n6n15xx4llflmavrz2qk23pb8qd5pbni9bk"; }; statuscake = { @@ -606,15 +606,15 @@ { owner = "terraform-providers"; repo = "terraform-provider-template"; - version = "2.1.0"; - sha256 = "1db9gr4f5awryqxq5iahv2xj5p0msd44j3lgklkqw2q3kswlvckr"; + version = "2.1.1"; + sha256 = "182kdkbmnihpawvgfpxavg8vbczizw5mlkwp828ap0baqs09ai8i"; }; tencentcloud = { owner = "terraform-providers"; repo = "terraform-provider-tencentcloud"; - version = "1.3.0"; - sha256 = "1pdzzrg4qrsjiv67yr2g6a9l1n9vqi5n4m53kbb1fp04g3s2sw31"; + version = "1.4.0"; + sha256 = "1gqxvd5ss2cg49856nj3srirny1298l3fla506r7v8xf9ybkzpb4"; }; terraform = { @@ -627,8 +627,8 @@ { owner = "terraform-providers"; repo = "terraform-provider-tfe"; - version = "0.8.1"; - sha256 = "0an0il8h0ly639z92cc007xjjs3cyk25jkbnkp99kkj0mf760729"; + version = "0.8.2"; + sha256 = "0apxf197g4mrxybbx1vrk2i3fnw4cf23aq1wjhks5fkvm4wshgl7"; }; tls = { @@ -648,8 +648,8 @@ { owner = "terraform-providers"; repo = "terraform-provider-ucloud"; - version = "1.4.0"; - sha256 = "1nl6k2313wwdzpm2n29bab9xs3l00vyhrdl14r82kax6hjm748qm"; + version = "1.6.0"; + sha256 = "0ijksfjqc91gxf45w9h2r024zgyqpr4s7z6snyzxcdbnf8ahs9q0"; }; ultradns = { @@ -662,8 +662,8 @@ { owner = "terraform-providers"; repo = "terraform-provider-vault"; - version = "1.6.0"; - sha256 = "06hykz37yhwhj6fjz2zi5c80mzv8i91kpsr5cdqkc8rnfmcbhzqx"; + version = "1.7.0"; + sha256 = "133ximk510kchr34zicpnp4da27nxvzab2nd8dqpf4sqg2z83i0y"; }; vcd = { @@ -683,8 +683,8 @@ { owner = "terraform-providers"; repo = "terraform-provider-yandex"; - version = "0.2.0"; - sha256 = "0y7pnl47dg83i7r95gy8h1ayilaji2k5i048l5pkavp1yxx9i22g"; + version = "0.4.1"; + sha256 = "0lvj7xlzqc6wfv1xpc4qc1gnk3wvcvnifbf4mqgjglz35cf697bb"; }; matchbox = { diff --git a/nixpkgs/pkgs/applications/networking/cluster/tilt/default.nix b/nixpkgs/pkgs/applications/networking/cluster/tilt/default.nix new file mode 100644 index 000000000000..c4699a87ef63 --- /dev/null +++ b/nixpkgs/pkgs/applications/networking/cluster/tilt/default.nix @@ -0,0 +1,30 @@ +{ stdenv, lib, buildGoPackage, fetchFromGitHub }: + +buildGoPackage rec { + pname = "tilt"; + name = "${pname}-${version}"; + /* Do not use "dev" as a version. If you do, Tilt will consider itself + running in development environment and try to serve assets from the + source tree, which is not there once build completes. */ + version = "0.7.13"; + rev = "67cd823b2a07c7bb2bcb919c0963e8f23e22d57e"; + + src = fetchFromGitHub { + owner = "windmilleng"; + repo = "tilt"; + rev = "${rev}"; + sha256 = "0cfmdd6wsczcmy6fkd418rvancx4qy1c3pzq9jbfsy4innhh51j7"; + }; + + goPackagePath = "github.com/windmilleng/tilt"; + subPackages = [ "cmd/tilt" ]; + + buildFlagsArray = ("-ldflags=-X main.version=${version} -X main.date=2019-04-18"); + + meta = with stdenv.lib; { + description = "Local development tool to manage your developer instance when your team deploys to Kubernetes in production"; + homepage = https://tilt.dev/; + license = licenses.asl20; + maintainers = with maintainers; [ anton-dessiatov ]; + }; +} diff --git a/nixpkgs/pkgs/applications/networking/instant-messengers/profanity/default.nix b/nixpkgs/pkgs/applications/networking/instant-messengers/profanity/default.nix index e131e4c7a3ca..1273c7dd3c3d 100644 --- a/nixpkgs/pkgs/applications/networking/instant-messengers/profanity/default.nix +++ b/nixpkgs/pkgs/applications/networking/instant-messengers/profanity/default.nix @@ -20,13 +20,13 @@ with stdenv.lib; stdenv.mkDerivation rec { name = "profanity-${version}"; - version = "0.5.1"; + version = "0.6.0"; src = fetchFromGitHub { owner = "boothj5"; repo = "profanity"; rev = "${version}"; - sha256 = "1ppr02wivhlrqr62r901clnycna8zpn6kr7n5rw8y3zfw21ny17z"; + sha256 = "0f5kfzy22wzyj7rnd2nbj93q96ga87b53wlg8lfg83qdphx1ymz9"; }; patches = [ ./patches/packages-osx.patch ./patches/undefined-macros.patch ]; @@ -67,7 +67,7 @@ stdenv.mkDerivation rec { Profanity is a console based XMPP client written in C using ncurses and libstrophe, inspired by Irssi. ''; - homepage = http://profanity.im/; + homepage = http://www.profanity.im/; license = licenses.gpl3Plus; platforms = platforms.unix; maintainers = [ maintainers.devhell ]; diff --git a/nixpkgs/pkgs/applications/networking/instant-messengers/profanity/patches/packages-osx.patch b/nixpkgs/pkgs/applications/networking/instant-messengers/profanity/patches/packages-osx.patch index 77bfc5e78bd0..796ec6b0a615 100644 --- a/nixpkgs/pkgs/applications/networking/instant-messengers/profanity/patches/packages-osx.patch +++ b/nixpkgs/pkgs/applications/networking/instant-messengers/profanity/patches/packages-osx.patch @@ -1,11 +1,13 @@ diff --git a/configure.ac b/configure.ac -index 1e55b1cc..0832a387 100644 +index 5c00aca..eb78060 100644 --- a/configure.ac +++ b/configure.ac -@@ -22,7 +22,6 @@ AC_CANONICAL_HOST - PLATFORM="unknown" +@@ -23,7 +23,6 @@ PLATFORM="unknown" AS_CASE([$host_os], [freebsd*], [PLATFORM="freebsd"], + [openbsd*], [PLATFORM="openbsd"], - [darwin*], [PLATFORM="osx"], [cygwin], [PLATFORM="cygwin"], [PLATFORM="nix"]) + + diff --git a/nixpkgs/pkgs/applications/networking/instant-messengers/rambox/bare.nix b/nixpkgs/pkgs/applications/networking/instant-messengers/rambox/bare.nix index 29ed30dd27f6..9b9b479d257b 100644 --- a/nixpkgs/pkgs/applications/networking/instant-messengers/rambox/bare.nix +++ b/nixpkgs/pkgs/applications/networking/instant-messengers/rambox/bare.nix @@ -3,13 +3,13 @@ stdenv.mkDerivation rec { name = "rambox-bare-${version}"; - version = "0.6.3"; + version = "0.6.6"; src = fetchFromGitHub { owner = "ramboxapp"; repo = "community-edition"; rev = version; - sha256 = "1ghk29d0x6i3j8b1b4xxgyf961lp17qsvvhnilnkh1nhmvxpwmw5"; + sha256 = "15cy8krzl66b6sfazhff41adq4kf2857sj4h0qvzmadv85dy301v"; }; nativeBuildInputs = [ nodejs-8_x ruby sencha ]; @@ -18,7 +18,7 @@ stdenv.mkDerivation rec { inherit src; nodejs = nodejs-8_x; - sha256 = "03h1kfiaflwbrvcd8v0bsymn7n2dxi3yj4pxkwcigqg4jgcf56k6"; + sha256 = "0ifk0fzw4zhi4195jlmiq5k57bdmf912372r4bwa4z500wipikq3"; }; patches = [ ./isDev.patch ]; diff --git a/nixpkgs/pkgs/applications/networking/instant-messengers/signal-desktop/default.nix b/nixpkgs/pkgs/applications/networking/instant-messengers/signal-desktop/default.nix index 116e4917354e..eb5094bb26e8 100644 --- a/nixpkgs/pkgs/applications/networking/instant-messengers/signal-desktop/default.nix +++ b/nixpkgs/pkgs/applications/networking/instant-messengers/signal-desktop/default.nix @@ -57,11 +57,11 @@ let in stdenv.mkDerivation rec { name = "signal-desktop-${version}"; - version = "1.23.2"; + version = "1.24.1"; src = fetchurl { url = "https://updates.signal.org/desktop/apt/pool/main/s/signal-desktop/signal-desktop_${version}_amd64.deb"; - sha256 = "0nl8rv0lgp4cwv029kl7y09ngcrik2657m4wjn0rnls8kpmdhd8j"; + sha256 = "195rwx4xhgij5nrda1y6bhf5jyvcgb70g6ykangywhcagglqqair"; }; phases = [ "unpackPhase" "installPhase" ]; diff --git a/nixpkgs/pkgs/applications/networking/instant-messengers/sky/default.nix b/nixpkgs/pkgs/applications/networking/instant-messengers/sky/default.nix new file mode 100644 index 000000000000..a90acc8eae3b --- /dev/null +++ b/nixpkgs/pkgs/applications/networking/instant-messengers/sky/default.nix @@ -0,0 +1,86 @@ +{ stdenv, fetchurl, file, lib, libX11, libXScrnSaver +, libGL, qt5, SDL, libpulseaudio +, libXrandr, libXext, libXcursor, libXinerama, libXi +, curl, sqlite, openssl +, libuuid, openh264, libv4l, libxkbfile, libXv, zlib, libXmu +, libXtst, libXdamage, pam, patchelfUnstable, libXfixes, libXrender, libjpeg_original +, ffmpeg +}: + let + # Sky is linked to the libjpeg 8 version and checks for the version number in the code. + libjpeg_original_fix = libjpeg_original.overrideAttrs (oldAttrs: { + src = fetchurl{ + url = https://www.ijg.org/files/jpegsrc.v8d.tar.gz; + sha256 = "1cz0dy05mgxqdgjf52p54yxpyy95rgl30cnazdrfmw7hfca9n0h0"; + }; + }); +in +stdenv.mkDerivation rec { + version_major = "2.1.7369"; + version_minor = "1"; + version = version_major + "." + version_minor; + name = "sky-${version}"; + unpackCmd = "ar x $curSrc; tar -xf data.tar.xz"; + src = fetchurl { + url = "https://tel.red/repos/ubuntu/pool/non-free/sky_${version_major + "-" + version_minor}ubuntu+xenial_amd64.deb"; + sha256 = "0b3j90km3rp5bgaklxw881g0gcy09mqzbhjdfrq4s2np026ql3d9"; + }; + buildInputs = [ + file + qt5.qtbase + SDL + ffmpeg + sqlite + openssl + openh264 + pam + curl + libX11 libXScrnSaver libGL libpulseaudio libXrandr + libXext libXcursor libXinerama libXi libuuid libv4l + libxkbfile libXv zlib libXmu libXtst libXdamage + libXfixes libXrender + libjpeg_original_fix + ]; + dontBuild = true; + + installPhase = '' + ls -al ./ + mkdir -p "$out/bin" "$out/lib" "$out/share" + cp -a lib/sky/* $out/bin/ + cp -aR lib/sky/lib64/* "$out/lib/" + cp -a lib/sky/man.sh "$out/bin" + chmod +x $out/bin/sky + cp -a share/* "$out/share" + '' + ; + + + postFixup = '' + patchelf --set-rpath $out/lib:${stdenv.cc.cc.lib}/lib${stdenv.lib.optionalString stdenv.is64bit "64"}:${stdenv.lib.makeLibraryPath buildInputs} $out/lib/libfreerdp-client.so.2.0.0 + patchelf --set-rpath $out/lib:${stdenv.cc.cc.lib}/lib${stdenv.lib.optionalString stdenv.is64bit "64"}:${stdenv.lib.makeLibraryPath buildInputs} $out/lib/libfreerdp-server.so.2.0.0 + patchelf --set-rpath $out/lib:${stdenv.cc.cc.lib}/lib${stdenv.lib.optionalString stdenv.is64bit "64"}:${stdenv.lib.makeLibraryPath buildInputs} $out/lib/libfreerdp-shadow.so.2.0.0 + patchelf --set-rpath $out/lib:${stdenv.cc.cc.lib}/lib${stdenv.lib.optionalString stdenv.is64bit "64"}:${stdenv.lib.makeLibraryPath buildInputs} $out/lib/libfreerdp.so.2.0.0 + patchelf --set-rpath $out/lib:${stdenv.cc.cc.lib}/lib${stdenv.lib.optionalString stdenv.is64bit "64"}:${stdenv.lib.makeLibraryPath buildInputs} $out/lib/libopenh264.so.0 + patchelf --set-rpath $out/lib:${stdenv.cc.cc.lib}/lib${stdenv.lib.optionalString stdenv.is64bit "64"}:${stdenv.lib.makeLibraryPath buildInputs} $out/lib/librdtk.so.1.1.0 + patchelf --set-rpath $out/lib:${stdenv.cc.cc.lib}/lib${stdenv.lib.optionalString stdenv.is64bit "64"}:${stdenv.lib.makeLibraryPath buildInputs} $out/lib/libSDL-1.3.so.0.0.0 + patchelf --set-rpath $out/lib:${stdenv.cc.cc.lib}/lib${stdenv.lib.optionalString stdenv.is64bit "64"}:${stdenv.lib.makeLibraryPath buildInputs} $out/lib/libsipw.so.1.0.0 + patchelf --set-rpath $out/lib:${stdenv.cc.cc.lib}/lib${stdenv.lib.optionalString stdenv.is64bit "64"}:${stdenv.lib.makeLibraryPath buildInputs} $out/lib/libwinpr.so.1.1.0 + patchelf --set-rpath $out/lib:${stdenv.cc.cc.lib}/lib${stdenv.lib.optionalString stdenv.is64bit "64"}:${stdenv.lib.makeLibraryPath buildInputs} $out/lib/libxfreerdp-client.so.2.0.0 + patchelf --set-rpath $out/lib:${stdenv.cc.cc.lib}/lib${stdenv.lib.optionalString stdenv.is64bit "64"}:${stdenv.lib.makeLibraryPath buildInputs} --set-interpreter $(cat $NIX_CC/nix-support/dynamic-linker) $out/bin/sky + patchelf --set-rpath $out/lib:${stdenv.cc.cc.lib}/lib${stdenv.lib.optionalString stdenv.is64bit "64"}:${stdenv.lib.makeLibraryPath buildInputs} --set-interpreter $(cat $NIX_CC/nix-support/dynamic-linker) $out/bin/sky_sender + sed -i "s#/usr/bin/sky#$out/bin/sky#g" $out/share/applications/sky.desktop + sed -i "s#/usr/lib/sky#$out/bin/#g" $out/share/applications/sky.desktop + ''; + + meta = with stdenv.lib; { + description = "Skype for business"; + longDescription = '' + Lync & Skype for business on linux + ''; + homepage = https://tel.red/; + license = licenses.unfree; + maintainers = [ maintainers.Scriptkiddi ]; + platforms = platforms.unix; + }; +} + diff --git a/nixpkgs/pkgs/applications/networking/irc/chatzilla/default.nix b/nixpkgs/pkgs/applications/networking/irc/chatzilla/default.nix deleted file mode 100644 index 805b474e814f..000000000000 --- a/nixpkgs/pkgs/applications/networking/irc/chatzilla/default.nix +++ /dev/null @@ -1,30 +0,0 @@ -{ stdenv, fetchurl, unzip, firefox-unwrapped, makeWrapper }: - -stdenv.mkDerivation rec { - name = "chatzilla-0.9.92"; - - src = fetchurl { - # Obtained from http://chatzilla.rdmsoft.com/xulrunner/. - url = "https://chatzilla.rdmsoft.com/xulrunner/download/${name}.en-US.xulapp"; - sha256 = "09asg7ixjrin46xd19ri71g4jdrgb1gg0pk0lwk0dlb1qjxyf1xy"; - }; - - buildInputs = [ unzip makeWrapper ]; - - buildCommand = '' - mkdir -p $out/libexec/chatzilla - unzip $src -d $out/libexec/chatzilla - - makeWrapper ${firefox-unwrapped}/bin/firefox $out/bin/chatzilla \ - --add-flags "-app $out/libexec/chatzilla/application.ini" - - sed -i $out/libexec/chatzilla/application.ini -e 's/.*MaxVersion.*/MaxVersion=99.*/' - ''; - - meta = { - homepage = http://chatzilla.hacksrus.com/; - description = "Stand-alone version of Chatzilla, an IRC client"; - maintainers = [ stdenv.lib.maintainers.eelco ]; - platforms = stdenv.lib.platforms.linux; - }; -} diff --git a/nixpkgs/pkgs/applications/networking/irc/weechat/scripts/weechat-autosort/default.nix b/nixpkgs/pkgs/applications/networking/irc/weechat/scripts/weechat-autosort/default.nix index 95ae71cc70c3..17e07f91c031 100644 --- a/nixpkgs/pkgs/applications/networking/irc/weechat/scripts/weechat-autosort/default.nix +++ b/nixpkgs/pkgs/applications/networking/irc/weechat/scripts/weechat-autosort/default.nix @@ -1,14 +1,14 @@ { stdenv, fetchFromGitHub }: stdenv.mkDerivation rec { - name = "weechat-autosort-${version}"; - version = "unstable-2018-01-11"; + pname = "weechat-autosort"; + version = "3.4"; src = fetchFromGitHub { owner = "de-vri-es"; - repo = "weechat-autosort"; - rev = "35ccd6335afd78ae8a6e050ed971d54c8524e37e"; - sha256 = "1rgws960xys65cd1m529csalcgny87h7fkiwjv1yj9rpqp088z26"; + repo = pname; + rev = version; + sha256 = "1sbr6ga9krrfgqznvsxjd3hdxzkvslh41ls5xrj7l2p4ws4gwlkn"; }; passthru.scripts = [ "autosort.py" ]; diff --git a/nixpkgs/pkgs/applications/networking/mumble/default.nix b/nixpkgs/pkgs/applications/networking/mumble/default.nix index f589a149d85c..321493682302 100644 --- a/nixpkgs/pkgs/applications/networking/mumble/default.nix +++ b/nixpkgs/pkgs/applications/networking/mumble/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, fetchFromGitHub, fetchpatch, makeWrapper, pkgconfig -, qt4, qmake4Hook, qt5, avahi, boost, libopus, libsndfile, protobuf, speex, libcap +, qt4, qmake4Hook, qt5, avahi, boost, libopus, libsndfile, protobuf3_6, speex, libcap , alsaLib, python , jackSupport ? false, libjack2 ? null , speechdSupport ? false, speechd ? null @@ -22,7 +22,10 @@ let nativeBuildInputs = [ pkgconfig python ] ++ { qt4 = [ qmake4Hook ]; qt5 = [ qt5.qmake ]; }."qt${toString source.qtVersion}" ++ (overrides.nativeBuildInputs or [ ]); - buildInputs = [ boost protobuf avahi ] + + # protobuf is freezed to 3.6 because of this bug: https://github.com/mumble-voip/mumble/issues/3617 + # this could be reverted to the latest version in a future release of mumble as it is already fixed in master + buildInputs = [ boost protobuf3_6 avahi ] ++ { qt4 = [ qt4 ]; qt5 = [ qt5.qtbase ]; }."qt${toString source.qtVersion}" ++ (overrides.buildInputs or [ ]); diff --git a/nixpkgs/pkgs/applications/networking/p2p/frostwire/frostwire-bin.nix b/nixpkgs/pkgs/applications/networking/p2p/frostwire/frostwire-bin.nix index 5305ca10383a..1d98bf776755 100644 --- a/nixpkgs/pkgs/applications/networking/p2p/frostwire/frostwire-bin.nix +++ b/nixpkgs/pkgs/applications/networking/p2p/frostwire/frostwire-bin.nix @@ -22,7 +22,7 @@ stdenv.mkDerivation rec { ''; meta = with stdenv.lib; { - homepage = http://www.frostwire.com/; + homepage = https://www.frostwire.com/; description = "BitTorrent Client and Cloud File Downloader"; license = licenses.gpl2; maintainers = with maintainers; [ gavin ]; diff --git a/nixpkgs/pkgs/applications/networking/pjsip/default.nix b/nixpkgs/pkgs/applications/networking/pjsip/default.nix index 3930ea4ff11f..1e067b3c3356 100644 --- a/nixpkgs/pkgs/applications/networking/pjsip/default.nix +++ b/nixpkgs/pkgs/applications/networking/pjsip/default.nix @@ -5,7 +5,7 @@ stdenv.mkDerivation rec { version = "2.8"; src = fetchurl { - url = "http://www.pjsip.org/release/${version}/pjproject-${version}.tar.bz2"; + url = "https://www.pjsip.org/release/${version}/pjproject-${version}.tar.bz2"; sha256 = "0ybg0113rp3fk49rm2v0pcgqb28h3dv1pdy9594w2ggiz7bhngah"; }; @@ -27,7 +27,7 @@ stdenv.mkDerivation rec { meta = { description = "A multimedia communication library written in C, implementing standard based protocols such as SIP, SDP, RTP, STUN, TURN, and ICE"; - homepage = http://pjsip.org/; + homepage = https://pjsip.org/; license = stdenv.lib.licenses.gpl2Plus; maintainers = with stdenv.lib.maintainers; [olynch]; platforms = with stdenv.lib.platforms; linux; diff --git a/nixpkgs/pkgs/applications/networking/protonmail-bridge/default.nix b/nixpkgs/pkgs/applications/networking/protonmail-bridge/default.nix index eac1acb1e6a3..2e04ce856a8c 100644 --- a/nixpkgs/pkgs/applications/networking/protonmail-bridge/default.nix +++ b/nixpkgs/pkgs/applications/networking/protonmail-bridge/default.nix @@ -2,7 +2,7 @@ libsecret, libGL, libpulseaudio, glib, makeWrapper, makeDesktopItem }: let - version = "1.1.3-1"; + version = "1.1.4-1"; description = '' An application that runs on your computer in the background and seamlessly encrypts @@ -25,7 +25,7 @@ in stdenv.mkDerivation rec { src = fetchurl { url = "https://protonmail.com/download/protonmail-bridge_${version}_amd64.deb"; - sha256 = "15kyhyx1v4bb2sqzwq7bqrxxw7g733p5jnsgjqka2ygzg6dl2c5n"; + sha256 = "16w3l81j10syl2pis08sl752yapbgjy531qs0n1ghmsx2d12n7kl"; }; nativeBuildInputs = [ makeWrapper ]; diff --git a/nixpkgs/pkgs/applications/office/atlassian-cli/default.nix b/nixpkgs/pkgs/applications/office/atlassian-cli/default.nix index 6bb84c523362..462e6fbb7a04 100644 --- a/nixpkgs/pkgs/applications/office/atlassian-cli/default.nix +++ b/nixpkgs/pkgs/applications/office/atlassian-cli/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "atlassian-cli-${version}"; - version = "8.0.0"; + version = "8.2.0"; src = fetchzip { url = "https://bobswift.atlassian.net/wiki/download/attachments/16285777/${name}-distribution.zip"; - sha256 = "0ysyam06ll70d0baa3hb7wcgqnqflssr64bqjr3db6fnk1q096m8"; + sha256 = "0lcyyay2fyywcn096y33d77r6fpvwggv5qdkky5qy16lh6rfx1lm"; extraPostFetch = "chmod go-w $out"; }; diff --git a/nixpkgs/pkgs/applications/office/gnucash/default.nix b/nixpkgs/pkgs/applications/office/gnucash/default.nix index e7aacb9de0f3..a9578e6525d4 100644 --- a/nixpkgs/pkgs/applications/office/gnucash/default.nix +++ b/nixpkgs/pkgs/applications/office/gnucash/default.nix @@ -25,11 +25,11 @@ in stdenv.mkDerivation rec { name = "gnucash-${version}"; - version = "3.4"; + version = "3.5"; src = fetchurl { url = "mirror://sourceforge/gnucash/${name}.tar.bz2"; - sha256 = "1ms2wg4sh5gq3rpjmmnp85rh5nc9ahca1imxkvhz4d3yiwy8hm52"; + sha256 = "0ibp7g6aknvnkwkin97kv04ipksy3l18dsz9qysjb7h2nr8hnvbp"; }; nativeBuildInputs = [ pkgconfig makeWrapper cmake gtest ]; diff --git a/nixpkgs/pkgs/applications/office/trilium/default.nix b/nixpkgs/pkgs/applications/office/trilium/default.nix index 090152961ca3..ba69b7894d68 100644 --- a/nixpkgs/pkgs/applications/office/trilium/default.nix +++ b/nixpkgs/pkgs/applications/office/trilium/default.nix @@ -13,11 +13,11 @@ let in stdenv.mkDerivation rec { name = "trilium-${version}"; - version = "0.30.6"; + version = "0.30.8"; src = fetchurl { url = "https://github.com/zadam/trilium/releases/download/v${version}/trilium-linux-x64-${version}.tar.xz"; - sha256 = "0f2hf2lky4r4nh3hj5fmniy8gjbgdyj8i5d6bhf156yp3lx802ay"; + sha256 = "1mw8m9qm5jqfbwzj721gyfa656bp3hs8mrqx5d354rbx5f12pgjr"; }; # Fetch from source repo, no longer included in release. diff --git a/nixpkgs/pkgs/applications/science/biology/exonerate/default.nix b/nixpkgs/pkgs/applications/science/biology/exonerate/default.nix new file mode 100644 index 000000000000..1dd6b44692a3 --- /dev/null +++ b/nixpkgs/pkgs/applications/science/biology/exonerate/default.nix @@ -0,0 +1,25 @@ +{ stdenv, fetchurl, glib, pkgconfig }: + +stdenv.mkDerivation rec { + version = "2.4.0"; + pname = "exonerate"; + + src = fetchurl { + url = "http://ftp.ebi.ac.uk/pub/software/vertebrategenomics/exonerate/${pname}-${version}.tar.gz"; + sha256 = "0hj0m9xygiqsdxvbg79wq579kbrx1mdrabi2bzqz2zn9qwfjcjgq"; + }; + + doCheck = true; + + buildInputs = [ glib ]; + + nativeBuildInputs = [ pkgconfig ]; + + meta = with stdenv.lib; { + description = "Generic tool for sequence alignment"; + homepage = https://www.ebi.ac.uk/about/vertebrate-genomics/software/exonerate; + license = licenses.gpl3; + maintainers = [ maintainers.bzizou ]; + platforms = platforms.unix ; + }; +} diff --git a/nixpkgs/pkgs/applications/science/biology/picard-tools/default.nix b/nixpkgs/pkgs/applications/science/biology/picard-tools/default.nix index 5a17b547b87e..55b01bd7ec9a 100644 --- a/nixpkgs/pkgs/applications/science/biology/picard-tools/default.nix +++ b/nixpkgs/pkgs/applications/science/biology/picard-tools/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "picard-tools-${version}"; - version = "2.18.27"; + version = "2.19.0"; src = fetchurl { url = "https://github.com/broadinstitute/picard/releases/download/${version}/picard.jar"; - sha256 = "1pc9mhp35n0dl7j06c1564b797v6iqg6vhmd2xxvysc96hnsbyvc"; + sha256 = "0l2riidd9p84axj8h7fnrbwgpcpizj74i9mnm3pcqm9vlzvw6zzr"; }; nativeBuildInputs = [ makeWrapper ]; diff --git a/nixpkgs/pkgs/applications/science/biology/prodigal/default.nix b/nixpkgs/pkgs/applications/science/biology/prodigal/default.nix new file mode 100644 index 000000000000..653f4390488e --- /dev/null +++ b/nixpkgs/pkgs/applications/science/biology/prodigal/default.nix @@ -0,0 +1,26 @@ +{ stdenv, fetchFromGitHub }: + +stdenv.mkDerivation rec { + pname = "prodigal"; + version = "2.6.3"; + + src = fetchFromGitHub { + repo = "Prodigal"; + owner = "hyattpd"; + rev = "v${version}"; + sha256 = "1fs1hqk83qjbjhrvhw6ni75zakx5ki1ayy3v6wwkn3xvahc9hi5s"; + }; + + makeFlags = [ + "CC=cc" + "INSTALLDIR=$(out)/bin" + ]; + + meta = with stdenv.lib; { + description = "Fast, reliable protein-coding gene prediction for prokaryotic genomes"; + homepage = https://github.com/hyattpd/Prodigal; + license = licenses.gpl3; + platforms = platforms.all; + maintainers = with maintainers; [ luispedro ]; + }; +} diff --git a/nixpkgs/pkgs/applications/science/biology/sumatools/default.nix b/nixpkgs/pkgs/applications/science/biology/sumatools/default.nix new file mode 100644 index 000000000000..6f7227d7a3e9 --- /dev/null +++ b/nixpkgs/pkgs/applications/science/biology/sumatools/default.nix @@ -0,0 +1,65 @@ +{ gccStdenv, fetchFromGitLab, zlib }: + +let + stdenv = gccStdenv; + meta = with stdenv.lib; { + description = "Fast and exact comparison and clustering of sequences"; + homepage = https://metabarcoding.org/sumatra; + maintainers = [ maintainers.bzizou ]; + platforms = platforms.unix; + }; + +in rec { + + # Suma library + sumalibs = stdenv.mkDerivation rec { + version = "1.0.34"; + pname = "sumalibs"; + src = fetchFromGitLab { + domain = "git.metabarcoding.org"; + owner = "obitools"; + repo = pname; + rev = "sumalib_v${version}"; + sha256 = "0hwkrxzfz7m5wdjvmrhkjg8kis378iaqr5n4nhdhkwwhn8x1jn5a"; + }; + makeFlags = "PREFIX=$(out)"; + }; + + # Sumatra + sumatra = stdenv.mkDerivation rec { + version = "1.0.34"; + pname = "sumatra"; + src = fetchFromGitLab { + domain = "git.metabarcoding.org"; + owner = "obitools"; + repo = pname; + rev = "${pname}_v${version}"; + sha256 = "1bbpbdkshdc3xffqnr1qfy8qk64ldsmdc3s8mrcrlx132rgbi5f6"; + }; + buildInputs = [ sumalibs zlib ]; + makeFlags = [ + "LIBSUMA=${sumalibs}/lib/libsuma.a" + "LIBSUMAPATH=-L${sumalibs}" + "PREFIX=$(out)" + ]; + }; + + # Sumaclust + sumaclust = stdenv.mkDerivation rec { + version = "1.0.34"; + pname = "sumaclust"; + src = fetchFromGitLab { + domain = "git.metabarcoding.org"; + owner = "obitools"; + repo = pname; + rev = "${pname}_v${version}"; + sha256 = "0x8yi3k3jxhmv2krp4rcjlj2f9zg0qrk7gx4kpclf9c3yxgsgrds"; + }; + buildInputs = [ sumalibs ]; + makeFlags = [ + "LIBSUMA=${sumalibs}/lib/libsuma.a" + "LIBSUMAPATH=-L${sumalibs}" + "PREFIX=$(out)" + ]; + }; +} diff --git a/nixpkgs/pkgs/applications/science/electronics/dsview/default.nix b/nixpkgs/pkgs/applications/science/electronics/dsview/default.nix index af3844f47312..be32f33a3653 100644 --- a/nixpkgs/pkgs/applications/science/electronics/dsview/default.nix +++ b/nixpkgs/pkgs/applications/science/electronics/dsview/default.nix @@ -39,7 +39,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "A GUI program for supporting various instruments from DreamSourceLab, including logic analyzer, oscilloscope, etc"; - homepage = http://www.dreamsourcelab.com/; + homepage = https://www.dreamsourcelab.com/; license = licenses.gpl3Plus; platforms = platforms.linux; maintainers = [ maintainers.bachp ]; diff --git a/nixpkgs/pkgs/applications/science/electronics/dsview/libsigrok4dsl.nix b/nixpkgs/pkgs/applications/science/electronics/dsview/libsigrok4dsl.nix index 4a681907e192..c42b70c041af 100644 --- a/nixpkgs/pkgs/applications/science/electronics/dsview/libsigrok4dsl.nix +++ b/nixpkgs/pkgs/applications/science/electronics/dsview/libsigrok4dsl.nix @@ -20,7 +20,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "A fork of the sigrok library for usage with DSView"; - homepage = http://www.dreamsourcelab.com/; + homepage = https://www.dreamsourcelab.com/; license = licenses.gpl3Plus; platforms = platforms.linux; maintainers = [ maintainers.bachp ]; diff --git a/nixpkgs/pkgs/applications/science/electronics/dsview/libsigrokdecode4dsl.nix b/nixpkgs/pkgs/applications/science/electronics/dsview/libsigrokdecode4dsl.nix index 58f32f2ae6cc..214f77663434 100644 --- a/nixpkgs/pkgs/applications/science/electronics/dsview/libsigrokdecode4dsl.nix +++ b/nixpkgs/pkgs/applications/science/electronics/dsview/libsigrokdecode4dsl.nix @@ -19,7 +19,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "A fork of the sigrokdecode library for usage with DSView"; - homepage = http://www.dreamsourcelab.com/; + homepage = https://www.dreamsourcelab.com/; license = licenses.gpl3Plus; platforms = platforms.linux; maintainers = [ maintainers.bachp ]; diff --git a/nixpkgs/pkgs/applications/science/electronics/fped/default.nix b/nixpkgs/pkgs/applications/science/electronics/fped/default.nix new file mode 100644 index 000000000000..e6e7219ff871 --- /dev/null +++ b/nixpkgs/pkgs/applications/science/electronics/fped/default.nix @@ -0,0 +1,44 @@ +{ lib, stdenv, fetchgit +, flex, bison, fig2dev, imagemagick, netpbm, gtk2 +, pkgconfig +}: + +with lib; +stdenv.mkDerivation rec { + name = "fped-${version}"; + version = "unstable-2017-05-11"; + + src = fetchgit { + url = "git://projects.qi-hardware.com/fped.git"; + rev = "fa98e58157b6f68396d302c32421e882ac87f45b"; + sha256 = "0xv364a00zwxhd9kg1z9sch5y0cxnrhk546asspyb9bh58sdzfy7"; + }; + + # This uses '/bin/bash', '/usr/local' and 'lex' by default + makeFlags = [ + "PREFIX=${placeholder ''out''}" + "LEX=flex" + "RGBDEF=${netpbm}/share/netpbm/misc/rgb.txt" + ]; + + nativeBuildInputs = [ + flex + bison + pkgconfig + imagemagick + fig2dev + netpbm + ]; + + buildInputs = [ + gtk2 + ]; + + meta = { + description = "An editor that allows the interactive creation of footprints electronic components"; + homepage = http://projects.qi-hardware.com/index.php/p/fped/; + license = licenses.gpl2; + maintainers = with maintainers; [ expipiplus1 ]; + platforms = platforms.linux; + }; +} diff --git a/nixpkgs/pkgs/applications/science/electronics/verilog/default.nix b/nixpkgs/pkgs/applications/science/electronics/verilog/default.nix index c4268b54c20b..83352df18e6e 100644 --- a/nixpkgs/pkgs/applications/science/electronics/verilog/default.nix +++ b/nixpkgs/pkgs/applications/science/electronics/verilog/default.nix @@ -2,15 +2,17 @@ stdenv.mkDerivation rec { name = "iverilog-${version}"; - version = "2018.12.15"; + version = "2019.03.27"; src = fetchFromGitHub { - owner = "steveicarus"; - repo = "iverilog"; - rev = "7cd078e7ab184069b3b458fe6df7e83962254816"; - sha256 = "1zc7lsa77dbsxjfz7vdgclmg97r0kw08xss7yfs4vyv5v5gnn98d"; + owner = "steveicarus"; + repo = "iverilog"; + rev = "a9388a895eb85a9d7f2924b89f839f94e1b6d7c4"; + sha256 = "01d48sy3pzg9x1xpczqrsii2ckrvgnrfj720wiz22jdn90nirhhr"; }; + enableParallelBuilding = true; + patchPhase = '' chmod +x $PWD/autoconf.sh $PWD/autoconf.sh diff --git a/nixpkgs/pkgs/applications/science/logic/cryptoverif/default.nix b/nixpkgs/pkgs/applications/science/logic/cryptoverif/default.nix index df9e58af08bb..1b7b54e2ccb1 100644 --- a/nixpkgs/pkgs/applications/science/logic/cryptoverif/default.nix +++ b/nixpkgs/pkgs/applications/science/logic/cryptoverif/default.nix @@ -29,7 +29,7 @@ stdenv.mkDerivation rec { meta = { description = "Cryptographic protocol verifier in the computational model"; - homepage = "http://prosecco.gforge.inria.fr/personal/bblanche/cryptoverif/"; + homepage = "https://prosecco.gforge.inria.fr/personal/bblanche/cryptoverif/"; license = stdenv.lib.licenses.cecill-b; platforms = stdenv.lib.platforms.unix; maintainers = [ stdenv.lib.maintainers.thoughtpolice ]; diff --git a/nixpkgs/pkgs/applications/science/logic/otter/default.nix b/nixpkgs/pkgs/applications/science/logic/otter/default.nix index b19650eb8630..a7eec20548c9 100644 --- a/nixpkgs/pkgs/applications/science/logic/otter/default.nix +++ b/nixpkgs/pkgs/applications/science/logic/otter/default.nix @@ -4,7 +4,7 @@ let rec { version = "3.3f"; name = "otter"; - url = "http://www.cs.unm.edu/~mccune/otter/otter-${version}.tar.gz"; + url = "https://www.cs.unm.edu/~mccune/otter/otter-${version}.tar.gz"; sha256 = "16mc1npl7sk9cmqhrf3ghfmvx29inijw76f1b1lsykllaxjqqb1r"; }; buildInputs = [ diff --git a/nixpkgs/pkgs/applications/science/logic/proverif/default.nix b/nixpkgs/pkgs/applications/science/logic/proverif/default.nix index c70ee610199f..aca06ffbfb76 100644 --- a/nixpkgs/pkgs/applications/science/logic/proverif/default.nix +++ b/nixpkgs/pkgs/applications/science/logic/proverif/default.nix @@ -20,7 +20,7 @@ stdenv.mkDerivation rec { meta = { description = "Cryptographic protocol verifier in the Dolev-Yao model"; - homepage = "http://prosecco.gforge.inria.fr/personal/bblanche/proverif/"; + homepage = "https://prosecco.gforge.inria.fr/personal/bblanche/proverif/"; license = stdenv.lib.licenses.gpl2; platforms = stdenv.lib.platforms.unix; maintainers = [ stdenv.lib.maintainers.thoughtpolice ]; diff --git a/nixpkgs/pkgs/applications/science/logic/statverif/default.nix b/nixpkgs/pkgs/applications/science/logic/statverif/default.nix index 9e51849a93b9..9676b991679c 100644 --- a/nixpkgs/pkgs/applications/science/logic/statverif/default.nix +++ b/nixpkgs/pkgs/applications/science/logic/statverif/default.nix @@ -26,7 +26,7 @@ stdenv.mkDerivation rec { meta = { description = "Verification of stateful processes (via Proverif)"; - homepage = "http://markryan.eu/research/statverif/"; + homepage = "https://markryan.eu/research/statverif/"; license = stdenv.lib.licenses.gpl2; platforms = stdenv.lib.platforms.unix; maintainers = [ stdenv.lib.maintainers.thoughtpolice ]; diff --git a/nixpkgs/pkgs/applications/science/logic/symbiyosys/default.nix b/nixpkgs/pkgs/applications/science/logic/symbiyosys/default.nix index 88f888ed04f7..f58c820d22b6 100644 --- a/nixpkgs/pkgs/applications/science/logic/symbiyosys/default.nix +++ b/nixpkgs/pkgs/applications/science/logic/symbiyosys/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { name = "symbiyosys-${version}"; - version = "2019.03.28"; + version = "2019.04.18"; src = fetchFromGitHub { owner = "yosyshq"; repo = "symbiyosys"; - rev = "44869656b86993e7ebdc59e7b27191f3ecf119dc"; - sha256 = "1p18yzcadn91xzmqrg5xkwfl3p9mnlps57simz7dkkxzcqzjybvn"; + rev = "b1de59032ef3de35e56fa420a914c2f14d2495e4"; + sha256 = "0zci1n062csswl5xxjh9fwq09p9clv95ckag3yywxq06hnqzx0r7"; }; buildInputs = [ python3 yosys ]; @@ -30,7 +30,7 @@ stdenv.mkDerivation rec { meta = { description = "Tooling for Yosys-based verification flows"; homepage = https://symbiyosys.readthedocs.io/; - license = stdenv.lib.licenses.mit; + license = stdenv.lib.licenses.isc; maintainers = with stdenv.lib.maintainers; [ thoughtpolice ]; platforms = stdenv.lib.platforms.unix; }; diff --git a/nixpkgs/pkgs/applications/science/math/gap/default.nix b/nixpkgs/pkgs/applications/science/math/gap/default.nix index e12353878149..6beb87e9f028 100644 --- a/nixpkgs/pkgs/applications/science/math/gap/default.nix +++ b/nixpkgs/pkgs/applications/science/math/gap/default.nix @@ -62,11 +62,11 @@ in stdenv.mkDerivation rec { pname = "gap"; # https://www.gap-system.org/Releases/ - version = "4.10.0"; + version = "4.10.1"; src = fetchurl { url = "https://www.gap-system.org/pub/gap/gap-${lib.versions.major version}.${lib.versions.minor version}/tar.bz2/gap-${version}.tar.bz2"; - sha256 = "1dmb8v4p7j1nnf7sx8sg54b49yln36bi9acwp7w1d3a1nxj17ird"; + sha256 = "136s0zvhcw41fshj5zgsrjcy2kd58cdh2m3ddp5rdizi4rx54f10"; }; # remove all non-essential packages (which take up a lot of space) @@ -86,25 +86,11 @@ stdenv.mkDerivation rec { ]; patches = [ - # bugfix: https://github.com/gap-system/gap/pull/3102 + # https://github.com/gap-system/gap/pull/3294 (fetchpatch { - name = "fix-infinite-loop-in-writeandcheck.patch"; - url = "https://git.sagemath.org/sage.git/plain/build/pkgs/gap/patches/0001-a-version-of-the-writeandcheck.patch-from-Sage-that-.patch?id=5e61d7b6a0da3aa53d8176fa1fb9353cc559b098"; - sha256 = "1zkv8bbiw3jdn54sqqvfkdkfsd7jxzq0bazwsa14g4sh2265d28j"; - }) - - # needed for libgap (sage): https://github.com/gap-system/gap/pull/3043 - (fetchpatch { - name = "add-error-messages-helper.patch"; - url = "https://git.sagemath.org/sage.git/plain/build/pkgs/gap/patches/0002-kernel-add-helper-function-for-writing-error-message.patch?id=5e61d7b6a0da3aa53d8176fa1fb9353cc559b098"; - sha256 = "0c4ry5znb6hwwp8ld6k62yw8w6cqldflw3x49bbzizbmipfpidh5"; - }) - - # needed for libgap (sage): https://github.com/gap-system/gap/pull/3096 - (fetchpatch { - name = "gap-enter.patch"; - url = "https://git.sagemath.org/sage.git/plain/build/pkgs/gap/patches/0003-Prototype-for-GAP_Enter-Leave-macros-to-bracket-use-.patch?id=5e61d7b6a0da3aa53d8176fa1fb9353cc559b098"; - sha256 = "12fg8mb8rm6khsz1r4k3k26jrkx4q1rv13hcrfnlhn0m7iikvc3q"; + name = "add-make-install-targets.patch"; + url = "https://github.com/gap-system/gap/commit/3361c172e6c5ff3bb3f01ba9d6f1dd4ad42cea80.patch"; + sha256 = "1kwp9qnfvmlbpf1c3rs6j5m2jz22rj7a4hb5x1gj9vkpiyn5pdyj"; }) ]; @@ -184,6 +170,7 @@ stdenv.mkDerivation rec { timokau ]; platforms = platforms.all; + broken = stdenv.isDarwin; # keeping all packages increases the package size considerably, wchich # is why a local build is preferable in that situation. The timeframe # is reasonable and that way the binary cache doesn't get overloaded. diff --git a/nixpkgs/pkgs/applications/science/math/gmsh/default.nix b/nixpkgs/pkgs/applications/science/math/gmsh/default.nix index 8f96eb8f3060..503c98f55913 100644 --- a/nixpkgs/pkgs/applications/science/math/gmsh/default.nix +++ b/nixpkgs/pkgs/applications/science/math/gmsh/default.nix @@ -1,14 +1,14 @@ { stdenv, fetchurl, cmake, openblasCompat, gfortran, gmm, fltk, libjpeg , zlib, libGLU_combined, libGLU, xorg }: -let version = "4.2.2"; in +let version = "4.2.3"; in stdenv.mkDerivation { name = "gmsh-${version}"; src = fetchurl { url = "http://gmsh.info/src/gmsh-${version}-source.tgz"; - sha256 = "e9ee9f5c606bbec5f2adbb8c3d6023c4e2577f487fa4e4ecfcfc94a241cc8dcc"; + sha256 = "0n18wmj8i4m1pzri3r0676lx1427zf8qmsrgnn07drv6if1cia2q"; }; buildInputs = [ cmake openblasCompat gmm fltk libjpeg zlib libGLU_combined diff --git a/nixpkgs/pkgs/applications/science/math/sage/env-locations.nix b/nixpkgs/pkgs/applications/science/math/sage/env-locations.nix index 8354629cab55..bda2d23b9e52 100644 --- a/nixpkgs/pkgs/applications/science/math/sage/env-locations.nix +++ b/nixpkgs/pkgs/applications/science/math/sage/env-locations.nix @@ -28,6 +28,7 @@ writeTextFile rec { export GPDOCDIR="${pari}/share/pari/doc" export SINGULARPATH='${singular}/share/singular' export SINGULAR_SO='${singular}/lib/libSingular.so' + export GAP_SO='${gap}/lib/libgap.so' export SINGULAR_EXECUTABLE='${singular}/bin/Singular' export MAXIMA_FAS='${maxima-ecl}/lib/maxima/${maxima-ecl.version}/binary-ecl/maxima.fas' export MAXIMA_PREFIX="${maxima-ecl}" diff --git a/nixpkgs/pkgs/applications/science/math/sage/patches/do-not-test-find-library.patch b/nixpkgs/pkgs/applications/science/math/sage/patches/do-not-test-find-library.patch new file mode 100644 index 000000000000..3f844e1b1ef5 --- /dev/null +++ b/nixpkgs/pkgs/applications/science/math/sage/patches/do-not-test-find-library.patch @@ -0,0 +1,95 @@ +diff --git a/src/sage/env.py b/src/sage/env.py +index 061b94f3f1..67cd091540 100644 +--- a/src/sage/env.py ++++ b/src/sage/env.py +@@ -189,88 +189,13 @@ var('MAXIMA_FAS') + var('SAGE_BANNER', '') + var('SAGE_IMPORTALL', 'yes') + +- +-def _get_shared_lib_filename(libname, *additional_libnames): +- """ +- Return the full path to a shared library file installed in the standard +- location for the system within the ``LIBDIR`` prefix (or +- ``$SAGE_LOCAL/lib`` in the case of manual build of Sage). +- +- This can also be passed more than one library name (e.g. for cases where +- some library may have multiple names depending on the platform) in which +- case the first one found is returned. +- +- This supports most *NIX variants (in which ``lib<libname>.so`` is found +- under ``$SAGE_LOCAL/lib``), macOS (same, but with the ``.dylib`` +- extension), and Cygwin (under ``$SAGE_LOCAL/bin/cyg<libname>.dll``, +- or ``$SAGE_LOCAL/bin/cyg<libname>-*.dll`` for versioned DLLs). +- +- For distributions like Debian that use a multiarch layout, we also try the +- multiarch lib paths (i.e. ``/usr/lib/<arch>/``). +- +- Returns ``None`` if the file does not exist. +- +- EXAMPLES:: +- +- sage: import sys +- sage: from fnmatch import fnmatch +- sage: from sage.env import _get_shared_lib_filename +- sage: lib_filename = _get_shared_lib_filename("Singular", +- ....: "singular-Singular") +- sage: if sys.platform == 'cygwin': +- ....: pattern = "*/cygSingular-*.dll" +- ....: elif sys.platform == 'darwin': +- ....: pattern = "*/libSingular.dylib" +- ....: else: +- ....: pattern = "*/lib*Singular.so" +- sage: fnmatch(lib_filename, pattern) +- True +- sage: _get_shared_lib_filename("an_absurd_lib") is None +- True +- """ +- +- for libname in (libname,) + additional_libnames: +- if sys.platform == 'cygwin': +- bindir = sysconfig.get_config_var('BINDIR') +- pats = ['cyg{}.dll'.format(libname), 'cyg{}-*.dll'.format(libname)] +- filenames = [] +- for pat in pats: +- filenames += glob.glob(os.path.join(bindir, pat)) +- +- # Note: This is not very robust, since if there are multi DLL +- # versions for the same library this just selects one more or less +- # at arbitrary. However, practically speaking, on Cygwin, there +- # will only ever be one version +- if filenames: +- return filenames[-1] +- else: +- if sys.platform == 'darwin': +- ext = 'dylib' +- else: +- ext = 'so' +- +- libdirs = [sysconfig.get_config_var('LIBDIR')] +- multilib = sysconfig.get_config_var('MULTILIB') +- if multilib: +- libdirs.insert(0, os.path.join(libdirs[0], multilib)) +- +- for libdir in libdirs: +- basename = 'lib{}.{}'.format(libname, ext) +- filename = os.path.join(libdir, basename) +- if os.path.exists(filename): +- return filename +- +- # Just return None if no files were found +- return None +- +- + # locate singular shared object + # On Debian it's libsingular-Singular so try that as well +-SINGULAR_SO = _get_shared_lib_filename('Singular', 'singular-Singular') ++SINGULAR_SO = '/default' + var('SINGULAR_SO', SINGULAR_SO) + + # locate libgap shared object +-GAP_SO= _get_shared_lib_filename('gap','') ++GAP_SO= '/default' + var('GAP_SO', GAP_SO) + + # post process diff --git a/nixpkgs/pkgs/applications/science/math/sage/patches/do-not-test-package-manifests.patch b/nixpkgs/pkgs/applications/science/math/sage/patches/do-not-test-package-manifests.patch new file mode 100644 index 000000000000..9e3f0f5ae880 --- /dev/null +++ b/nixpkgs/pkgs/applications/science/math/sage/patches/do-not-test-package-manifests.patch @@ -0,0 +1,26 @@ +diff --git a/src/sage/misc/package.py b/src/sage/misc/package.py +index 3bca15d53b..7cf04ff8d1 100644 +--- a/src/sage/misc/package.py ++++ b/src/sage/misc/package.py +@@ -478,16 +478,16 @@ def package_manifest(package): + + EXAMPLES:: + +- sage: from sage.misc.package import package_manifest +- sage: sagetex_manifest = package_manifest('sagetex') +- sage: sagetex_manifest['package_name'] == 'sagetex' ++ sage: from sage.misc.package import package_manifest # optional - buildsystem ++ sage: sagetex_manifest = package_manifest('sagetex') # optional - buildsystem ++ sage: sagetex_manifest['package_name'] == 'sagetex' # optional - buildsystem + True +- sage: 'files' in sagetex_manifest ++ sage: 'files' in sagetex_manifest # optional - buildsystem + True + + Test a nonexistent package:: + +- sage: package_manifest('dummy-package') ++ sage: package_manifest('dummy-package') # optional - buildsystem + Traceback (most recent call last): + ... + KeyError: 'dummy-package' diff --git a/nixpkgs/pkgs/applications/science/math/sage/patches/giac-1.5.0.patch b/nixpkgs/pkgs/applications/science/math/sage/patches/giac-1.5.0.patch deleted file mode 100644 index 58090b241d2d..000000000000 --- a/nixpkgs/pkgs/applications/science/math/sage/patches/giac-1.5.0.patch +++ /dev/null @@ -1,14 +0,0 @@ ---- a/src/sage/interfaces/giac.py 2018-12-08 22:11:56.285500644 +0100 -+++ b/src/sage/interfaces/giac.py 2018-12-08 22:11:56.285500644 +0100 -@@ -617,10 +617,7 @@ - '4\n3' - sage: s='g(x):={\nx+1;\nx+2;\n}' - sage: giac(s) -- (x)->{ -- x+1; -- x+2; -- } -+ (x)->[x+1,x+2] - sage: giac.g(5) - 7 - """ diff --git a/nixpkgs/pkgs/applications/science/math/sage/patches/ignore-pip-deprecation.patch b/nixpkgs/pkgs/applications/science/math/sage/patches/ignore-pip-deprecation.patch deleted file mode 100644 index 95b377dc9550..000000000000 --- a/nixpkgs/pkgs/applications/science/math/sage/patches/ignore-pip-deprecation.patch +++ /dev/null @@ -1,22 +0,0 @@ -diff --git a/src/sage/misc/package.py b/src/sage/misc/package.py -index 689e5a23b9..4e16fe3a8d 100644 ---- a/src/sage/misc/package.py -+++ b/src/sage/misc/package.py -@@ -142,9 +142,14 @@ def pip_installed_packages(): - sage: d['beautifulsoup'] # optional - beautifulsoup - u'...' - """ -- proc = subprocess.Popen(["pip", "list", "--no-index", "--format", "json"], stdout=subprocess.PIPE) -- stdout = proc.communicate()[0].decode() -- return {package['name'].lower():package['version'] for package in json.loads(stdout)} -+ with open(os.devnull, 'w') as devnull: -+ proc = subprocess.Popen( -+ ["pip", "list", "--no-index", "--format", "json"], -+ stdout=subprocess.PIPE, -+ stderr=devnull, -+ ) -+ stdout = proc.communicate()[0].decode() -+ return {package['name'].lower():package['version'] for package in json.loads(stdout)} - - def list_packages(*pkg_types, **opts): - r""" diff --git a/nixpkgs/pkgs/applications/science/math/sage/patches/revert-sphinx-always-fork.patch b/nixpkgs/pkgs/applications/science/math/sage/patches/revert-sphinx-always-fork.patch deleted file mode 100644 index 64dd6fd93777..000000000000 --- a/nixpkgs/pkgs/applications/science/math/sage/patches/revert-sphinx-always-fork.patch +++ /dev/null @@ -1,71 +0,0 @@ -commit f1c59929c3c180ac283334c2b3c901ac8c82f6b1 -Author: Timo Kaufmann <timokau@zoho.com> -Date: Sat Oct 20 20:07:41 2018 +0200 - - Revert "Something related to the sphinxbuild seems to be leaking memory" - - This reverts commit 7d85dc796c58c3de57401bc22d3587b94e205091. - -diff --git a/src/sage_setup/docbuild/__init__.py b/src/sage_setup/docbuild/__init__.py -index 0b24b1a60b..084c3f89d7 100644 ---- a/src/sage_setup/docbuild/__init__.py -+++ b/src/sage_setup/docbuild/__init__.py -@@ -265,29 +265,35 @@ class DocBuilder(object): - # import the customized builder for object.inv files - inventory = builder_helper('inventory') - --def build_many(target, args): -- # Pool() uses an actual fork() to run each new instance. This is important -- # for performance reasons, i.e., don't use a forkserver when it becomes -- # available with Python 3: Here, sage is already initialized which is quite -- # costly, with a forkserver we would have to reinitialize it for every -- # document we build. At the same time, don't serialize this by taking the -- # pool (and thus the call to fork()) out completely: The call to Sphinx -- # leaks memory, so we need to build each document in its own process to -- # control the RAM usage. -- from multiprocessing import Pool -- pool = Pool(NUM_THREADS, maxtasksperchild=1) -- # map_async handles KeyboardInterrupt correctly. Plain map and -- # apply_async does not, so don't use it. -- x = pool.map_async(target, args, 1) -- try: -- ret = x.get(99999) -- pool.close() -- pool.join() -- except Exception: -- pool.terminate() -- if ABORT_ON_ERROR: -- raise -- return ret -+if NUM_THREADS > 1: -+ def build_many(target, args): -+ from multiprocessing import Pool -+ pool = Pool(NUM_THREADS, maxtasksperchild=1) -+ # map_async handles KeyboardInterrupt correctly. Plain map and -+ # apply_async does not, so don't use it. -+ x = pool.map_async(target, args, 1) -+ try: -+ ret = x.get(99999) -+ pool.close() -+ pool.join() -+ except Exception: -+ pool.terminate() -+ if ABORT_ON_ERROR: -+ raise -+ return ret -+else: -+ def build_many(target, args): -+ results = [] -+ -+ for arg in args: -+ try: -+ results.append(target(arg)) -+ except Exception: -+ if ABORT_ON_ERROR: -+ raise -+ -+ return results -+ - - ########################################## - # Parallel Building Ref Manual # diff --git a/nixpkgs/pkgs/applications/science/math/sage/sage-src.nix b/nixpkgs/pkgs/applications/science/math/sage/sage-src.nix index 619d24ce9ac3..c729e441c1ce 100644 --- a/nixpkgs/pkgs/applications/science/math/sage/sage-src.nix +++ b/nixpkgs/pkgs/applications/science/math/sage/sage-src.nix @@ -10,14 +10,14 @@ # all get the same sources with the same patches applied. stdenv.mkDerivation rec { - version = "8.6"; - name = "sage-src-${version}"; + version = "8.7"; + pname = "sage-src"; src = fetchFromGitHub { owner = "sagemath"; repo = "sage"; rev = version; - sha256 = "1vs3pbgbqpg0qnwr018bqsdmm7crgjp310cx8zwh7za3mv1cw5j3"; + sha256 = "05vvrd6syh0hlmrk6kzjrwd0hpmvxp8vr8p3mkjb0jh5p2kjdd27"; }; # Patches needed because of particularities of nix or the way this is packaged. @@ -37,12 +37,6 @@ stdenv.mkDerivation rec { # https://github.com/python/cpython/pull/7476 ./patches/python-5755-hotpatch.patch - # Revert the commit that made the sphinx build fork even in the single thread - # case. For some yet unknown reason, that breaks the docbuild on nix and archlinux. - # See https://groups.google.com/forum/#!msg/sage-packaging/VU4h8IWGFLA/mrmCMocYBwAJ. - # https://trac.sagemath.org/ticket/26608 - ./patches/revert-sphinx-always-fork.patch - # Make sure py2/py3 tests are only run when their expected context (all "sage" # tests) are also run. That is necessary to test dochtml individually. See # https://trac.sagemath.org/ticket/26110 for an upstream discussion. @@ -59,6 +53,21 @@ stdenv.mkDerivation rec { sha256 = "07p9i0fwjgapmfvmi436yn6v60p8pvmxqjc93wsssqgh5kd8qw3n"; stripLen = 1; }) + + # Part of the build system. Should become unnecessary with sage 8.8. + # Upstream discussion here: https://trac.sagemath.org/ticket/27124#comment:33 + ./patches/do-not-test-package-manifests.patch + + # Not necessary since library location is set explicitly + # https://trac.sagemath.org/ticket/27660#ticket + ./patches/do-not-test-find-library.patch + + # https://trac.sagemath.org/ticket/27697#ticket + (fetchpatch { + name = "pplpy-doc-location-configurable.patch"; + url = "https://git.sagemath.org/sage.git/patch/?h=c4d966e7cb0c7b87c55d52dc6f46518433a2a0a2"; + sha256 = "0pqbbsx8mriwny422s9mp3z5d095cnam32sm62q4mxk8g8jb9vm9"; + }) ]; # Since sage unfortunately does not release bugfix releases, packagers must @@ -104,52 +113,12 @@ stdenv.mkDerivation rec { stripLen = 1; }) - # https://trac.sagemath.org/ticket/26315 - ./patches/giac-1.5.0.patch - - # https://trac.sagemath.org/ticket/26442 - (fetchSageDiff { - name = "cypari2-2.0.3.patch"; - base = "8.6.rc1"; - rev = "cd62d45bcef93fb4f7ed62609a46135e6de07051"; - sha256 = "08l2b9w0rn1zrha6188j72f7737xs126gkgmydjd31baa6367np2"; - }) - - # https://trac.sagemath.org/ticket/26949 - (fetchpatch { - name = "sphinx-1.8.3-dependency.patch"; - url = "https://git.sagemath.org/sage.git/patch?id=d305eda0fedc73fdbe0447b5d6d2b520b8d112c4"; - sha256 = "1x3q5j8lq35vlj893gj5gq9fhzs60szm9r9rx6ri79yiy9apabph"; - }) # https://trac.sagemath.org/ticket/26451 - (fetchpatch { - name = "sphinx-1.8.3.patch"; - url = "https://git.sagemath.org/sage.git/patch?id2=0cb494282d7b4cea50aba7f4d100e7932a4c00b1&id=62b989d5ee1d9646db85ea56053cd22e9ffde5ab"; - sha256 = "1n5c61mvhalcr2wbp66wzsynwwk59aakvx3xqa5zw9nlkx3rd0h1"; - }) - - # https://trac.sagemath.org/ticket/27061 - (fetchpatch { - name = "numpy-1.16-inline-fortran.patch"; - url = "https://git.sagemath.org/sage.git/patch?id=a05b6b038e1571ab15464e98f76d1927c0c3fd12"; - sha256 = "05yq97pq84xi60wb1p9skrad5h5x770gq98ll4frr7hvvmlwsf58"; - }) - - # https://trac.sagemath.org/ticket/27405 - ./patches/ignore-pip-deprecation.patch - - # https://trac.sagemath.org/ticket/27360 - (fetchpatch { - name = "eclib-20190226.patch"; - url = "https://git.sagemath.org/sage.git/patch/?id=f570e3a7fc2965764b84c04ce301a88ded2c42df"; - sha256 = "0l5c4giixkn15v2a06sfzq5mkxila6l67zkjbacirwprrlpcnmmp"; - }) - - # https://trac.sagemath.org/ticket/27420 - (fetchpatch { - name = "cypari-2.1.patch"; - url = "https://git.sagemath.org/sage.git/patch/?id=e351bf2f2914e683d5e2028597c45ae8d1b7f855"; - sha256 = "00faa7fl0vaqcqbw0bidkhl78qa8l34d3a07zirbcl0vm74bdn1p"; + (fetchSageDiff { + name = "sphinx-1.8.patch"; + base = "8.7"; + rev = "737afd8f314bd1e16feaec562bb4b5efa2effa8b"; + sha256 = "0n56ss88ds662bp49j23z5c2i6hsn3jynxw13wv76hyl0h7l1hjh"; }) # https://trac.sagemath.org/ticket/27653 @@ -158,6 +127,13 @@ stdenv.mkDerivation rec { url = "https://git.sagemath.org/sage.git/patch/?h=3277ba76d0ba7174608a31a0c6623e9210c63e3d"; sha256 = "09avaanwmdgqv14mmllbgw9z2scf4lc0y0kzdhlriiq8ss9j8iir"; }) + + # https://trac.sagemath.org/ticket/27094 + (fetchpatch { + name = "gap-4.10.1.patch"; + url = "https://git.sagemath.org/sage.git/patch?id=d3483110474591ea6cc8e3210cd884f3e0018b3e"; + sha256 = "028i6h8l8npwzx5z0ax0rcywl85gc83qw1jf93zf523msdfcsk0n"; + }) ]; patches = nixPatches ++ bugfixPatches ++ packageUpgradePatches; @@ -171,6 +147,12 @@ stdenv.mkDerivation rec { echo '#!${runtimeShell} python "$@"' > build/bin/sage-python23 + # Make sure sage can at least be imported without setting any environment + # variables. It won't be close to feature complete though. + sed -i \ + "s|var('SAGE_LOCAL',.*|var('SAGE_LOCAL', '$out/src')|" \ + src/sage/env.py + # Do not use sage-env-config (generated by ./configure). # Instead variables are set manually. echo '# do nothing' > src/bin/sage-env-config diff --git a/nixpkgs/pkgs/applications/science/math/sage/sagedoc.nix b/nixpkgs/pkgs/applications/science/math/sage/sagedoc.nix index cc1a4fc61e0c..91c880673a12 100644 --- a/nixpkgs/pkgs/applications/science/math/sage/sagedoc.nix +++ b/nixpkgs/pkgs/applications/science/math/sage/sagedoc.nix @@ -52,6 +52,9 @@ stdenv.mkDerivation rec { export HOME="$TMPDIR/sage_home" mkdir -p "$HOME" + # needed to link them in the sage docs using intersphinx + export PPLPY_DOCS=${python.pkgs.pplpy.doc}/share/doc/pplpy + ${sage-with-env}/bin/sage -python -m sage_setup.docbuild \ --mathjax \ --no-pdf-links \ diff --git a/nixpkgs/pkgs/applications/science/math/sage/sagelib.nix b/nixpkgs/pkgs/applications/science/math/sage/sagelib.nix index d7f9cb9ee321..d745532d5d70 100644 --- a/nixpkgs/pkgs/applications/science/math/sage/sagelib.nix +++ b/nixpkgs/pkgs/applications/science/math/sage/sagelib.nix @@ -47,6 +47,8 @@ , jupyter_core , libhomfly , libbraiding +, gmpy2 +, pplpy }: # This is the core sage python package. Everything else is just wrappers gluing @@ -115,6 +117,8 @@ buildPythonPackage rec { cysignals libhomfly libbraiding + gmpy2 + pplpy ]; buildPhase = '' diff --git a/nixpkgs/pkgs/applications/science/misc/openmvg/default.nix b/nixpkgs/pkgs/applications/science/misc/openmvg/default.nix index c3e1cd60bf0b..4139c88ffb02 100644 --- a/nixpkgs/pkgs/applications/science/misc/openmvg/default.nix +++ b/nixpkgs/pkgs/applications/science/misc/openmvg/default.nix @@ -42,7 +42,7 @@ stdenv.mkDerivation rec { meta = { description = "A library for computer-vision scientists and targeted for the Multiple View Geometry community"; - homepage = http://openmvg.readthedocs.io/en/latest/; + homepage = https://openmvg.readthedocs.io/en/latest/; license = stdenv.lib.licenses.mpl20; platforms = stdenv.lib.platforms.linux; maintainers = with stdenv.lib.maintainers; [ mdaiter ]; diff --git a/nixpkgs/pkgs/applications/science/programming/groove/default.nix b/nixpkgs/pkgs/applications/science/programming/groove/default.nix new file mode 100644 index 000000000000..e854f20d39a3 --- /dev/null +++ b/nixpkgs/pkgs/applications/science/programming/groove/default.nix @@ -0,0 +1,54 @@ +{ stdenv, fetchurl, unzip, makeWrapper, makeDesktopItem, icoutils, jre }: + +let + desktopItem = makeDesktopItem { + name = "groove-simulator"; + exec = "groove-simulator"; + icon = "groove"; + desktopName = "GROOVE Simulator"; + comment = "GRaphs for Object-Oriented VErification"; + categories = "Science;ComputerScience;"; + }; + +in stdenv.mkDerivation rec { + pname = "groove"; + version = "5.7.4"; + + src = fetchurl { + url = "mirror://sourceforge/groove/groove/${version}/${pname}-${builtins.replaceStrings ["."] ["_"] version}-bin.zip"; + sha256 = "1cl3xzl3n8b9a7h5pvnv31bab9j9zaw07ppk8whk8h865dcq1d10"; + }; + + nativeBuildInputs = [ unzip makeWrapper icoutils ]; + + dontBuild = true; + + installPhase = '' + mkdir -p $out/share/groove + cp -r bin lib $out/share/groove/ + + mkdir -p $out/share/doc/groove + cp CHANGES README *.pdf $out/share/doc/groove/ + + mkdir -p $out/bin + for bin in Generator Imager ModelChecker PrologChecker Simulator Viewer; do + makeWrapper ${jre}/bin/java $out/bin/groove-''${bin,,} \ + --add-flags "-jar $out/share/groove/bin/$bin.jar" + done + + mkdir -p $out/share/applications + ln -s ${desktopItem}/share/applications/* $out/share/applications/ + + mkdir -p $out/share/icons/hicolor/{16x16,32x32}/apps + icotool -x -i 1 -o $out/share/icons/hicolor/32x32/apps/groove.png groove-green-g.ico + icotool -x -i 2 -o $out/share/icons/hicolor/16x16/apps/groove.png groove-green-g.ico + ''; + + meta = with stdenv.lib; { + description = "GRaphs for Object-Oriented VErification"; + homepage = http://groove.cs.utwente.nl/; + license = licenses.asl20; + platforms = platforms.all; + maintainers = with maintainers; [ jfrankenau ]; + }; +} diff --git a/nixpkgs/pkgs/applications/search/recoll/default.nix b/nixpkgs/pkgs/applications/search/recoll/default.nix index b0ac580d1622..06f3733c8f79 100644 --- a/nixpkgs/pkgs/applications/search/recoll/default.nix +++ b/nixpkgs/pkgs/applications/search/recoll/default.nix @@ -69,7 +69,7 @@ stdenv.mkDerivation rec { Recoll is an Xapian frontend that can search through files, archive members, email attachments. ''; - homepage = http://www.lesbonscomptes.com/recoll/; + homepage = https://www.lesbonscomptes.com/recoll/; license = licenses.gpl2; platforms = platforms.unix; maintainers = [ maintainers.jcumming ]; diff --git a/nixpkgs/pkgs/applications/version-management/git-and-tools/default.nix b/nixpkgs/pkgs/applications/version-management/git-and-tools/default.nix index 0797d75a8377..560e1576efc7 100644 --- a/nixpkgs/pkgs/applications/version-management/git-and-tools/default.nix +++ b/nixpkgs/pkgs/applications/version-management/git-and-tools/default.nix @@ -61,6 +61,15 @@ let git-annex-remote-b2 = callPackage ./git-annex-remote-b2 { }; + git-annex-remote-dbx = callPackage ./git-annex-remote-dbx { + inherit (python3Packages) + buildPythonApplication + fetchPypi + dropbox + annexremote + humanfriendly; + }; + git-annex-remote-rclone = callPackage ./git-annex-remote-rclone { }; git-bug = callPackage ./git-bug { }; diff --git a/nixpkgs/pkgs/applications/version-management/git-and-tools/git-annex-remote-dbx/default.nix b/nixpkgs/pkgs/applications/version-management/git-and-tools/git-annex-remote-dbx/default.nix new file mode 100644 index 000000000000..8dd44287b509 --- /dev/null +++ b/nixpkgs/pkgs/applications/version-management/git-and-tools/git-annex-remote-dbx/default.nix @@ -0,0 +1,25 @@ +{ lib +, buildPythonApplication +, fetchPypi +, dropbox +, annexremote +, humanfriendly +}: + +buildPythonApplication rec { + pname = "git-annex-remote-dbx"; + version = "1.0.3"; + + src = fetchPypi { + inherit pname version; + sha256 = "5b6f8025ed1e9877f06882ddbd81f701a8e094647ab97595e2afc09016835a7c"; + }; + + propagatedBuildInputs = [ dropbox annexremote humanfriendly ]; + + meta = with lib; { + description = "A git-annex special remote for Dropbox"; + homepage = https://pypi.org/project/git-annex-remote-dbx/; + license = licenses.mit; + }; +} diff --git a/nixpkgs/pkgs/applications/version-management/git-and-tools/git-ignore/default.nix b/nixpkgs/pkgs/applications/version-management/git-and-tools/git-ignore/default.nix index 9edcf4c3ac47..df1f007faa75 100644 --- a/nixpkgs/pkgs/applications/version-management/git-and-tools/git-ignore/default.nix +++ b/nixpkgs/pkgs/applications/version-management/git-and-tools/git-ignore/default.nix @@ -3,24 +3,30 @@ with rustPlatform; buildRustPackage rec { - name = "git-ignore-${version}"; - version = "0.2.0"; - - cargoSha256 = "1fqfy8lnvpn5sd3l73x2p359zq4303vsrdgw3aphvy6580yjb84d"; + pname = "git-ignore"; + version = "1.0.0"; src = fetchFromGitHub { owner = "sondr3"; - repo = "git-ignore"; + repo = pname; rev = "v${version}"; - sha256 = "1nihh5inh46r8jg9z7d6g9gqfyhrznmkn15nmzpbnzf0653dl629"; + sha256 = "0krz50pw9bkyzl78bvppk6skbpjp8ga7bd34jya4ha1xfmd8p89c"; }; + cargoSha256 = "0r6whz8vghhjyc5vrr0n172nghmi61zj96lk26qm0bgxqyzll1kj"; + nativeBuildInputs = [ pkgconfig ]; buildInputs = [ openssl ] ++ stdenv.lib.optionals stdenv.isDarwin [ darwin.apple_sdk.frameworks.Security ]; + outputs = [ "out" "man" ]; + preFixup = '' + mkdir -p "$man/man/man1" + cp target/release/build/git-ignore-*/out/git-ignore.1 "$man/man/man1/" + ''; + meta = with stdenv.lib; { description = "Quickly and easily fetch .gitignore templates from gitignore.io"; homepage = https://github.com/sondr3/git-ignore; diff --git a/nixpkgs/pkgs/applications/version-management/git-and-tools/git-secret/default.nix b/nixpkgs/pkgs/applications/version-management/git-and-tools/git-secret/default.nix index 0c5158e550cb..1ade31fd6835 100644 --- a/nixpkgs/pkgs/applications/version-management/git-and-tools/git-secret/default.nix +++ b/nixpkgs/pkgs/applications/version-management/git-and-tools/git-secret/default.nix @@ -28,7 +28,7 @@ in stdenv.mkDerivation { meta = { description = "A bash-tool to store your private data inside a git repository"; - homepage = http://git-secret.io; + homepage = https://git-secret.io; license = stdenv.lib.licenses.mit; maintainers = [ stdenv.lib.maintainers.lo1tuma ]; platforms = stdenv.lib.platforms.all; diff --git a/nixpkgs/pkgs/applications/version-management/git-and-tools/git2cl/default.nix b/nixpkgs/pkgs/applications/version-management/git-and-tools/git2cl/default.nix index 044800fe73a6..036f8b4114e2 100644 --- a/nixpkgs/pkgs/applications/version-management/git-and-tools/git2cl/default.nix +++ b/nixpkgs/pkgs/applications/version-management/git-and-tools/git2cl/default.nix @@ -16,7 +16,7 @@ stdenv.mkDerivation { ''; meta = { - homepage = http://josefsson.org/git2cl/; + homepage = https://savannah.nongnu.org/projects/git2cl; description = "Convert git logs to GNU style ChangeLog files"; platforms = stdenv.lib.platforms.unix; }; diff --git a/nixpkgs/pkgs/applications/version-management/git-and-tools/gitflow/default.nix b/nixpkgs/pkgs/applications/version-management/git-and-tools/gitflow/default.nix index c75af4718541..26f9f8c756ee 100644 --- a/nixpkgs/pkgs/applications/version-management/git-and-tools/gitflow/default.nix +++ b/nixpkgs/pkgs/applications/version-management/git-and-tools/gitflow/default.nix @@ -4,14 +4,14 @@ with pkgs.lib; stdenv.mkDerivation rec { pname = "gitflow"; - version = "1.11.0"; + version = "1.12.2"; name = "${pname}-${version}"; src = fetchFromGitHub { owner = "petervanderdoes"; repo = pname; rev = version; - sha256 = "0zk53g0wd5n1zlhkwlfp124i6agx8kl0cwvy0dia3jh1p51vsc1q"; + sha256 = "0smwlc1wa4ndvspc9x6f4jwnzr58achysrhhip402j98d7di8hw5"; }; buildInputs = [ pkgs.makeWrapper ]; diff --git a/nixpkgs/pkgs/applications/version-management/git-and-tools/pre-commit/default.nix b/nixpkgs/pkgs/applications/version-management/git-and-tools/pre-commit/default.nix index 7b86b5429b26..abbec433c029 100644 --- a/nixpkgs/pkgs/applications/version-management/git-and-tools/pre-commit/default.nix +++ b/nixpkgs/pkgs/applications/version-management/git-and-tools/pre-commit/default.nix @@ -1,12 +1,12 @@ { stdenv, python3Packages }: with python3Packages; buildPythonApplication rec { pname = "pre-commit"; - version = "1.14.4"; + version = "1.15.1"; src = fetchPypi { inherit version; pname = "pre_commit"; - sha256 = "1ly40348x9lkzsr7a9grkn7m8m6lhfsv0ihlnp288xbvmrirrmnk"; + sha256 = "1c4a6g3x44xkr75196m2qhb7fbm0lv40yv312g4hkl00mq713abm"; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/applications/version-management/gitea/default.nix b/nixpkgs/pkgs/applications/version-management/gitea/default.nix index 69d8876510ee..25d839e13616 100644 --- a/nixpkgs/pkgs/applications/version-management/gitea/default.nix +++ b/nixpkgs/pkgs/applications/version-management/gitea/default.nix @@ -8,13 +8,13 @@ with stdenv.lib; buildGoPackage rec { pname = "gitea"; - version = "1.7.6"; + version = "1.8.0"; src = fetchFromGitHub { owner = "go-gitea"; repo = "gitea"; rev = "v${version}"; - sha256 = "155lnp98dx80aqds91kw2kf6lss6jzk5nljaqmmzsvn701fh922q"; + sha256 = "1x5r732rh1g23smgvvk10nlqbv14m7cf3y6zgwwl2bwkvax4z49b"; # Required to generate the same checksum on MacOS due to unicode encoding differences # More information: https://github.com/NixOS/nixpkgs/pull/48128 extraPostFetch = '' diff --git a/nixpkgs/pkgs/applications/version-management/gitlab/data.json b/nixpkgs/pkgs/applications/version-management/gitlab/data.json index e15709191bfd..7536e9c47d88 100644 --- a/nixpkgs/pkgs/applications/version-management/gitlab/data.json +++ b/nixpkgs/pkgs/applications/version-management/gitlab/data.json @@ -1,32 +1,32 @@ { "ce": { - "version": "11.9.1", - "repo_hash": "11dx931n79ynw8j6vbjsb832dkkp2s4vzji53km4ib9njn5nja0l", - "deb_hash": "133qjxmrn2rl9avi0nwcdbky53vgxbzp4g3vcgwg21xyfr8k8s4n", - "deb_url": "https://packages.gitlab.com/gitlab/gitlab-ce/packages/debian/stretch/gitlab-ce_11.9.1-ce.0_amd64.deb/download.deb", + "version": "11.9.8", + "repo_hash": "10xlabp7ziw1vpyy9dvhaiwf5l340d3yzvlh2aq6ly3xlqr5ip07", + "deb_hash": "0apw0w5grhpfxwl76w7as5xb6injr7ka8wwk2azllamrxrnn30dv", + "deb_url": "https://packages.gitlab.com/gitlab/gitlab-ce/packages/debian/stretch/gitlab-ce_11.9.8-ce.0_amd64.deb/download.deb", "owner": "gitlab-org", "repo": "gitlab-ce", - "rev": "v11.9.1", + "rev": "v11.9.8", "passthru": { "GITALY_SERVER_VERSION": "1.27.1", "GITLAB_PAGES_VERSION": "1.5.0", "GITLAB_SHELL_VERSION": "8.7.1", - "GITLAB_WORKHORSE_VERSION": "8.3.1" + "GITLAB_WORKHORSE_VERSION": "8.3.3" } }, "ee": { - "version": "11.9.1", - "repo_hash": "13d6vg505rifgxpks9b7x2zq65b41naj7znkzm5i1kdvklfygqpd", - "deb_hash": "1z5i04cxwgcmx55yzhpw0ss1rwaqz1jl6hwpgbyly6prrbl5h59x", - "deb_url": "https://packages.gitlab.com/gitlab/gitlab-ee/packages/debian/stretch/gitlab-ee_11.9.1-ee.0_amd64.deb/download.deb", + "version": "11.9.8", + "repo_hash": "0h6lpaiwsvyn5cdga08zbgr6cwp3k6xi5jpb7n37hc6y4c7b36ry", + "deb_hash": "1bsy8qrr2sjvavzv4nslx14x4cx5xjx55d2v7zz6fvjzmgb98hgv", + "deb_url": "https://packages.gitlab.com/gitlab/gitlab-ee/packages/debian/stretch/gitlab-ee_11.9.8-ee.0_amd64.deb/download.deb", "owner": "gitlab-org", "repo": "gitlab-ee", - "rev": "v11.9.1-ee", + "rev": "v11.9.8-ee", "passthru": { "GITALY_SERVER_VERSION": "1.27.1", "GITLAB_PAGES_VERSION": "1.5.0", "GITLAB_SHELL_VERSION": "8.7.1", - "GITLAB_WORKHORSE_VERSION": "8.3.1" + "GITLAB_WORKHORSE_VERSION": "8.3.3" } } } \ No newline at end of file diff --git a/nixpkgs/pkgs/applications/version-management/gitlab/gitlab-workhorse/default.nix b/nixpkgs/pkgs/applications/version-management/gitlab/gitlab-workhorse/default.nix index 6540ee0be963..6c1bffed51a9 100644 --- a/nixpkgs/pkgs/applications/version-management/gitlab/gitlab-workhorse/default.nix +++ b/nixpkgs/pkgs/applications/version-management/gitlab/gitlab-workhorse/default.nix @@ -3,13 +3,13 @@ stdenv.mkDerivation rec { name = "gitlab-workhorse-${version}"; - version = "8.3.1"; + version = "8.3.3"; src = fetchFromGitLab { owner = "gitlab-org"; repo = "gitlab-workhorse"; rev = "v${version}"; - sha256 = "14zmxajzx6r2wrsxkmqp7j94yxnq4qpg27wih5l8lhf1imzgnk3j"; + sha256 = "08v5ga9qbrs1xciw4cjhsjpqcp6cxzymc2y39la2a4lgb2cgyi10"; }; buildInputs = [ git go ]; diff --git a/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ce/Gemfile b/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ce/Gemfile index f36e2e38d6be..da005d40499d 100644 --- a/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ce/Gemfile +++ b/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ce/Gemfile @@ -204,6 +204,9 @@ gem 'connection_pool', '~> 2.0' # Discord integration gem 'discordrb-webhooks-blackst0ne', '~> 3.3', require: false +# HipChat integration +gem 'hipchat', '~> 1.5.0' + # JIRA integration gem 'jira-ruby', '~> 1.4' diff --git a/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ce/Gemfile.lock b/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ce/Gemfile.lock index 1be6f2289544..5de32fba5d90 100644 --- a/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ce/Gemfile.lock +++ b/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ce/Gemfile.lock @@ -364,6 +364,9 @@ GEM hashie (>= 3.0) health_check (2.6.0) rails (>= 4.0) + hipchat (1.5.2) + httparty + mimemagic html-pipeline (2.8.4) activesupport (>= 2) nokogiri (>= 1.4) @@ -1041,6 +1044,7 @@ DEPENDENCIES hangouts-chat (~> 0.0.5) hashie-forbidden_attributes health_check (~> 2.6.0) + hipchat (~> 1.5.0) html-pipeline (~> 2.8) html2text httparty (~> 0.13.3) diff --git a/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ce/gemset.nix b/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ce/gemset.nix index f3e2dd91d556..a60334eb3c27 100644 --- a/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ce/gemset.nix +++ b/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ce/gemset.nix @@ -1354,6 +1354,17 @@ }; version = "2.6.0"; }; + hipchat = { + dependencies = ["httparty" "mimemagic"]; + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "0hgy5jav479vbzzk53lazhpjj094dcsqw6w1d6zjn52p72bwq60k"; + type = "gem"; + }; + version = "1.5.2"; + }; html-pipeline = { dependencies = ["activesupport" "nokogiri"]; source = { diff --git a/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ee/Gemfile b/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ee/Gemfile index 2847bd3e6c27..b2e42dc696c6 100644 --- a/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ee/Gemfile +++ b/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ee/Gemfile @@ -214,6 +214,9 @@ gem 'connection_pool', '~> 2.0' # Discord integration gem 'discordrb-webhooks-blackst0ne', '~> 3.3', require: false +# HipChat integration +gem 'hipchat', '~> 1.5.0' + # JIRA integration gem 'jira-ruby', '~> 1.4' diff --git a/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ee/Gemfile.lock b/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ee/Gemfile.lock index 251d759be059..4cd35f7555df 100644 --- a/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ee/Gemfile.lock +++ b/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ee/Gemfile.lock @@ -391,6 +391,9 @@ GEM hashie (>= 3.0) health_check (2.6.0) rails (>= 4.0) + hipchat (1.5.2) + httparty + mimemagic html-pipeline (2.8.4) activesupport (>= 2) nokogiri (>= 1.4) @@ -1078,6 +1081,7 @@ DEPENDENCIES hangouts-chat (~> 0.0.5) hashie-forbidden_attributes health_check (~> 2.6.0) + hipchat (~> 1.5.0) html-pipeline (~> 2.8) html2text httparty (~> 0.13.3) diff --git a/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ee/gemset.nix b/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ee/gemset.nix index c62c26b2bd10..7fcada47777f 100644 --- a/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ee/gemset.nix +++ b/nixpkgs/pkgs/applications/version-management/gitlab/rubyEnv-ee/gemset.nix @@ -1459,6 +1459,17 @@ }; version = "2.6.0"; }; + hipchat = { + dependencies = ["httparty" "mimemagic"]; + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "0hgy5jav479vbzzk53lazhpjj094dcsqw6w1d6zjn52p72bwq60k"; + type = "gem"; + }; + version = "1.5.2"; + }; html-pipeline = { dependencies = ["activesupport" "nokogiri"]; source = { diff --git a/nixpkgs/pkgs/applications/version-management/gitless/default.nix b/nixpkgs/pkgs/applications/version-management/gitless/default.nix index d062c32d018e..c08e441b05af 100644 --- a/nixpkgs/pkgs/applications/version-management/gitless/default.nix +++ b/nixpkgs/pkgs/applications/version-management/gitless/default.nix @@ -16,7 +16,7 @@ pythonPackages.buildPythonApplication rec { doCheck = false; meta = with stdenv.lib; { - homepage = http://gitless.com/; + homepage = https://gitless.com/; description = "A version control system built on top of Git"; license = licenses.gpl2; platforms = platforms.all; diff --git a/nixpkgs/pkgs/applications/version-management/gogs/default.nix b/nixpkgs/pkgs/applications/version-management/gogs/default.nix index 238540a1c981..0587bc9af767 100644 --- a/nixpkgs/pkgs/applications/version-management/gogs/default.nix +++ b/nixpkgs/pkgs/applications/version-management/gogs/default.nix @@ -27,8 +27,11 @@ buildGoPackage rec { nativeBuildInputs = [ makeWrapper ] ++ optional pamSupport pam; - buildFlags = optional sqliteSupport "-tags sqlite" - ++ optional pamSupport "-tags pam"; + buildFlags = "-tags"; + + buildFlagsArray = + ( optional sqliteSupport "sqlite" + ++ optional pamSupport "pam"); outputs = [ "bin" "out" "data" ]; diff --git a/nixpkgs/pkgs/applications/version-management/gource/default.nix b/nixpkgs/pkgs/applications/version-management/gource/default.nix index c52a2049a11d..0d2c4d742672 100644 --- a/nixpkgs/pkgs/applications/version-management/gource/default.nix +++ b/nixpkgs/pkgs/applications/version-management/gource/default.nix @@ -22,7 +22,7 @@ stdenv.mkDerivation rec { enableParallelBuilding = true; meta = with stdenv.lib; { - homepage = http://gource.io/; + homepage = https://gource.io/; description = "A Software version control visualization tool"; license = licenses.gpl3Plus; longDescription = '' diff --git a/nixpkgs/pkgs/applications/version-management/sublime-merge/common.nix b/nixpkgs/pkgs/applications/version-management/sublime-merge/common.nix new file mode 100644 index 000000000000..a232b78aacfd --- /dev/null +++ b/nixpkgs/pkgs/applications/version-management/sublime-merge/common.nix @@ -0,0 +1,123 @@ +{ buildVersion, sha256, dev ? false }: + +{ fetchurl, stdenv, xorg, glib, glibcLocales, gtk2, gtk3, cairo, pango, libredirect, makeWrapper, wrapGAppsHook +, pkexecPath ? "/run/wrappers/bin/pkexec", gksuSupport ? false, gksu +, writeScript, common-updater-scripts, curl, gnugrep +}: + +assert gksuSupport -> gksu != null; + +let + pname = "sublime-merge"; + packageAttribute = "sublime-merge${stdenv.lib.optionalString dev "-dev"}"; + binaries = [ "sublime_merge" "crash_reporter" "git-credential-sublime" "ssh-askpass-sublime" ]; + primaryBinary = "sublime_merge"; + primaryBinaryAliases = [ "smerge" ]; + downloadUrl = "https://download.sublimetext.com/sublime_merge_build_${buildVersion}_${arch}.tar.xz"; + downloadArchiveType = "tar.xz"; + versionUrl = "https://www.sublimemerge.com/${if dev then "dev" else "download"}"; + versionFile = "pkgs/applications/version-management/sublime-merge/default.nix"; + usesGtk2 = false; + archSha256 = sha256; + arch = "x64"; + + libPath = stdenv.lib.makeLibraryPath [ xorg.libX11 glib (if usesGtk2 then gtk2 else gtk3) cairo pango ]; + redirects = [ "/usr/bin/pkexec=${pkexecPath}" ] + ++ stdenv.lib.optional gksuSupport "/usr/bin/gksudo=${gksu}/bin/gksudo"; +in let + binaryPackage = stdenv.mkDerivation { + pname = "${pname}-bin"; + version = buildVersion; + + src = fetchurl { + name = "${pname}-bin-${buildVersion}.${downloadArchiveType}"; + url = downloadUrl; + sha256 = archSha256; + }; + + dontStrip = true; + dontPatchELF = true; + buildInputs = stdenv.lib.optionals (!usesGtk2) [ glib gtk3 ]; # for GSETTINGS_SCHEMAS_PATH + nativeBuildInputs = [ makeWrapper ] ++ stdenv.lib.optional (!usesGtk2) wrapGAppsHook; + + buildPhase = '' + runHook preBuild + + for binary in ${ builtins.concatStringsSep " " binaries }; do + patchelf \ + --interpreter "$(cat $NIX_CC/nix-support/dynamic-linker)" \ + --set-rpath ${libPath}:${stdenv.cc.cc.lib}/lib${stdenv.lib.optionalString stdenv.is64bit "64"} \ + $binary + done + + # Rewrite pkexec|gksudo argument. Note that we can't delete bytes in binary. + sed -i -e 's,/bin/cp\x00,cp\x00\x00\x00\x00\x00\x00,g' ${primaryBinary} + + runHook postBuild + ''; + + installPhase = '' + runHook preInstall + + mkdir -p $out + cp -r * $out/ + + runHook postInstall + ''; + + dontWrapGApps = true; # non-standard location, need to wrap the executables manually + + postFixup = '' + wrapProgram $out/${primaryBinary} \ + --set LD_PRELOAD "${libredirect}/lib/libredirect.so" \ + --set NIX_REDIRECTS ${builtins.concatStringsSep ":" redirects} \ + --set LOCALE_ARCHIVE "${glibcLocales.out}/lib/locale/locale-archive" \ + ${stdenv.lib.optionalString (!usesGtk2) ''"''${gappsWrapperArgs[@]}"''} + ''; + }; +in stdenv.mkDerivation (rec { + inherit pname; + version = buildVersion; + + phases = [ "installPhase" ]; + + ${primaryBinary} = binaryPackage; + + nativeBuildInputs = [ makeWrapper ]; + + installPhase = '' + mkdir -p "$out/bin" + makeWrapper "''$${primaryBinary}/${primaryBinary}" "$out/bin/${primaryBinary}" + '' + builtins.concatStringsSep "" (map (binaryAlias: "ln -s $out/bin/${primaryBinary} $out/bin/${binaryAlias}\n") primaryBinaryAliases) + '' + mkdir -p "$out/share/applications" + substitute "''$${primaryBinary}/${primaryBinary}.desktop" "$out/share/applications/${primaryBinary}.desktop" --replace "/opt/${primaryBinary}/${primaryBinary}" "$out/bin/${primaryBinary}" + for directory in ''$${primaryBinary}/Icon/*; do + size=$(basename $directory) + mkdir -p "$out/share/icons/hicolor/$size/apps" + ln -s ''$${primaryBinary}/Icon/$size/* $out/share/icons/hicolor/$size/apps + done + ''; + + passthru.updateScript = writeScript "${pname}-update-script" '' + #!${stdenv.shell} + set -o errexit + PATH=${stdenv.lib.makeBinPath [ common-updater-scripts curl gnugrep ]} + + latestVersion=$(curl -s ${versionUrl} | grep -Po '(?<=<p class="latest"><i>Version:</i> Build )([0-9]+)') + + for platform in ${stdenv.lib.concatStringsSep " " meta.platforms}; do + # The script will not perform an update when the version attribute is up to date from previous platform run + # We need to clear it before each run + update-source-version ${packageAttribute}.${primaryBinary} 0 0000000000000000000000000000000000000000000000000000000000000000 --file=${versionFile} --version-key=buildVersion --system=$platform + update-source-version ${packageAttribute}.${primaryBinary} $latestVersion --file=${versionFile} --version-key=buildVersion --system=$platform + done + ''; + + meta = with stdenv.lib; { + description = "Git client from the makers of Sublime Text"; + homepage = https://www.sublimemerge.com; + maintainers = with maintainers; [ zookatron ]; + license = licenses.unfree; + platforms = [ "x86_64-linux" ]; + }; +}) diff --git a/nixpkgs/pkgs/applications/version-management/sublime-merge/default.nix b/nixpkgs/pkgs/applications/version-management/sublime-merge/default.nix new file mode 100644 index 000000000000..da973b0a7ee1 --- /dev/null +++ b/nixpkgs/pkgs/applications/version-management/sublime-merge/default.nix @@ -0,0 +1,16 @@ +{ callPackage }: + +let + common = opts: callPackage (import ./common.nix opts); +in { + sublime-merge = common { + buildVersion = "1107"; + sha256 = "70edbb16529d638ea41a694dbc5b1408c76fcc3a7d663ef0e48b4e89e1f19c71"; + } {}; + + sublime-merge-dev = common { + buildVersion = "1111"; + sha256 = "d287b77b36febe52623db4546bef978dceb0654257b9a70c798d9cd394305c0d"; + dev = true; + } {}; +} diff --git a/nixpkgs/pkgs/applications/video/mkvtoolnix/default.nix b/nixpkgs/pkgs/applications/video/mkvtoolnix/default.nix index 28056bf6cb81..f5cac00a5bb7 100644 --- a/nixpkgs/pkgs/applications/video/mkvtoolnix/default.nix +++ b/nixpkgs/pkgs/applications/video/mkvtoolnix/default.nix @@ -12,13 +12,13 @@ with stdenv.lib; stdenv.mkDerivation rec { pname = "mkvtoolnix"; - version = "32.0.0"; + version = "33.0.0"; src = fetchFromGitLab { owner = "mbunkus"; repo = "mkvtoolnix"; rev = "release-${version}"; - sha256 = "022mmgm0a6qxybjrygisg731sg9m9d8svd0mxr77wfknwa7m09c9"; + sha256 = "0bphwjjpcj86phcx795wdy5b0ivwh5mvbvi5288pql88x6x0jjk9"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/applications/video/natron/default.nix b/nixpkgs/pkgs/applications/video/natron/default.nix index 090b4ec9ffe2..6299cd7e5937 100644 --- a/nixpkgs/pkgs/applications/video/natron/default.nix +++ b/nixpkgs/pkgs/applications/video/natron/default.nix @@ -120,7 +120,7 @@ stdenv.mkDerivation { Node-graph based, open-source compositing software. Similar in functionalities to Adobe After Effects and Nuke by The Foundry. ''; - homepage = https://natron.inria.fr/; + homepage = https://natron.fr/; license = stdenv.lib.licenses.gpl2; maintainers = [ maintainers.puffnfresh ]; platforms = platforms.linux; diff --git a/nixpkgs/pkgs/applications/video/wf-recorder/default.nix b/nixpkgs/pkgs/applications/video/wf-recorder/default.nix index cdeade6196ee..6a15132fd245 100644 --- a/nixpkgs/pkgs/applications/video/wf-recorder/default.nix +++ b/nixpkgs/pkgs/applications/video/wf-recorder/default.nix @@ -1,18 +1,18 @@ -{ stdenv, fetchFromGitHub, meson, ninja, pkgconfig, wayland, wayland-protocols, ffmpeg, x264 }: +{ stdenv, fetchFromGitHub, meson, ninja, pkgconfig, wayland, wayland-protocols, ffmpeg, x264, libpulseaudio }: stdenv.mkDerivation rec { pname = "wf-recorder"; - version = "unstable-2019-03-12"; + version = "unstable-2019-04-21"; src = fetchFromGitHub { owner = "ammen99"; repo = pname; - rev = "e6ea77a2569c04975cab8655f5ad4dbcf86df1f5"; - sha256 = "1jhj5syzy8i8f9b3j4g12jmc5fcsiv4df9hgribdvw61v5pfz9g1"; + rev = "ddb96690556371007e316577ed1b14f0cb62e13c"; + sha256 = "04amfd1kyklcj6nmmmf21dz333ykglvhxb3cbzak06v2fxlrp2w3"; }; nativeBuildInputs = [ meson ninja pkgconfig ]; - buildInputs = [ wayland wayland-protocols ffmpeg x264 ]; + buildInputs = [ wayland wayland-protocols ffmpeg x264 libpulseaudio ]; meta = with stdenv.lib; { description = "Utility program for screen recording of wlroots-based compositors"; diff --git a/nixpkgs/pkgs/applications/virtualization/firecracker/default.nix b/nixpkgs/pkgs/applications/virtualization/firecracker/default.nix index 778006a40f04..45ec7383fc87 100644 --- a/nixpkgs/pkgs/applications/virtualization/firecracker/default.nix +++ b/nixpkgs/pkgs/applications/virtualization/firecracker/default.nix @@ -1,7 +1,7 @@ { fetchurl, stdenv }: let - version = "0.15.0"; + version = "0.15.2"; baseurl = "https://github.com/firecracker-microvm/firecracker/releases/download"; fetchbin = name: sha256: fetchurl { @@ -9,8 +9,8 @@ let inherit sha256; }; - firecracker-bin = fetchbin "firecracker" "06b9pj9s4i0wqbh24frsza2j28n7qflp623vwvar5k18jq6jixd0"; - jailer-bin = fetchbin "jailer" "17nbsg3yi9rif9qxgp483b2qx0jn2sn1hlvk63gl8m54mnxzmcr3"; + firecracker-bin = fetchbin "firecracker" "11g0iz1krsm6gzhvf0fb4101c6qyk6bl8j3kjidbb52x9i4aqsxk"; + jailer-bin = fetchbin "jailer" "0j1gc1cdsfsi82fkvvxla25791lcvk6vmp46i82f0ms9xm7xhswz"; in stdenv.mkDerivation { name = "firecracker-${version}"; diff --git a/nixpkgs/pkgs/applications/window-managers/i3/easyfocus.nix b/nixpkgs/pkgs/applications/window-managers/i3/easyfocus.nix index 7cdc88549510..9ce5486557a5 100644 --- a/nixpkgs/pkgs/applications/window-managers/i3/easyfocus.nix +++ b/nixpkgs/pkgs/applications/window-managers/i3/easyfocus.nix @@ -2,15 +2,15 @@ , xorg , i3ipc-glib , glib }: -stdenv.mkDerivation rec { - name = "i3easyfocus-${version}"; - version = "20180622"; +stdenv.mkDerivation { + pname = "i3easyfocus"; + version = "20190411"; src = fetchFromGitHub { owner = "cornerman"; repo = "i3-easyfocus"; - rev = "3631d5af612d58c3d027f59c86b185590bd78ae1"; - sha256 = "1wgknmmm7iz0wxsdh29gmx4arizva9101pzhnmac30bmixf3nzhr"; + rev = "fffb468f7274f9d7c9b92867c8cb9314ec6cf81a"; + sha256 = "1db23vzzmp0hnfss1fkd80za6d2pajx7hdwikw50pk95jq0w8wfm"; }; nativeBuildInputs = [ pkgconfig ]; diff --git a/nixpkgs/pkgs/build-support/appimage/default.nix b/nixpkgs/pkgs/build-support/appimage/default.nix index ef7da72fda93..6db626464fb7 100644 --- a/nixpkgs/pkgs/build-support/appimage/default.nix +++ b/nixpkgs/pkgs/build-support/appimage/default.nix @@ -75,6 +75,8 @@ rec { krb5 ]; + # list of libraries expected in an appimage environment: + # https://github.com/AppImage/pkg2appimage/blob/master/excludelist multiPkgs = pkgs: with pkgs; [ desktop-file-utils xorg.libXcomposite @@ -171,6 +173,17 @@ rec { xorg.libXft libvdpau alsaLib + + harfbuzz + e2fsprogs + libgpgerror + keyutils.lib + libjack2 + fribidi + + # libraries not on the upstream include list, but nevertheless expected + # by at least one appimage + libtool.lib # for Synfigstudio ]; }; } diff --git a/nixpkgs/pkgs/build-support/build-pecl.nix b/nixpkgs/pkgs/build-support/build-pecl.nix index 738dbb56708d..d08f8822d751 100644 --- a/nixpkgs/pkgs/build-support/build-pecl.nix +++ b/nixpkgs/pkgs/build-support/build-pecl.nix @@ -1,18 +1,19 @@ { stdenv, php, autoreconfHook, fetchurl }: -{ name +{ pname +, version , buildInputs ? [] , nativeBuildInputs ? [] , makeFlags ? [] , src ? fetchurl { - url = "http://pecl.php.net/get/${name}.tgz"; + url = "http://pecl.php.net/get/${pname}-${version}.tgz"; inherit (args) sha256; } , ... }@args: stdenv.mkDerivation (args // { - name = "php-${name}"; + name = "php-${pname}-${version}"; inherit src; diff --git a/nixpkgs/pkgs/build-support/fetchurl/builder.sh b/nixpkgs/pkgs/build-support/fetchurl/builder.sh index f9bc8b602f4c..74fdc320835f 100644 --- a/nixpkgs/pkgs/build-support/fetchurl/builder.sh +++ b/nixpkgs/pkgs/build-support/fetchurl/builder.sh @@ -118,7 +118,6 @@ if test -n "$showURLs"; then exit 0 fi - if test -n "$preferHashedMirrors"; then tryHashedMirrors fi @@ -128,6 +127,16 @@ set -o noglob success= for url in $urls; do + if [ -z "$postFetch" ]; then + case "$url" in + https://github.com/*/archive/*) + echo "warning: archives from GitHub revisions should use fetchFromGitHub" + ;; + https://gitlab.com/*/-/archive/*) + echo "warning: archives from GitLab revisions should use fetchFromGitLab" + ;; + esac + fi tryDownload "$url" if test -n "$success"; then finish; fi done diff --git a/nixpkgs/pkgs/build-support/fetchurl/mirrors.nix b/nixpkgs/pkgs/build-support/fetchurl/mirrors.nix index 404c744eea2a..404cea7532bf 100644 --- a/nixpkgs/pkgs/build-support/fetchurl/mirrors.nix +++ b/nixpkgs/pkgs/build-support/fetchurl/mirrors.nix @@ -308,6 +308,8 @@ rec { hackage = [ http://hackage.haskell.org/package/ http://hdiff.luite.com/packages/archive/package/ + http://hackage.fpcomplete.com/package/ + http://objects-us-east-1.dream.io/hackage-mirror/package/ ]; # Roy marples mirrors diff --git a/nixpkgs/pkgs/build-support/rust/build-rust-crate/configure-crate.nix b/nixpkgs/pkgs/build-support/rust/build-rust-crate/configure-crate.nix index 165b3414c6a3..169adcf2d43a 100644 --- a/nixpkgs/pkgs/build-support/rust/build-rust-crate/configure-crate.nix +++ b/nixpkgs/pkgs/build-support/rust/build-rust-crate/configure-crate.nix @@ -6,6 +6,7 @@ , completeDeps , crateAuthors , crateDescription +, crateHomepage , crateFeatures , crateName , crateVersion @@ -91,12 +92,11 @@ in '' export CARGO_PKG_VERSION_MAJOR=${builtins.elemAt version 0} export CARGO_PKG_VERSION_MINOR=${builtins.elemAt version 1} export CARGO_PKG_VERSION_PATCH=${builtins.elemAt version 2} + export CARGO_PKG_VERSION_PRE="${versionPre}" + export CARGO_PKG_HOMEPAGE="${crateHomepage}" export NUM_JOBS=1 export RUSTC="rustc" export RUSTDOC="rustdoc" - if [[ -n "${versionPre}" ]]; then - export CARGO_PKG_VERSION_PRE="${versionPre}" - fi BUILD="" if [[ ! -z "${build}" ]] ; then diff --git a/nixpkgs/pkgs/build-support/rust/build-rust-crate/default.nix b/nixpkgs/pkgs/build-support/rust/build-rust-crate/default.nix index 81e8a7ca0afa..acb2ee63cce4 100644 --- a/nixpkgs/pkgs/build-support/rust/build-rust-crate/default.nix +++ b/nixpkgs/pkgs/build-support/rust/build-rust-crate/default.nix @@ -131,6 +131,7 @@ stdenv.mkDerivation (rec { crateVersion = crate.version; crateDescription = crate.description or ""; crateAuthors = if crate ? authors && lib.isList crate.authors then crate.authors else []; + crateHomepage = crate.homepage or ""; crateType = if lib.attrByPath ["procMacro"] false crate then ["proc-macro"] else if lib.attrByPath ["plugin"] false crate then ["dylib"] else @@ -144,7 +145,7 @@ stdenv.mkDerivation (rec { inherit crateName buildDependencies completeDeps completeBuildDeps crateDescription crateFeatures libName build workspace_member release libPath crateVersion extraLinkFlags extraRustcOpts - crateAuthors verbose colors target_os; + crateAuthors crateHomepage verbose colors target_os; }; buildPhase = buildCrate { inherit crateName dependencies diff --git a/nixpkgs/pkgs/build-support/rust/cargo-vendor/cargo-vendor.nix b/nixpkgs/pkgs/build-support/rust/cargo-vendor/cargo-vendor.nix index 0779ac0537f6..9af5cbe652c3 100644 --- a/nixpkgs/pkgs/build-support/rust/cargo-vendor/cargo-vendor.nix +++ b/nixpkgs/pkgs/build-support/rust/cargo-vendor/cargo-vendor.nix @@ -1,1487 +1,693 @@ -# Generated by carnix 0.5.2: carnix Cargo.lock -o cargo-vendor.nix -{ lib, stdenv, buildRustCrate }: -let kernel = stdenv.hostPlatform.parsed.kernel.name; - abi = stdenv.hostPlatform.parsed.abi.name; - hasFeature = feature: - lib.lists.any - (originName: feature.${originName}) - (builtins.attrNames feature); - - hasDefault = feature: - let defaultFeatures = builtins.attrNames (feature."default" or {}); in - (defaultFeatures == []) - || (lib.lists.any (originName: feature."default".${originName}) defaultFeatures); +# Generated by carnix 0.10.0: carnix generate-nix --src . +{ lib, buildPlatform, buildRustCrate, buildRustCrateHelpers, cratesIO, fetchgit }: +with buildRustCrateHelpers; +let inherit (lib.lists) fold; + inherit (lib.attrsets) recursiveUpdate; +in +rec { + crates = cratesIO // rec { +# cargo-vendor-0.1.23 - mkFeatures = feat: lib.lists.foldl (features: featureName: - if featureName != "" && hasFeature feat.${featureName} then - [ featureName ] ++ features - else - features - ) (if hasDefault feat then [ "default" ] else []) (builtins.attrNames feat); - advapi32_sys_0_2_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "advapi32-sys"; - version = "0.2.0"; - authors = [ "Peter Atashian <retep998@gmail.com>" ]; - sha256 = "1l6789hkz2whd9gklwz1m379kcvyizaj8nnzj3rn4a5h79yg59v7"; - libName = "advapi32"; - build = "build.rs"; - inherit dependencies buildDependencies features; - }; - aho_corasick_0_6_3_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "aho-corasick"; - version = "0.6.3"; - authors = [ "Andrew Gallant <jamslam@gmail.com>" ]; - sha256 = "1cpqzf6acj8lm06z3f1cg41wn6c2n9l3v49nh0dvimv4055qib6k"; - libName = "aho_corasick"; - crateBin = [ { name = "aho-corasick-dot"; } ]; - inherit dependencies buildDependencies features; - }; - atty_0_2_3_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "atty"; - version = "0.2.3"; - authors = [ "softprops <d.tangren@gmail.com>" ]; - sha256 = "0zl0cjfgarp5y78nd755lpki5bbkj4hgmi88v265m543yg29i88f"; - inherit dependencies buildDependencies features; - }; - backtrace_0_3_3_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "backtrace"; - version = "0.3.3"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" "The Rust Project Developers" ]; - sha256 = "0invfdxkj85v8zyrjs3amfxjdk2a36x8irq7wq7kny6q49hh8y0z"; - inherit dependencies buildDependencies features; - }; - backtrace_sys_0_1_16_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "backtrace-sys"; - version = "0.1.16"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "1cn2c8q3dn06crmnk0p62czkngam4l8nf57wy33nz1y5g25pszwy"; - build = "build.rs"; - inherit dependencies buildDependencies features; - }; - bitflags_0_7_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "bitflags"; - version = "0.7.0"; - authors = [ "The Rust Project Developers" ]; - sha256 = "1hr72xg5slm0z4pxs2hiy4wcyx3jva70h58b7mid8l0a4c8f7gn5"; - inherit dependencies buildDependencies features; - }; - bitflags_0_9_1_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "bitflags"; - version = "0.9.1"; - authors = [ "The Rust Project Developers" ]; - sha256 = "18h073l5jd88rx4qdr95fjddr9rk79pb1aqnshzdnw16cfmb9rws"; - inherit dependencies buildDependencies features; - }; - cargo_0_22_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "cargo"; - version = "0.22.0"; - authors = [ "Yehuda Katz <wycats@gmail.com>" "Carl Lerche <me@carllerche.com>" "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "1a47jzkxydsrcyybdqv7wd6a2f258c8bb8rb2jv5n4apxz5qhycl"; - libPath = "src/cargo/lib.rs"; - crateBin = [ { name = "cargo"; } ]; - inherit dependencies buildDependencies features; - }; - cargo_vendor_0_1_13_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { + crates.cargo_vendor."0.1.23" = deps: { features?(features_.cargo_vendor."0.1.23" deps {}) }: buildRustCrate { crateName = "cargo-vendor"; - version = "0.1.13"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - src = ./.; - inherit dependencies buildDependencies features; - }; - cc_1_0_3_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "cc"; - version = "1.0.3"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "193pwqgh79w6k0k29svyds5nnlrwx44myqyrw605d5jj4yk2zmpr"; - inherit dependencies buildDependencies features; - }; - cfg_if_0_1_2_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "cfg-if"; - version = "0.1.2"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "0x06hvrrqy96m97593823vvxcgvjaxckghwyy2jcyc8qc7c6cyhi"; - inherit dependencies buildDependencies features; - }; - cmake_0_1_26_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "cmake"; - version = "0.1.26"; + version = "0.1.23"; + description = "A Cargo subcommand to vendor all crates.io dependencies onto the local\nfilesystem.\n"; authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "0qi1vb1fzlngxr4mzklg58jjmvwj08059pjvh0yh1azzj2mcmgx6"; - inherit dependencies buildDependencies features; - }; - core_foundation_0_4_4_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "core-foundation"; - version = "0.4.4"; - authors = [ "The Servo Project Developers" ]; - sha256 = "1vn9cdbihjnqrlznrbvw0yggz5grb4wqll5xq080w28xxhnayyhx"; - inherit dependencies buildDependencies features; - }; - core_foundation_sys_0_4_4_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "core-foundation-sys"; - version = "0.4.4"; - authors = [ "The Servo Project Developers" ]; - sha256 = "022i015jzjmv85vr25l9caxz211649d8rnbcsmr0gh9k4ygm7pqk"; - build = "build.rs"; - inherit dependencies buildDependencies features; - }; - crates_io_0_11_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "crates-io"; - version = "0.11.0"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "1xxhbka89bk1kkhl8nsnlp9ih64fzv904dyb7jyws7lizcvq77wm"; - libPath = "lib.rs"; - libName = "crates_io"; - inherit dependencies buildDependencies features; - }; - crossbeam_0_2_10_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "crossbeam"; - version = "0.2.10"; - authors = [ "Aaron Turon <aturon@mozilla.com>" ]; - sha256 = "1k1a4q5gy7zakiw39hdzrblnw3kk4nsqmkdp1dpzh8h558140rhq"; - inherit dependencies buildDependencies features; - }; - curl_0_4_8_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "curl"; - version = "0.4.8"; - authors = [ "Carl Lerche <me@carllerche.com>" "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "0pxg1bpplm1bp8b8gzlvs4pmd36m02gjhskvwrd161hh9pslczv5"; - inherit dependencies buildDependencies features; - }; - curl_sys_0_3_15_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "curl-sys"; - version = "0.3.15"; - authors = [ "Carl Lerche <me@carllerche.com>" "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "0x2ysxhpwg1a7srf74v4qcy516jzf0kjg87hsl6cfnasxplz5x1g"; - libPath = "lib.rs"; - libName = "curl_sys"; - build = "build.rs"; - inherit dependencies buildDependencies features; - }; - dbghelp_sys_0_2_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "dbghelp-sys"; - version = "0.2.0"; - authors = [ "Peter Atashian <retep998@gmail.com>" ]; - sha256 = "0ylpi3bbiy233m57hnisn1df1v0lbl7nsxn34b0anzsgg440hqpq"; - libName = "dbghelp"; - build = "build.rs"; - inherit dependencies buildDependencies features; - }; - docopt_0_8_1_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "docopt"; - version = "0.8.1"; - authors = [ "Andrew Gallant <jamslam@gmail.com>" ]; - sha256 = "0kmqy534qgcc2hh81nd248jmnvdjb5y4wclddd7y2jjm27rzibss"; - crateBin = [ { name = "docopt-wordlist"; path = "src/wordlist.rs"; } ]; - inherit dependencies buildDependencies features; - }; - dtoa_0_4_2_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "dtoa"; - version = "0.4.2"; - authors = [ "David Tolnay <dtolnay@gmail.com>" ]; - sha256 = "1bxsh6fags7nr36vlz07ik2a1rzyipc8x1y30kjk832hf2pzadmw"; - inherit dependencies buildDependencies features; - }; - env_logger_0_4_3_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "env_logger"; - version = "0.4.3"; - authors = [ "The Rust Project Developers" ]; - sha256 = "0nrx04p4xa86d5kc7aq4fwvipbqji9cmgy449h47nc9f1chafhgg"; - inherit dependencies buildDependencies features; - }; - error_chain_0_11_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "error-chain"; - version = "0.11.0"; - authors = [ "Brian Anderson <banderson@mozilla.com>" "Paul Colomiets <paul@colomiets.name>" "Colin Kiegel <kiegel@gmx.de>" "Yamakaky <yamakaky@yamaworld.fr>" ]; - sha256 = "19nz17q6dzp0mx2jhh9qbj45gkvvgcl7zq9z2ai5a8ihbisfj6d7"; - inherit dependencies buildDependencies features; - }; - filetime_0_1_14_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "filetime"; - version = "0.1.14"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "0i6dvc3ba7vl1iccc91k7c9bv9j5md98mbvlmfy0kicikx0ffn08"; - inherit dependencies buildDependencies features; - }; - flate2_0_2_20_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "flate2"; - version = "0.2.20"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "1am0d2vmqym1vcg7rvv516vpcrbhdn1jisy0q03r3nbzdzh54ppl"; - inherit dependencies buildDependencies features; - }; - fnv_1_0_5_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "fnv"; - version = "1.0.5"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "164832m16b3hdm3jfrda03ps3ayi5qb855irpm9sqpnw1awpy2a2"; - libPath = "lib.rs"; - inherit dependencies buildDependencies features; - }; - foreign_types_0_2_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "foreign-types"; - version = "0.2.0"; - authors = [ "Steven Fackler <sfackler@gmail.com>" ]; - sha256 = "1sznwg2py4xi7hyrx0gg1sirlwgh87wsanvjx3zb475g6c4139jh"; - inherit dependencies buildDependencies features; - }; - fs2_0_4_2_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "fs2"; - version = "0.4.2"; - authors = [ "Dan Burkert <dan@danburkert.com>" ]; - sha256 = "034s52pmqvrkafmmlnklysqx6gl08rl63ycngbav9hs0mrq22qvf"; - inherit dependencies buildDependencies features; - }; - fuchsia_zircon_0_2_1_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "fuchsia-zircon"; - version = "0.2.1"; - authors = [ "Raph Levien <raph@google.com>" ]; - sha256 = "0yd4rd7ql1vdr349p6vgq2dnwmpylky1kjp8g1zgvp250jxrhddb"; - inherit dependencies buildDependencies features; - }; - fuchsia_zircon_sys_0_2_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "fuchsia-zircon-sys"; - version = "0.2.0"; - authors = [ "Raph Levien <raph@google.com>" ]; - sha256 = "1yrqsrjwlhl3di6prxf5xmyd82gyjaysldbka5wwk83z11mpqh4w"; - inherit dependencies buildDependencies features; - }; - git2_0_6_8_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "git2"; - version = "0.6.8"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "1si82zg35a1az91wa2zjwlkmbd4pdia8wf87j6hz4bs8l55y8fd9"; - inherit dependencies buildDependencies features; - }; - git2_curl_0_7_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "git2-curl"; - version = "0.7.0"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "1k36py61r3g7xz79ms02c1zb9x5c60g4wnjg2ffwz2j7kqzw9cc8"; - inherit dependencies buildDependencies features; - }; - glob_0_2_11_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "glob"; - version = "0.2.11"; - authors = [ "The Rust Project Developers" ]; - sha256 = "104389jjxs8r2f5cc9p0axhjmndgln60ih5x4f00ccgg9d3zarlf"; - inherit dependencies buildDependencies features; - }; - globset_0_2_1_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "globset"; - version = "0.2.1"; - authors = [ "Andrew Gallant <jamslam@gmail.com>" ]; - sha256 = "02dycdz001g33rs2jygiq7yqqswmy1in5rczfl44clq1p118fis0"; - inherit dependencies buildDependencies features; - }; - hex_0_2_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "hex"; - version = "0.2.0"; - authors = [ "KokaKiwi <kokakiwi@kokakiwi.net>" ]; - sha256 = "0yd68d709w1z8133n9hny9dfj2fvil0r6802c3bb63czyis8rfbp"; - inherit dependencies buildDependencies features; - }; - home_0_3_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "home"; - version = "0.3.0"; - authors = [ "Brian Anderson <andersrb@gmail.com>" ]; - sha256 = "1dzc0wd2i82zqq1s8j4mpy2almaq5fcas22s4asn38pm86k40zf2"; - inherit dependencies buildDependencies features; - }; - idna_0_1_4_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "idna"; - version = "0.1.4"; - authors = [ "The rust-url developers" ]; - sha256 = "15j44qgjx1skwg9i7f4cm36ni4n99b1ayx23yxx7axxcw8vjf336"; - inherit dependencies buildDependencies features; - }; - ignore_0_2_2_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "ignore"; - version = "0.2.2"; - authors = [ "Andrew Gallant <jamslam@gmail.com>" ]; - sha256 = "1b9wc8q25jwbipxmrysaps7sykbhyh6hdl8ihqzlwfvg39dy7mjc"; - inherit dependencies buildDependencies features; - }; - itoa_0_3_4_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "itoa"; - version = "0.3.4"; - authors = [ "David Tolnay <dtolnay@gmail.com>" ]; - sha256 = "1nfkzz6vrgj0d9l3yzjkkkqzdgs68y294fjdbl7jq118qi8xc9d9"; - inherit dependencies buildDependencies features; - }; - jobserver_0_1_8_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "jobserver"; - version = "0.1.8"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "0rscjndafcrldrlb5nax2jgnc6fk298awqc6yzi9lbnbybm6r004"; - inherit dependencies buildDependencies features; - }; - kernel32_sys_0_2_2_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "kernel32-sys"; - version = "0.2.2"; - authors = [ "Peter Atashian <retep998@gmail.com>" ]; - sha256 = "1lrw1hbinyvr6cp28g60z97w32w8vsk6pahk64pmrv2fmby8srfj"; - libName = "kernel32"; - build = "build.rs"; - inherit dependencies buildDependencies features; - }; - lazy_static_0_2_9_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "lazy_static"; - version = "0.2.9"; - authors = [ "Marvin Löbel <loebel.marvin@gmail.com>" ]; - sha256 = "08ldzr5292y3hvi6l6v8l4i6v95lm1aysmnfln65h10sqrfh6iw7"; - inherit dependencies buildDependencies features; - }; - libc_0_2_33_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "libc"; - version = "0.2.33"; - authors = [ "The Rust Project Developers" ]; - sha256 = "1l7synziccnvarsq2kk22vps720ih6chmn016bhr2bq54hblbnl1"; - inherit dependencies buildDependencies features; - }; - libgit2_sys_0_6_16_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "libgit2-sys"; - version = "0.6.16"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "05axjdwkm7z8z6c7s96cakdigjiq9sfah8z48868bhby6v1q30n9"; - libPath = "lib.rs"; - libName = "libgit2_sys"; - build = "build.rs"; - inherit dependencies buildDependencies features; - }; - libssh2_sys_0_2_6_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "libssh2-sys"; - version = "0.2.6"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "0pvdgr3lg9x8xyjmfwifr9dxirvrzjvq7i3clix50rwp7ck21jh7"; - libPath = "lib.rs"; - libName = "libssh2_sys"; - build = "build.rs"; - inherit dependencies buildDependencies features; - }; - libz_sys_1_0_18_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "libz-sys"; - version = "1.0.18"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "0lr0rvmmfbfa4g7mhi0l93i8jq86pfcssdv4d40kzfy45ajdcgim"; - build = "build.rs"; - inherit dependencies buildDependencies features; - }; - log_0_3_8_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "log"; - version = "0.3.8"; - authors = [ "The Rust Project Developers" ]; - sha256 = "1c43z4z85sxrsgir4s1hi84558ab5ic7jrn5qgmsiqcv90vvn006"; - inherit dependencies buildDependencies features; - }; - matches_0_1_6_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "matches"; - version = "0.1.6"; - authors = [ "Simon Sapin <simon.sapin@exyr.org>" ]; - sha256 = "1zlrqlbvzxdil8z8ial2ihvxjwvlvg3g8dr0lcdpsjclkclasjan"; - libPath = "lib.rs"; - inherit dependencies buildDependencies features; - }; - memchr_1_0_2_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "memchr"; - version = "1.0.2"; - authors = [ "Andrew Gallant <jamslam@gmail.com>" "bluss" ]; - sha256 = "0dfb8ifl9nrc9kzgd5z91q6qg87sh285q1ih7xgrsglmqfav9lg7"; - inherit dependencies buildDependencies features; - }; - memchr_2_0_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "memchr"; - version = "2.0.0"; - authors = [ "Andrew Gallant <jamslam@gmail.com>" "bluss" ]; - sha256 = "182svm4sr8b7l38ss6ph5wkj3p7ypp08jq65fm83mxpylxziih9p"; - inherit dependencies buildDependencies features; - }; - miniz_sys_0_1_10_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "miniz-sys"; - version = "0.1.10"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "11vg6phafxil87nbxgrlhcx5hjr3145wsbwwkfmibvnmzxfdmvln"; - libPath = "lib.rs"; - libName = "miniz_sys"; - build = "build.rs"; - inherit dependencies buildDependencies features; - }; - miow_0_2_1_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "miow"; - version = "0.2.1"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "14f8zkc6ix7mkyis1vsqnim8m29b6l55abkba3p2yz7j1ibcvrl0"; - inherit dependencies buildDependencies features; - }; - net2_0_2_31_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "net2"; - version = "0.2.31"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "13mphllfcbybsdqyi1jb3kyqx65m8ch07drr59a4wb3yl89awm7y"; - inherit dependencies buildDependencies features; - }; - num_traits_0_1_40_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "num-traits"; - version = "0.1.40"; - authors = [ "The Rust Project Developers" ]; - sha256 = "1fr8ghp4i97q3agki54i0hpmqxv3s65i2mqd1pinc7w7arc3fplw"; - inherit dependencies buildDependencies features; - }; - num_cpus_1_7_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "num_cpus"; - version = "1.7.0"; - authors = [ "Sean McArthur <sean@seanmonstar.com>" ]; - sha256 = "0231xmd65ma3pqfiw8pkv9dvm9x708z4xlrwp3i0sgiwv408dz3f"; - inherit dependencies buildDependencies features; - }; - openssl_0_9_20_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "openssl"; - version = "0.9.20"; - authors = [ "Steven Fackler <sfackler@gmail.com>" ]; - sha256 = "0dbj6k6z828c3sqbxidw5zfval29k8dlsr8qn8fizhc1alli18gx"; - build = "build.rs"; - inherit dependencies buildDependencies features; - }; - openssl_probe_0_1_1_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "openssl-probe"; - version = "0.1.1"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "19ykmqfnbmq56nadir0kvap4q1rpcjpmrfpnbc1qkj195dnh66na"; - inherit dependencies buildDependencies features; - }; - openssl_sys_0_9_20_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "openssl-sys"; - version = "0.9.20"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" "Steven Fackler <sfackler@gmail.com>" ]; - sha256 = "05q6qagvy7lim9vkq2v00vpm34j1dq4xy9pchs7fb6yy803vx24m"; - build = "build.rs"; - inherit dependencies buildDependencies features; - }; - percent_encoding_1_0_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "percent-encoding"; - version = "1.0.0"; - authors = [ "The rust-url developers" ]; - sha256 = "0c91wp8inj7z270i2kilxjl00kcagqalxxnnjg7fsdlimdwb7q1z"; - libPath = "lib.rs"; - inherit dependencies buildDependencies features; - }; - pkg_config_0_3_9_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "pkg-config"; - version = "0.3.9"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "06k8fxgrsrxj8mjpjcq1n7mn2p1shpxif4zg9y5h09c7vy20s146"; - inherit dependencies buildDependencies features; - }; - psapi_sys_0_1_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "psapi-sys"; - version = "0.1.0"; - authors = [ "Peter Atashian <retep998@gmail.com>" ]; - sha256 = "091sbrcwbnhq1mwx6rc5w5kkwzg2ph78rhwjkdy9aqnzj7yj5ppm"; - libName = "psapi"; - build = "build.rs"; - inherit dependencies buildDependencies features; - }; - quote_0_3_15_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "quote"; - version = "0.3.15"; - authors = [ "David Tolnay <dtolnay@gmail.com>" ]; - sha256 = "09il61jv4kd1360spaj46qwyl21fv1qz18fsv2jra8wdnlgl5jsg"; - inherit dependencies buildDependencies features; - }; - rand_0_3_18_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "rand"; - version = "0.3.18"; - authors = [ "The Rust Project Developers" ]; - sha256 = "15d7c3myn968dzjs0a2pgv58hzdavxnq6swgj032lw2v966ir4xv"; - inherit dependencies buildDependencies features; - }; - redox_syscall_0_1_31_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "redox_syscall"; - version = "0.1.31"; - authors = [ "Jeremy Soller <jackpot51@gmail.com>" ]; - sha256 = "0kipd9qslzin4fgj4jrxv6yz5l3l71gnbd7fq1jhk2j7f2sq33j4"; - libName = "syscall"; - inherit dependencies buildDependencies features; - }; - redox_termios_0_1_1_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "redox_termios"; - version = "0.1.1"; - authors = [ "Jeremy Soller <jackpot51@gmail.com>" ]; - sha256 = "04s6yyzjca552hdaqlvqhp3vw0zqbc304md5czyd3axh56iry8wh"; - libPath = "src/lib.rs"; - inherit dependencies buildDependencies features; - }; - regex_0_2_2_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "regex"; - version = "0.2.2"; - authors = [ "The Rust Project Developers" ]; - sha256 = "1f1zrrynfylg0vcfyfp60bybq4rp5g1yk2k7lc7fyz7mmc7k2qr7"; - inherit dependencies buildDependencies features; - }; - regex_syntax_0_4_1_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "regex-syntax"; - version = "0.4.1"; - authors = [ "The Rust Project Developers" ]; - sha256 = "01yrsm68lj86ad1whgg1z95c2pfsvv58fz8qjcgw7mlszc0c08ls"; - inherit dependencies buildDependencies features; - }; - rustc_demangle_0_1_5_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "rustc-demangle"; - version = "0.1.5"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "096kkcx9j747700fhxj1s4rlwkj21pqjmvj64psdj6bakb2q13nc"; - inherit dependencies buildDependencies features; - }; - same_file_0_1_3_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "same-file"; - version = "0.1.3"; - authors = [ "Andrew Gallant <jamslam@gmail.com>" ]; - sha256 = "01hdnxblb1hlysr47nwdv7r8vs7p63ia08v5h4lcffmzqvl5zzn9"; - inherit dependencies buildDependencies features; - }; - scoped_tls_0_1_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "scoped-tls"; - version = "0.1.0"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "1j8azxa15srljafrg7wc221npvxb3700sbfk6jjav0rw2zclsnf5"; - inherit dependencies buildDependencies features; - }; - scopeguard_0_1_2_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "scopeguard"; - version = "0.1.2"; - authors = [ "bluss" ]; - sha256 = "00b3jrxlrzqv7qqzdd18m6p5skj1xwb4nw6bhch2ikg0hnfv5zdb"; - inherit dependencies buildDependencies features; - }; - semver_0_7_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "semver"; - version = "0.7.0"; - authors = [ "Steve Klabnik <steve@steveklabnik.com>" "The Rust Project Developers" ]; - sha256 = "079944bh20ldr41i96nk9b31igj555dl2d8mg51m4h0ccwric4l8"; - inherit dependencies buildDependencies features; - }; - semver_parser_0_7_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "semver-parser"; - version = "0.7.0"; - authors = [ "Steve Klabnik <steve@steveklabnik.com>" ]; - sha256 = "1da66c8413yakx0y15k8c055yna5lyb6fr0fw9318kdwkrk5k12h"; - inherit dependencies buildDependencies features; - }; - serde_1_0_18_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "serde"; - version = "1.0.18"; - authors = [ "Erick Tryzelaar <erick.tryzelaar@gmail.com>" "David Tolnay <dtolnay@gmail.com>" ]; - sha256 = "14cczcvmfwgbm8447k93aggi7fbx0ix5f7dz16fxzs9l3riac5vq"; - inherit dependencies buildDependencies features; - }; - serde_derive_1_0_18_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "serde_derive"; - version = "1.0.18"; - authors = [ "Erick Tryzelaar <erick.tryzelaar@gmail.com>" "David Tolnay <dtolnay@gmail.com>" ]; - sha256 = "1kx8zjcc9mxib6ipb9ygjjgxdniff93pwazvj4vqb859paqazdsj"; - procMacro = true; - inherit dependencies buildDependencies features; - }; - serde_derive_internals_0_16_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "serde_derive_internals"; - version = "0.16.0"; - authors = [ "Erick Tryzelaar <erick.tryzelaar@gmail.com>" "David Tolnay <dtolnay@gmail.com>" ]; - sha256 = "1k96ypwlhnvmaksimkx1pd5rwvjaanfcdzpgndhy994hx03xplhs"; - inherit dependencies buildDependencies features; - }; - serde_ignored_0_0_3_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "serde_ignored"; - version = "0.0.3"; - authors = [ "David Tolnay <dtolnay@gmail.com>" ]; - sha256 = "0yz81jz6rqbzbhznmkygl9rdq1xq43jsgv10mmd5m24vh4j00icc"; - inherit dependencies buildDependencies features; - }; - serde_json_1_0_5_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "serde_json"; - version = "1.0.5"; - authors = [ "Erick Tryzelaar <erick.tryzelaar@gmail.com>" "David Tolnay <dtolnay@gmail.com>" ]; - sha256 = "1yka3aa2gfi30415jpf0935k54r08jhyw6r7rjz2nv1kqgbw2brs"; - inherit dependencies buildDependencies features; - }; - shell_escape_0_1_3_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "shell-escape"; - version = "0.1.3"; - authors = [ "Steven Fackler <sfackler@gmail.com>" ]; - sha256 = "0r3pj7kl40iqjbivwh4kd6agjdhbf5avzp7i8v42xvpc1zrzrq77"; - inherit dependencies buildDependencies features; - }; - socket2_0_2_4_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "socket2"; - version = "0.2.4"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "1pdwqlx4wnabgv549i3pb3whbk8ggcq4kg0lfx9cpcazcjk5p6iz"; - inherit dependencies buildDependencies features; - }; - strsim_0_6_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "strsim"; - version = "0.6.0"; - authors = [ "Danny Guo <dannyguo91@gmail.com>" ]; - sha256 = "1lz85l6y68hr62lv4baww29yy7g8pg20dlr0lbaswxmmcb0wl7gd"; - inherit dependencies buildDependencies features; - }; - syn_0_11_11_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "syn"; - version = "0.11.11"; - authors = [ "David Tolnay <dtolnay@gmail.com>" ]; - sha256 = "0yw8ng7x1dn5a6ykg0ib49y7r9nhzgpiq2989rqdp7rdz3n85502"; - inherit dependencies buildDependencies features; - }; - synom_0_11_3_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "synom"; - version = "0.11.3"; - authors = [ "David Tolnay <dtolnay@gmail.com>" ]; - sha256 = "1l6d1s9qjfp6ng2s2z8219igvlv7gyk8gby97sdykqc1r93d8rhc"; - inherit dependencies buildDependencies features; - }; - tar_0_4_13_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "tar"; - version = "0.4.13"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "1m425d07h0i6h2vbpxnh067zmc16l9yr9bii17zxw4z2inkfyfc4"; - inherit dependencies buildDependencies features; - }; - tempdir_0_3_5_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "tempdir"; - version = "0.3.5"; - authors = [ "The Rust Project Developers" ]; - sha256 = "0rirc5prqppzgd15fm8ayan349lgk2k5iqdkrbwrwrv5pm4znsnz"; - inherit dependencies buildDependencies features; - }; - termcolor_0_3_3_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "termcolor"; - version = "0.3.3"; - authors = [ "Andrew Gallant <jamslam@gmail.com>" ]; - sha256 = "1rb853jzvkbwm62373dhls4x4r3r5cvfcsxvqh0i75rhx5j8kwsz"; - inherit dependencies buildDependencies features; - }; - termion_1_5_1_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "termion"; - version = "1.5.1"; - authors = [ "ticki <Ticki@users.noreply.github.com>" "gycos <alexandre.bury@gmail.com>" "IGI-111 <igi-111@protonmail.com>" ]; - sha256 = "02gq4vd8iws1f3gjrgrgpajsk2bk43nds5acbbb4s8dvrdvr8nf1"; - inherit dependencies buildDependencies features; - }; - thread_local_0_3_4_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "thread_local"; - version = "0.3.4"; - authors = [ "Amanieu d'Antras <amanieu@gmail.com>" ]; - sha256 = "1y6cwyhhx2nkz4b3dziwhqdvgq830z8wjp32b40pjd8r0hxqv2jr"; - inherit dependencies buildDependencies features; - }; - toml_0_4_5_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "toml"; - version = "0.4.5"; - authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; - sha256 = "06zxqhn3y58yzjfaykhcrvlf7p2dnn54kn3g4apmja3cn5b18lkk"; - inherit dependencies buildDependencies features; - }; - unicode_bidi_0_3_4_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "unicode-bidi"; - version = "0.3.4"; - authors = [ "The Servo Project Developers" ]; - sha256 = "0lcd6jasrf8p9p0q20qyf10c6xhvw40m2c4rr105hbk6zy26nj1q"; - libName = "unicode_bidi"; - inherit dependencies buildDependencies features; - }; - unicode_normalization_0_1_5_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "unicode-normalization"; - version = "0.1.5"; - authors = [ "kwantam <kwantam@gmail.com>" ]; - sha256 = "0hg29g86fca7b65mwk4sm5s838js6bqrl0gabadbazvbsgjam0j5"; - inherit dependencies buildDependencies features; - }; - unicode_xid_0_0_4_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "unicode-xid"; - version = "0.0.4"; - authors = [ "erick.tryzelaar <erick.tryzelaar@gmail.com>" "kwantam <kwantam@gmail.com>" ]; - sha256 = "1dc8wkkcd3s6534s5aw4lbjn8m67flkkbnajp5bl8408wdg8rh9v"; - inherit dependencies buildDependencies features; - }; - unreachable_1_0_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "unreachable"; - version = "1.0.0"; - authors = [ "Jonathan Reem <jonathan.reem@gmail.com>" ]; - sha256 = "1am8czbk5wwr25gbp2zr007744fxjshhdqjz9liz7wl4pnv3whcf"; - inherit dependencies buildDependencies features; - }; - url_1_6_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "url"; - version = "1.6.0"; - authors = [ "The rust-url developers" ]; - sha256 = "1bvzl4dvjj84h46ai3x23wyafa2wwhchj08vr2brf25dxwc7mg18"; - inherit dependencies buildDependencies features; - }; - userenv_sys_0_2_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "userenv-sys"; - version = "0.2.0"; - authors = [ "Peter Atashian <retep998@gmail.com>" ]; - sha256 = "19l85k56y30likj69ri83jspsf4n9q4d03pi4mbs7cimlzn8lvzj"; - libName = "userenv"; - build = "build.rs"; - inherit dependencies buildDependencies features; - }; - utf8_ranges_1_0_0_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "utf8-ranges"; - version = "1.0.0"; - authors = [ "Andrew Gallant <jamslam@gmail.com>" ]; - sha256 = "0rzmqprwjv9yp1n0qqgahgm24872x6c0xddfym5pfndy7a36vkn0"; - inherit dependencies buildDependencies features; - }; - vcpkg_0_2_2_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "vcpkg"; - version = "0.2.2"; - authors = [ "Jim McGrath <jimmc2@gmail.com>" ]; - sha256 = "1fl5j0ksnwrnsrf1b1a9lqbjgnajdipq0030vsbhx81mb7d9478a"; - inherit dependencies buildDependencies features; - }; - void_1_0_2_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "void"; - version = "1.0.2"; - authors = [ "Jonathan Reem <jonathan.reem@gmail.com>" ]; - sha256 = "0h1dm0dx8dhf56a83k68mijyxigqhizpskwxfdrs1drwv2cdclv3"; - inherit dependencies buildDependencies features; - }; - walkdir_1_0_7_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "walkdir"; - version = "1.0.7"; - authors = [ "Andrew Gallant <jamslam@gmail.com>" ]; - sha256 = "1ygsc59m8mbnlz0psjxdzm1xjndxpywjwalqcd3pwdarzk1gy1vr"; - inherit dependencies buildDependencies features; - }; - winapi_0_2_8_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "winapi"; - version = "0.2.8"; - authors = [ "Peter Atashian <retep998@gmail.com>" ]; - sha256 = "0a45b58ywf12vb7gvj6h3j264nydynmzyqz8d8rqxsj6icqv82as"; - inherit dependencies buildDependencies features; - }; - winapi_build_0_1_1_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "winapi-build"; - version = "0.1.1"; - authors = [ "Peter Atashian <retep998@gmail.com>" ]; - sha256 = "1lxlpi87rkhxcwp2ykf1ldw3p108hwm24nywf3jfrvmff4rjhqga"; - libName = "build"; - inherit dependencies buildDependencies features; - }; - wincolor_0_1_4_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "wincolor"; - version = "0.1.4"; - authors = [ "Andrew Gallant <jamslam@gmail.com>" ]; - sha256 = "0cxv6hadnj5vffb8a73y7055p59n20bpqd524df85cm29dcjl38a"; - inherit dependencies buildDependencies features; - }; - ws2_32_sys_0_2_1_ = { dependencies?[], buildDependencies?[], features?[] }: buildRustCrate { - crateName = "ws2_32-sys"; - version = "0.2.1"; - authors = [ "Peter Atashian <retep998@gmail.com>" ]; - sha256 = "1zpy9d9wk11sj17fczfngcj28w4xxjs3b4n036yzpy38dxp4f7kc"; - libName = "ws2_32"; - build = "build.rs"; - inherit dependencies buildDependencies features; - }; + edition = "2018"; + src = exclude [ ".git" "target" ] ./.; + dependencies = mapFeatures features ([ + (cratesIO.crates."cargo"."${deps."cargo_vendor"."0.1.23"."cargo"}" deps) + (cratesIO.crates."docopt"."${deps."cargo_vendor"."0.1.23"."docopt"}" deps) + (cratesIO.crates."env_logger"."${deps."cargo_vendor"."0.1.23"."env_logger"}" deps) + (cratesIO.crates."failure"."${deps."cargo_vendor"."0.1.23"."failure"}" deps) + (cratesIO.crates."serde"."${deps."cargo_vendor"."0.1.23"."serde"}" deps) + (cratesIO.crates."serde_json"."${deps."cargo_vendor"."0.1.23"."serde_json"}" deps) + (cratesIO.crates."toml"."${deps."cargo_vendor"."0.1.23"."toml"}" deps) + ] + ++ (if features.cargo_vendor."0.1.23".openssl or false then [ (cratesIO.crates.openssl."${deps."cargo_vendor"."0.1.23".openssl}" deps) ] else [])); + features = mkFeatures (features."cargo_vendor"."0.1.23" or {}); + }; + features_.cargo_vendor."0.1.23" = deps: f: updateFeatures f (rec { + cargo."${deps.cargo_vendor."0.1.23".cargo}".default = true; + cargo_vendor."0.1.23".default = (f.cargo_vendor."0.1.23".default or true); + docopt."${deps.cargo_vendor."0.1.23".docopt}".default = true; + env_logger."${deps.cargo_vendor."0.1.23".env_logger}".default = true; + failure."${deps.cargo_vendor."0.1.23".failure}".default = true; + openssl = fold recursiveUpdate {} [ + { "${deps.cargo_vendor."0.1.23".openssl}"."vendored" = + (f.openssl."${deps.cargo_vendor."0.1.23".openssl}"."vendored" or false) || + (cargo_vendor."0.1.23"."vendored-openssl" or false) || + (f."cargo_vendor"."0.1.23"."vendored-openssl" or false); } + { "${deps.cargo_vendor."0.1.23".openssl}".default = true; } + ]; + serde = fold recursiveUpdate {} [ + { "${deps.cargo_vendor."0.1.23".serde}"."derive" = true; } + { "${deps.cargo_vendor."0.1.23".serde}".default = true; } + ]; + serde_json."${deps.cargo_vendor."0.1.23".serde_json}".default = true; + toml."${deps.cargo_vendor."0.1.23".toml}".default = true; + }) [ + (cratesIO.features_.cargo."${deps."cargo_vendor"."0.1.23"."cargo"}" deps) + (cratesIO.features_.docopt."${deps."cargo_vendor"."0.1.23"."docopt"}" deps) + (cratesIO.features_.env_logger."${deps."cargo_vendor"."0.1.23"."env_logger"}" deps) + (cratesIO.features_.failure."${deps."cargo_vendor"."0.1.23"."failure"}" deps) + (cratesIO.features_.openssl."${deps."cargo_vendor"."0.1.23"."openssl"}" deps) + (cratesIO.features_.serde."${deps."cargo_vendor"."0.1.23"."serde"}" deps) + (cratesIO.features_.serde_json."${deps."cargo_vendor"."0.1.23"."serde_json"}" deps) + (cratesIO.features_.toml."${deps."cargo_vendor"."0.1.23"."toml"}" deps) + ]; -in -rec { - advapi32_sys_0_2_0 = advapi32_sys_0_2_0_ rec { - dependencies = [ winapi_0_2_8 ]; - buildDependencies = [ winapi_build_0_1_1 ]; - }; - winapi_0_2_8_features."default".from_advapi32_sys_0_2_0__default_ = true; - winapi_build_0_1_1_features."default".from_advapi32_sys_0_2_0__default_ = true; - aho_corasick_0_6_3 = aho_corasick_0_6_3_ rec { - dependencies = [ memchr_1_0_2 ]; - }; - memchr_1_0_2_features."default".from_aho_corasick_0_6_3__default_ = true; - atty_0_2_3 = atty_0_2_3_ rec { - dependencies = (if kernel == "redox" then [ termion_1_5_1 ] else []) - ++ (if (kernel == "linux" || kernel == "darwin") then [ libc_0_2_33 ] else []) - ++ (if kernel == "windows" then [ kernel32_sys_0_2_2 winapi_0_2_8 ] else []); - }; - kernel32_sys_0_2_2_features."default".from_atty_0_2_3__default_ = true; - libc_0_2_33_features."default".from_atty_0_2_3__default_ = false; - termion_1_5_1_features."default".from_atty_0_2_3__default_ = true; - winapi_0_2_8_features."default".from_atty_0_2_3__default_ = true; - backtrace_0_3_3 = backtrace_0_3_3_ rec { - dependencies = [ cfg_if_0_1_2 rustc_demangle_0_1_5 ] - ++ (if (kernel == "linux" || kernel == "darwin") && !(kernel == "emscripten") && !(kernel == "darwin") && !(kernel == "ios") then [ backtrace_sys_0_1_16 ] - ++ (if lib.lists.any (x: x == "backtrace-sys") features then [backtrace_sys_0_1_16] else []) else []) - ++ (if (kernel == "linux" || kernel == "darwin") then [ libc_0_2_33 ] else []) - ++ (if kernel == "windows" then [ dbghelp_sys_0_2_0 kernel32_sys_0_2_2 winapi_0_2_8 ] - ++ (if lib.lists.any (x: x == "dbghelp-sys") features then [dbghelp_sys_0_2_0] else []) - ++ (if lib.lists.any (x: x == "kernel32-sys") features then [kernel32_sys_0_2_2] else []) - ++ (if lib.lists.any (x: x == "winapi") features then [winapi_0_2_8] else []) else []); - features = mkFeatures backtrace_0_3_3_features; - }; - backtrace_0_3_3_features."".self = true; - backtrace_0_3_3_features."kernel32-sys".self_dbghelp = hasFeature (backtrace_0_3_3_features."dbghelp" or {}); - backtrace_0_3_3_features."winapi".self_dbghelp = hasFeature (backtrace_0_3_3_features."dbghelp" or {}); - backtrace_0_3_3_features."dbghelp-sys".self_dbghelp = hasFeature (backtrace_0_3_3_features."dbghelp" or {}); - backtrace_0_3_3_features."libunwind".self_default = hasDefault backtrace_0_3_3_features; - backtrace_0_3_3_features."libbacktrace".self_default = hasDefault backtrace_0_3_3_features; - backtrace_0_3_3_features."coresymbolication".self_default = hasDefault backtrace_0_3_3_features; - backtrace_0_3_3_features."dladdr".self_default = hasDefault backtrace_0_3_3_features; - backtrace_0_3_3_features."dbghelp".self_default = hasDefault backtrace_0_3_3_features; - backtrace_0_3_3_features."addr2line".self_gimli-symbolize = hasFeature (backtrace_0_3_3_features."gimli-symbolize" or {}); - backtrace_0_3_3_features."findshlibs".self_gimli-symbolize = hasFeature (backtrace_0_3_3_features."gimli-symbolize" or {}); - backtrace_0_3_3_features."backtrace-sys".self_libbacktrace = hasFeature (backtrace_0_3_3_features."libbacktrace" or {}); - backtrace_0_3_3_features."rustc-serialize".self_serialize-rustc = hasFeature (backtrace_0_3_3_features."serialize-rustc" or {}); - backtrace_0_3_3_features."serde".self_serialize-serde = hasFeature (backtrace_0_3_3_features."serialize-serde" or {}); - backtrace_0_3_3_features."serde_derive".self_serialize-serde = hasFeature (backtrace_0_3_3_features."serialize-serde" or {}); - addr2line_0_0_0_features."default".from_backtrace_0_3_3__default_ = true; - backtrace_sys_0_1_16_features."default".from_backtrace_0_3_3__default_ = true; - cfg_if_0_1_2_features."default".from_backtrace_0_3_3__default_ = true; - cpp_demangle_0_0_0_features."default".from_backtrace_0_3_3__default_ = false; - dbghelp_sys_0_2_0_features."default".from_backtrace_0_3_3__default_ = true; - findshlibs_0_0_0_features."default".from_backtrace_0_3_3__default_ = true; - kernel32_sys_0_2_2_features."default".from_backtrace_0_3_3__default_ = true; - libc_0_2_33_features."default".from_backtrace_0_3_3__default_ = true; - rustc_demangle_0_1_5_features."default".from_backtrace_0_3_3__default_ = true; - rustc_serialize_0_0_0_features."default".from_backtrace_0_3_3__default_ = true; - serde_0_0_0_features."default".from_backtrace_0_3_3__default_ = true; - serde_derive_0_0_0_features."default".from_backtrace_0_3_3__default_ = true; - winapi_0_2_8_features."default".from_backtrace_0_3_3__default_ = true; - backtrace_sys_0_1_16 = backtrace_sys_0_1_16_ rec { - dependencies = [ libc_0_2_33 ]; - buildDependencies = [ cc_1_0_3 ]; - }; - cc_1_0_3_features."default".from_backtrace_sys_0_1_16__default_ = true; - libc_0_2_33_features."default".from_backtrace_sys_0_1_16__default_ = true; - bitflags_0_7_0 = bitflags_0_7_0_ rec {}; - bitflags_0_9_1 = bitflags_0_9_1_ rec { - features = mkFeatures bitflags_0_9_1_features; - }; - bitflags_0_9_1_features."example_generated".self_default = hasDefault bitflags_0_9_1_features; - cargo_0_22_0 = cargo_0_22_0_ rec { - dependencies = [ atty_0_2_3 crates_io_0_11_0 crossbeam_0_2_10 curl_0_4_8 docopt_0_8_1 env_logger_0_4_3 error_chain_0_11_0 filetime_0_1_14 flate2_0_2_20 fs2_0_4_2 git2_0_6_8 git2_curl_0_7_0 glob_0_2_11 hex_0_2_0 home_0_3_0 ignore_0_2_2 jobserver_0_1_8 libc_0_2_33 libgit2_sys_0_6_16 log_0_3_8 num_cpus_1_7_0 same_file_0_1_3 scoped_tls_0_1_0 semver_0_7_0 serde_1_0_18 serde_derive_1_0_18 serde_ignored_0_0_3 serde_json_1_0_5 shell_escape_0_1_3 tar_0_4_13 tempdir_0_3_5 termcolor_0_3_3 toml_0_4_5 url_1_6_0 ] - ++ (if kernel == "darwin" then [ core_foundation_0_4_4 ] else []) - ++ (if (kernel == "linux" || kernel == "darwin") then [ openssl_0_9_20 ] else []) - ++ (if kernel == "windows" then [ advapi32_sys_0_2_0 kernel32_sys_0_2_2 miow_0_2_1 psapi_sys_0_1_0 winapi_0_2_8 ] else []); - }; - semver_0_7_0_features."serde".from_cargo_0_22_0 = true; - core_foundation_0_4_4_features."mac_os_10_7_support".from_cargo_0_22_0 = true; - advapi32_sys_0_2_0_features."default".from_cargo_0_22_0__default_ = true; - atty_0_2_3_features."default".from_cargo_0_22_0__default_ = true; - core_foundation_0_4_4_features."default".from_cargo_0_22_0__default_ = true; - crates_io_0_11_0_features."default".from_cargo_0_22_0__default_ = true; - crossbeam_0_2_10_features."default".from_cargo_0_22_0__default_ = true; - curl_0_4_8_features."default".from_cargo_0_22_0__default_ = true; - docopt_0_8_1_features."default".from_cargo_0_22_0__default_ = true; - env_logger_0_4_3_features."default".from_cargo_0_22_0__default_ = true; - error_chain_0_11_0_features."default".from_cargo_0_22_0__default_ = true; - filetime_0_1_14_features."default".from_cargo_0_22_0__default_ = true; - flate2_0_2_20_features."default".from_cargo_0_22_0__default_ = true; - fs2_0_4_2_features."default".from_cargo_0_22_0__default_ = true; - git2_0_6_8_features."default".from_cargo_0_22_0__default_ = true; - git2_curl_0_7_0_features."default".from_cargo_0_22_0__default_ = true; - glob_0_2_11_features."default".from_cargo_0_22_0__default_ = true; - hex_0_2_0_features."default".from_cargo_0_22_0__default_ = true; - home_0_3_0_features."default".from_cargo_0_22_0__default_ = true; - ignore_0_2_2_features."default".from_cargo_0_22_0__default_ = true; - jobserver_0_1_8_features."default".from_cargo_0_22_0__default_ = true; - kernel32_sys_0_2_2_features."default".from_cargo_0_22_0__default_ = true; - libc_0_2_33_features."default".from_cargo_0_22_0__default_ = true; - libgit2_sys_0_6_16_features."default".from_cargo_0_22_0__default_ = true; - log_0_3_8_features."default".from_cargo_0_22_0__default_ = true; - miow_0_2_1_features."default".from_cargo_0_22_0__default_ = true; - num_cpus_1_7_0_features."default".from_cargo_0_22_0__default_ = true; - openssl_0_9_20_features."default".from_cargo_0_22_0__default_ = true; - psapi_sys_0_1_0_features."default".from_cargo_0_22_0__default_ = true; - same_file_0_1_3_features."default".from_cargo_0_22_0__default_ = true; - scoped_tls_0_1_0_features."default".from_cargo_0_22_0__default_ = true; - semver_0_7_0_features."default".from_cargo_0_22_0__default_ = true; - serde_1_0_18_features."default".from_cargo_0_22_0__default_ = true; - serde_derive_1_0_18_features."default".from_cargo_0_22_0__default_ = true; - serde_ignored_0_0_3_features."default".from_cargo_0_22_0__default_ = true; - serde_json_1_0_5_features."default".from_cargo_0_22_0__default_ = true; - shell_escape_0_1_3_features."default".from_cargo_0_22_0__default_ = true; - tar_0_4_13_features."default".from_cargo_0_22_0__default_ = false; - tempdir_0_3_5_features."default".from_cargo_0_22_0__default_ = true; - termcolor_0_3_3_features."default".from_cargo_0_22_0__default_ = true; - toml_0_4_5_features."default".from_cargo_0_22_0__default_ = true; - url_1_6_0_features."default".from_cargo_0_22_0__default_ = true; - winapi_0_2_8_features."default".from_cargo_0_22_0__default_ = true; - cargo_vendor_0_1_13 = cargo_vendor_0_1_13_ rec { - dependencies = [ cargo_0_22_0 env_logger_0_4_3 serde_1_0_18 serde_derive_1_0_18 serde_json_1_0_5 toml_0_4_5 ]; - }; - cargo_0_22_0_features."default".from_cargo_vendor_0_1_13__default_ = true; - env_logger_0_4_3_features."default".from_cargo_vendor_0_1_13__default_ = true; - serde_1_0_18_features."default".from_cargo_vendor_0_1_13__default_ = true; - serde_derive_1_0_18_features."default".from_cargo_vendor_0_1_13__default_ = true; - serde_json_1_0_5_features."default".from_cargo_vendor_0_1_13__default_ = true; - toml_0_4_5_features."default".from_cargo_vendor_0_1_13__default_ = true; - cc_1_0_3 = cc_1_0_3_ rec { - dependencies = []; - features = mkFeatures cc_1_0_3_features; - }; - cc_1_0_3_features."rayon".self_parallel = hasFeature (cc_1_0_3_features."parallel" or {}); - rayon_0_0_0_features."default".from_cc_1_0_3__default_ = true; - cfg_if_0_1_2 = cfg_if_0_1_2_ rec {}; - cmake_0_1_26 = cmake_0_1_26_ rec { - dependencies = [ cc_1_0_3 ]; - }; - cc_1_0_3_features."default".from_cmake_0_1_26__default_ = true; - core_foundation_0_4_4 = core_foundation_0_4_4_ rec { - dependencies = [ core_foundation_sys_0_4_4 libc_0_2_33 ]; - features = mkFeatures core_foundation_0_4_4_features; - }; - core_foundation_0_4_4_features."".self = true; - core_foundation_sys_0_4_4_features."mac_os_10_7_support".from_core_foundation_0_4_4__mac_os_10_7_support = hasFeature (core_foundation_0_4_4_features."mac_os_10_7_support" or {}); - core_foundation_sys_0_4_4_features."mac_os_10_8_features".from_core_foundation_0_4_4__mac_os_10_8_features = hasFeature (core_foundation_0_4_4_features."mac_os_10_8_features" or {}); - core_foundation_sys_0_4_4_features."default".from_core_foundation_0_4_4__default_ = true; - libc_0_2_33_features."default".from_core_foundation_0_4_4__default_ = true; - core_foundation_sys_0_4_4 = core_foundation_sys_0_4_4_ rec { - dependencies = [ libc_0_2_33 ]; - features = mkFeatures core_foundation_sys_0_4_4_features; - }; - core_foundation_sys_0_4_4_features."".self = true; - libc_0_2_33_features."default".from_core_foundation_sys_0_4_4__default_ = true; - crates_io_0_11_0 = crates_io_0_11_0_ rec { - dependencies = [ curl_0_4_8 error_chain_0_11_0 serde_1_0_18 serde_derive_1_0_18 serde_json_1_0_5 url_1_6_0 ]; - }; - curl_0_4_8_features."default".from_crates_io_0_11_0__default_ = true; - error_chain_0_11_0_features."default".from_crates_io_0_11_0__default_ = true; - serde_1_0_18_features."default".from_crates_io_0_11_0__default_ = true; - serde_derive_1_0_18_features."default".from_crates_io_0_11_0__default_ = true; - serde_json_1_0_5_features."default".from_crates_io_0_11_0__default_ = true; - url_1_6_0_features."default".from_crates_io_0_11_0__default_ = true; - crossbeam_0_2_10 = crossbeam_0_2_10_ rec { - features = mkFeatures crossbeam_0_2_10_features; - }; - crossbeam_0_2_10_features."".self = true; - curl_0_4_8 = curl_0_4_8_ rec { - dependencies = [ curl_sys_0_3_15 libc_0_2_33 socket2_0_2_4 ] - ++ (if (kernel == "linux" || kernel == "darwin") && !(kernel == "darwin") then [ openssl_probe_0_1_1 openssl_sys_0_9_20 ] else []) - ++ (if kernel == "windows" then [ winapi_0_2_8 ] else []); - }; - curl_sys_0_3_15_features."default".from_curl_0_4_8__default_ = true; - libc_0_2_33_features."default".from_curl_0_4_8__default_ = true; - openssl_probe_0_1_1_features."default".from_curl_0_4_8__default_ = true; - openssl_sys_0_9_20_features."default".from_curl_0_4_8__default_ = true; - socket2_0_2_4_features."default".from_curl_0_4_8__default_ = true; - winapi_0_2_8_features."default".from_curl_0_4_8__default_ = true; - curl_sys_0_3_15 = curl_sys_0_3_15_ rec { - dependencies = [ libc_0_2_33 libz_sys_1_0_18 ] - ++ (if (kernel == "linux" || kernel == "darwin") && !(kernel == "darwin") then [ openssl_sys_0_9_20 ] else []) - ++ (if abi == "msvc" then [] else []) - ++ (if kernel == "windows" then [ winapi_0_2_8 ] else []); - buildDependencies = [ cc_1_0_3 pkg_config_0_3_9 ]; - }; - cc_1_0_3_features."default".from_curl_sys_0_3_15__default_ = true; - libc_0_2_33_features."default".from_curl_sys_0_3_15__default_ = true; - libz_sys_1_0_18_features."default".from_curl_sys_0_3_15__default_ = true; - openssl_sys_0_9_20_features."default".from_curl_sys_0_3_15__default_ = true; - pkg_config_0_3_9_features."default".from_curl_sys_0_3_15__default_ = true; - winapi_0_2_8_features."default".from_curl_sys_0_3_15__default_ = true; - dbghelp_sys_0_2_0 = dbghelp_sys_0_2_0_ rec { - dependencies = [ winapi_0_2_8 ]; - buildDependencies = [ winapi_build_0_1_1 ]; - }; - winapi_0_2_8_features."default".from_dbghelp_sys_0_2_0__default_ = true; - winapi_build_0_1_1_features."default".from_dbghelp_sys_0_2_0__default_ = true; - docopt_0_8_1 = docopt_0_8_1_ rec { - dependencies = [ lazy_static_0_2_9 regex_0_2_2 serde_1_0_18 serde_derive_1_0_18 strsim_0_6_0 ]; - }; - lazy_static_0_2_9_features."default".from_docopt_0_8_1__default_ = true; - regex_0_2_2_features."default".from_docopt_0_8_1__default_ = true; - serde_1_0_18_features."default".from_docopt_0_8_1__default_ = true; - serde_derive_1_0_18_features."default".from_docopt_0_8_1__default_ = true; - strsim_0_6_0_features."default".from_docopt_0_8_1__default_ = true; - dtoa_0_4_2 = dtoa_0_4_2_ rec {}; - env_logger_0_4_3 = env_logger_0_4_3_ rec { - dependencies = [ log_0_3_8 regex_0_2_2 ] - ++ (if lib.lists.any (x: x == "regex") features then [regex_0_2_2] else []); - features = mkFeatures env_logger_0_4_3_features; - }; - env_logger_0_4_3_features."".self = true; - env_logger_0_4_3_features."regex".self_default = hasDefault env_logger_0_4_3_features; - log_0_3_8_features."default".from_env_logger_0_4_3__default_ = true; - regex_0_2_2_features."default".from_env_logger_0_4_3__default_ = true; - error_chain_0_11_0 = error_chain_0_11_0_ rec { - dependencies = [ backtrace_0_3_3 ] - ++ (if lib.lists.any (x: x == "backtrace") features then [backtrace_0_3_3] else []); - features = mkFeatures error_chain_0_11_0_features; - }; - error_chain_0_11_0_features."".self = true; - error_chain_0_11_0_features."backtrace".self_default = hasDefault error_chain_0_11_0_features; - error_chain_0_11_0_features."example_generated".self_default = hasDefault error_chain_0_11_0_features; - backtrace_0_3_3_features."default".from_error_chain_0_11_0__default_ = true; - filetime_0_1_14 = filetime_0_1_14_ rec { - dependencies = [ cfg_if_0_1_2 ] - ++ (if kernel == "redox" then [ redox_syscall_0_1_31 ] else []) - ++ (if (kernel == "linux" || kernel == "darwin") then [ libc_0_2_33 ] else []); - }; - cfg_if_0_1_2_features."default".from_filetime_0_1_14__default_ = true; - libc_0_2_33_features."default".from_filetime_0_1_14__default_ = true; - redox_syscall_0_1_31_features."default".from_filetime_0_1_14__default_ = true; - flate2_0_2_20 = flate2_0_2_20_ rec { - dependencies = [ libc_0_2_33 miniz_sys_0_1_10 ] - ++ (if lib.lists.any (x: x == "miniz-sys") features then [miniz_sys_0_1_10] else []); - features = mkFeatures flate2_0_2_20_features; - }; - flate2_0_2_20_features."".self = true; - flate2_0_2_20_features."miniz-sys".self_default = hasDefault flate2_0_2_20_features; - flate2_0_2_20_features."tokio-io".self_tokio = hasFeature (flate2_0_2_20_features."tokio" or {}); - flate2_0_2_20_features."futures".self_tokio = hasFeature (flate2_0_2_20_features."tokio" or {}); - flate2_0_2_20_features."libz-sys".self_zlib = hasFeature (flate2_0_2_20_features."zlib" or {}); - futures_0_0_0_features."default".from_flate2_0_2_20__default_ = true; - libc_0_2_33_features."default".from_flate2_0_2_20__default_ = true; - libz_sys_0_0_0_features."default".from_flate2_0_2_20__default_ = true; - miniz_sys_0_1_10_features."default".from_flate2_0_2_20__default_ = true; - tokio_io_0_0_0_features."default".from_flate2_0_2_20__default_ = true; - fnv_1_0_5 = fnv_1_0_5_ rec {}; - foreign_types_0_2_0 = foreign_types_0_2_0_ rec {}; - fs2_0_4_2 = fs2_0_4_2_ rec { - dependencies = (if (kernel == "linux" || kernel == "darwin") then [ libc_0_2_33 ] else []) - ++ (if kernel == "windows" then [ kernel32_sys_0_2_2 winapi_0_2_8 ] else []); - }; - kernel32_sys_0_2_2_features."default".from_fs2_0_4_2__default_ = true; - libc_0_2_33_features."default".from_fs2_0_4_2__default_ = true; - winapi_0_2_8_features."default".from_fs2_0_4_2__default_ = true; - fuchsia_zircon_0_2_1 = fuchsia_zircon_0_2_1_ rec { - dependencies = [ fuchsia_zircon_sys_0_2_0 ]; - }; - fuchsia_zircon_sys_0_2_0_features."default".from_fuchsia_zircon_0_2_1__default_ = true; - fuchsia_zircon_sys_0_2_0 = fuchsia_zircon_sys_0_2_0_ rec { - dependencies = [ bitflags_0_7_0 ]; - }; - bitflags_0_7_0_features."default".from_fuchsia_zircon_sys_0_2_0__default_ = true; - git2_0_6_8 = git2_0_6_8_ rec { - dependencies = [ bitflags_0_9_1 libc_0_2_33 libgit2_sys_0_6_16 url_1_6_0 ] - ++ (if (kernel == "linux" || kernel == "darwin") && !(kernel == "darwin") then [ openssl_probe_0_1_1 openssl_sys_0_9_20 ] - ++ (if lib.lists.any (x: x == "openssl-probe") features then [openssl_probe_0_1_1] else []) - ++ (if lib.lists.any (x: x == "openssl-sys") features then [openssl_sys_0_9_20] else []) else []); - features = mkFeatures git2_0_6_8_features; - }; - git2_0_6_8_features."".self = true; - git2_0_6_8_features."ssh".self_default = hasDefault git2_0_6_8_features; - git2_0_6_8_features."https".self_default = hasDefault git2_0_6_8_features; - git2_0_6_8_features."curl".self_default = hasDefault git2_0_6_8_features; - git2_0_6_8_features."openssl-sys".self_https = hasFeature (git2_0_6_8_features."https" or {}); - git2_0_6_8_features."openssl-probe".self_https = hasFeature (git2_0_6_8_features."https" or {}); - libgit2_sys_0_6_16_features."curl".from_git2_0_6_8__curl = hasFeature (git2_0_6_8_features."curl" or {}); - libgit2_sys_0_6_16_features."https".from_git2_0_6_8__https = hasFeature (git2_0_6_8_features."https" or {}); - libgit2_sys_0_6_16_features."ssh".from_git2_0_6_8__ssh = hasFeature (git2_0_6_8_features."ssh" or {}); - bitflags_0_9_1_features."default".from_git2_0_6_8__default_ = true; - libc_0_2_33_features."default".from_git2_0_6_8__default_ = true; - libgit2_sys_0_6_16_features."default".from_git2_0_6_8__default_ = true; - openssl_probe_0_1_1_features."default".from_git2_0_6_8__default_ = true; - openssl_sys_0_9_20_features."default".from_git2_0_6_8__default_ = true; - url_1_6_0_features."default".from_git2_0_6_8__default_ = true; - git2_curl_0_7_0 = git2_curl_0_7_0_ rec { - dependencies = [ curl_0_4_8 git2_0_6_8 log_0_3_8 url_1_6_0 ]; - }; - curl_0_4_8_features."default".from_git2_curl_0_7_0__default_ = true; - git2_0_6_8_features."default".from_git2_curl_0_7_0__default_ = false; - log_0_3_8_features."default".from_git2_curl_0_7_0__default_ = true; - url_1_6_0_features."default".from_git2_curl_0_7_0__default_ = true; - glob_0_2_11 = glob_0_2_11_ rec {}; - globset_0_2_1 = globset_0_2_1_ rec { - dependencies = [ aho_corasick_0_6_3 fnv_1_0_5 log_0_3_8 memchr_2_0_0 regex_0_2_2 ]; - features = mkFeatures globset_0_2_1_features; - }; - globset_0_2_1_features."".self = true; - regex_0_2_2_features."simd-accel".from_globset_0_2_1__simd-accel = hasFeature (globset_0_2_1_features."simd-accel" or {}); - aho_corasick_0_6_3_features."default".from_globset_0_2_1__default_ = true; - fnv_1_0_5_features."default".from_globset_0_2_1__default_ = true; - log_0_3_8_features."default".from_globset_0_2_1__default_ = true; - memchr_2_0_0_features."default".from_globset_0_2_1__default_ = true; - regex_0_2_2_features."default".from_globset_0_2_1__default_ = true; - hex_0_2_0 = hex_0_2_0_ rec {}; - home_0_3_0 = home_0_3_0_ rec { - dependencies = (if kernel == "windows" then [ advapi32_sys_0_2_0 kernel32_sys_0_2_2 scopeguard_0_1_2 userenv_sys_0_2_0 winapi_0_2_8 ] else []); - }; - advapi32_sys_0_2_0_features."default".from_home_0_3_0__default_ = true; - kernel32_sys_0_2_2_features."default".from_home_0_3_0__default_ = true; - scopeguard_0_1_2_features."default".from_home_0_3_0__default_ = true; - userenv_sys_0_2_0_features."default".from_home_0_3_0__default_ = true; - winapi_0_2_8_features."default".from_home_0_3_0__default_ = true; - idna_0_1_4 = idna_0_1_4_ rec { - dependencies = [ matches_0_1_6 unicode_bidi_0_3_4 unicode_normalization_0_1_5 ]; - }; - matches_0_1_6_features."default".from_idna_0_1_4__default_ = true; - unicode_bidi_0_3_4_features."default".from_idna_0_1_4__default_ = true; - unicode_normalization_0_1_5_features."default".from_idna_0_1_4__default_ = true; - ignore_0_2_2 = ignore_0_2_2_ rec { - dependencies = [ crossbeam_0_2_10 globset_0_2_1 lazy_static_0_2_9 log_0_3_8 memchr_1_0_2 regex_0_2_2 thread_local_0_3_4 walkdir_1_0_7 ]; - features = mkFeatures ignore_0_2_2_features; - }; - ignore_0_2_2_features."".self = true; - globset_0_2_1_features."simd-accel".from_ignore_0_2_2__simd-accel = hasFeature (ignore_0_2_2_features."simd-accel" or {}); - crossbeam_0_2_10_features."default".from_ignore_0_2_2__default_ = true; - globset_0_2_1_features."default".from_ignore_0_2_2__default_ = true; - lazy_static_0_2_9_features."default".from_ignore_0_2_2__default_ = true; - log_0_3_8_features."default".from_ignore_0_2_2__default_ = true; - memchr_1_0_2_features."default".from_ignore_0_2_2__default_ = true; - regex_0_2_2_features."default".from_ignore_0_2_2__default_ = true; - thread_local_0_3_4_features."default".from_ignore_0_2_2__default_ = true; - walkdir_1_0_7_features."default".from_ignore_0_2_2__default_ = true; - itoa_0_3_4 = itoa_0_3_4_ rec { - features = mkFeatures itoa_0_3_4_features; - }; - itoa_0_3_4_features."".self = true; - jobserver_0_1_8 = jobserver_0_1_8_ rec { - dependencies = (if (kernel == "linux" || kernel == "darwin") then [ libc_0_2_33 ] else []) - ++ (if kernel == "windows" then [ rand_0_3_18 ] else []); - }; - libc_0_2_33_features."default".from_jobserver_0_1_8__default_ = true; - rand_0_3_18_features."default".from_jobserver_0_1_8__default_ = true; - kernel32_sys_0_2_2 = kernel32_sys_0_2_2_ rec { - dependencies = [ winapi_0_2_8 ]; - buildDependencies = [ winapi_build_0_1_1 ]; - }; - winapi_0_2_8_features."default".from_kernel32_sys_0_2_2__default_ = true; - winapi_build_0_1_1_features."default".from_kernel32_sys_0_2_2__default_ = true; - lazy_static_0_2_9 = lazy_static_0_2_9_ rec { - dependencies = []; - features = mkFeatures lazy_static_0_2_9_features; - }; - lazy_static_0_2_9_features."nightly".self_spin_no_std = hasFeature (lazy_static_0_2_9_features."spin_no_std" or {}); - lazy_static_0_2_9_features."spin".self_spin_no_std = hasFeature (lazy_static_0_2_9_features."spin_no_std" or {}); - spin_0_0_0_features."default".from_lazy_static_0_2_9__default_ = true; - libc_0_2_33 = libc_0_2_33_ rec { - features = mkFeatures libc_0_2_33_features; - }; - libc_0_2_33_features."use_std".self_default = hasDefault libc_0_2_33_features; - libgit2_sys_0_6_16 = libgit2_sys_0_6_16_ rec { - dependencies = [ curl_sys_0_3_15 libc_0_2_33 libssh2_sys_0_2_6 libz_sys_1_0_18 ] - ++ (if lib.lists.any (x: x == "curl-sys") features then [curl_sys_0_3_15] else []) - ++ (if lib.lists.any (x: x == "libssh2-sys") features then [libssh2_sys_0_2_6] else []) - ++ (if (kernel == "linux" || kernel == "darwin") then [ openssl_sys_0_9_20 ] - ++ (if lib.lists.any (x: x == "openssl-sys") features then [openssl_sys_0_9_20] else []) else []); - buildDependencies = [ cc_1_0_3 cmake_0_1_26 pkg_config_0_3_9 ]; - features = mkFeatures libgit2_sys_0_6_16_features; - }; - libgit2_sys_0_6_16_features."".self = true; - libgit2_sys_0_6_16_features."curl-sys".self_curl = hasFeature (libgit2_sys_0_6_16_features."curl" or {}); - libgit2_sys_0_6_16_features."openssl-sys".self_https = hasFeature (libgit2_sys_0_6_16_features."https" or {}); - libgit2_sys_0_6_16_features."libssh2-sys".self_ssh = hasFeature (libgit2_sys_0_6_16_features."ssh" or {}); - cc_1_0_3_features."default".from_libgit2_sys_0_6_16__default_ = true; - cmake_0_1_26_features."default".from_libgit2_sys_0_6_16__default_ = true; - curl_sys_0_3_15_features."default".from_libgit2_sys_0_6_16__default_ = true; - libc_0_2_33_features."default".from_libgit2_sys_0_6_16__default_ = true; - libssh2_sys_0_2_6_features."default".from_libgit2_sys_0_6_16__default_ = true; - libz_sys_1_0_18_features."default".from_libgit2_sys_0_6_16__default_ = true; - openssl_sys_0_9_20_features."default".from_libgit2_sys_0_6_16__default_ = true; - pkg_config_0_3_9_features."default".from_libgit2_sys_0_6_16__default_ = true; - libssh2_sys_0_2_6 = libssh2_sys_0_2_6_ rec { - dependencies = [ libc_0_2_33 libz_sys_1_0_18 ] - ++ (if (kernel == "linux" || kernel == "darwin") then [ openssl_sys_0_9_20 ] else []); - buildDependencies = [ cmake_0_1_26 pkg_config_0_3_9 ]; - }; - cmake_0_1_26_features."default".from_libssh2_sys_0_2_6__default_ = true; - libc_0_2_33_features."default".from_libssh2_sys_0_2_6__default_ = true; - libz_sys_1_0_18_features."default".from_libssh2_sys_0_2_6__default_ = true; - openssl_sys_0_9_20_features."default".from_libssh2_sys_0_2_6__default_ = true; - pkg_config_0_3_9_features."default".from_libssh2_sys_0_2_6__default_ = true; - libz_sys_1_0_18 = libz_sys_1_0_18_ rec { - dependencies = [ libc_0_2_33 ] - ++ (if abi == "msvc" then [] else []); - buildDependencies = [ cc_1_0_3 pkg_config_0_3_9 ]; - }; - cc_1_0_3_features."default".from_libz_sys_1_0_18__default_ = true; - libc_0_2_33_features."default".from_libz_sys_1_0_18__default_ = true; - pkg_config_0_3_9_features."default".from_libz_sys_1_0_18__default_ = true; - log_0_3_8 = log_0_3_8_ rec { - features = mkFeatures log_0_3_8_features; - }; - log_0_3_8_features."use_std".self_default = hasDefault log_0_3_8_features; - matches_0_1_6 = matches_0_1_6_ rec {}; - memchr_1_0_2 = memchr_1_0_2_ rec { - dependencies = [ libc_0_2_33 ] - ++ (if lib.lists.any (x: x == "libc") features then [libc_0_2_33] else []); - features = mkFeatures memchr_1_0_2_features; - }; - memchr_1_0_2_features."".self = true; - memchr_1_0_2_features."use_std".self_default = hasDefault memchr_1_0_2_features; - memchr_1_0_2_features."libc".self_default = hasDefault memchr_1_0_2_features; - memchr_1_0_2_features."libc".self_use_std = hasFeature (memchr_1_0_2_features."use_std" or {}); - libc_0_2_33_features."use_std".from_memchr_1_0_2__use_std = hasFeature (memchr_1_0_2_features."use_std" or {}); - libc_0_2_33_features."default".from_memchr_1_0_2__default_ = false; - memchr_2_0_0 = memchr_2_0_0_ rec { - dependencies = [ libc_0_2_33 ] - ++ (if lib.lists.any (x: x == "libc") features then [libc_0_2_33] else []); - features = mkFeatures memchr_2_0_0_features; - }; - memchr_2_0_0_features."".self = true; - memchr_2_0_0_features."use_std".self_default = hasDefault memchr_2_0_0_features; - memchr_2_0_0_features."libc".self_default = hasDefault memchr_2_0_0_features; - memchr_2_0_0_features."libc".self_use_std = hasFeature (memchr_2_0_0_features."use_std" or {}); - libc_0_2_33_features."use_std".from_memchr_2_0_0__use_std = hasFeature (memchr_2_0_0_features."use_std" or {}); - libc_0_2_33_features."default".from_memchr_2_0_0__default_ = false; - miniz_sys_0_1_10 = miniz_sys_0_1_10_ rec { - dependencies = [ libc_0_2_33 ]; - buildDependencies = [ cc_1_0_3 ]; - }; - cc_1_0_3_features."default".from_miniz_sys_0_1_10__default_ = true; - libc_0_2_33_features."default".from_miniz_sys_0_1_10__default_ = true; - miow_0_2_1 = miow_0_2_1_ rec { - dependencies = [ kernel32_sys_0_2_2 net2_0_2_31 winapi_0_2_8 ws2_32_sys_0_2_1 ]; - }; - kernel32_sys_0_2_2_features."default".from_miow_0_2_1__default_ = true; - net2_0_2_31_features."default".from_miow_0_2_1__default_ = false; - winapi_0_2_8_features."default".from_miow_0_2_1__default_ = true; - ws2_32_sys_0_2_1_features."default".from_miow_0_2_1__default_ = true; - net2_0_2_31 = net2_0_2_31_ rec { - dependencies = [ cfg_if_0_1_2 ] - ++ (if (kernel == "linux" || kernel == "darwin") then [ libc_0_2_33 ] else []) - ++ (if kernel == "windows" then [ kernel32_sys_0_2_2 winapi_0_2_8 ws2_32_sys_0_2_1 ] else []) - ++ (if kernel == "i686-apple-darwin" then [ libc_0_2_33 ] else []) - ++ (if kernel == "i686-unknown-linux-gnu" then [ libc_0_2_33 ] else []) - ++ (if kernel == "x86_64-apple-darwin" then [ libc_0_2_33 ] else []) - ++ (if kernel == "x86_64-unknown-linux-gnu" then [ libc_0_2_33 ] else []); - features = mkFeatures net2_0_2_31_features; - }; - net2_0_2_31_features."duration".self_default = hasDefault net2_0_2_31_features; - cfg_if_0_1_2_features."default".from_net2_0_2_31__default_ = true; - kernel32_sys_0_2_2_features."default".from_net2_0_2_31__default_ = true; - libc_0_2_33_features."default".from_net2_0_2_31__default_ = true; - winapi_0_2_8_features."default".from_net2_0_2_31__default_ = true; - ws2_32_sys_0_2_1_features."default".from_net2_0_2_31__default_ = true; - num_traits_0_1_40 = num_traits_0_1_40_ rec {}; - num_cpus_1_7_0 = num_cpus_1_7_0_ rec { - dependencies = [ libc_0_2_33 ]; - }; - libc_0_2_33_features."default".from_num_cpus_1_7_0__default_ = true; - openssl_0_9_20 = openssl_0_9_20_ rec { - dependencies = [ bitflags_0_9_1 foreign_types_0_2_0 lazy_static_0_2_9 libc_0_2_33 openssl_sys_0_9_20 ]; - features = mkFeatures openssl_0_9_20_features; - }; - openssl_0_9_20_features."".self = true; - bitflags_0_9_1_features."default".from_openssl_0_9_20__default_ = true; - foreign_types_0_2_0_features."default".from_openssl_0_9_20__default_ = true; - lazy_static_0_2_9_features."default".from_openssl_0_9_20__default_ = true; - libc_0_2_33_features."default".from_openssl_0_9_20__default_ = true; - openssl_sys_0_9_20_features."default".from_openssl_0_9_20__default_ = true; - openssl_probe_0_1_1 = openssl_probe_0_1_1_ rec {}; - openssl_sys_0_9_20 = openssl_sys_0_9_20_ rec { - dependencies = [ libc_0_2_33 ] - ++ (if abi == "msvc" then [] else []); - buildDependencies = [ cc_1_0_3 pkg_config_0_3_9 ]; - }; - cc_1_0_3_features."default".from_openssl_sys_0_9_20__default_ = true; - libc_0_2_33_features."default".from_openssl_sys_0_9_20__default_ = true; - pkg_config_0_3_9_features."default".from_openssl_sys_0_9_20__default_ = true; - percent_encoding_1_0_0 = percent_encoding_1_0_0_ rec {}; - pkg_config_0_3_9 = pkg_config_0_3_9_ rec {}; - psapi_sys_0_1_0 = psapi_sys_0_1_0_ rec { - dependencies = [ winapi_0_2_8 ]; - buildDependencies = [ winapi_build_0_1_1 ]; - }; - winapi_0_2_8_features."default".from_psapi_sys_0_1_0__default_ = true; - winapi_build_0_1_1_features."default".from_psapi_sys_0_1_0__default_ = true; - quote_0_3_15 = quote_0_3_15_ rec {}; - rand_0_3_18 = rand_0_3_18_ rec { - dependencies = [ libc_0_2_33 ] - ++ (if kernel == "fuchsia" then [ fuchsia_zircon_0_2_1 ] else []); - features = mkFeatures rand_0_3_18_features; - }; - rand_0_3_18_features."i128_support".self_nightly = hasFeature (rand_0_3_18_features."nightly" or {}); - fuchsia_zircon_0_2_1_features."default".from_rand_0_3_18__default_ = true; - libc_0_2_33_features."default".from_rand_0_3_18__default_ = true; - redox_syscall_0_1_31 = redox_syscall_0_1_31_ rec {}; - redox_termios_0_1_1 = redox_termios_0_1_1_ rec { - dependencies = [ redox_syscall_0_1_31 ]; - }; - redox_syscall_0_1_31_features."default".from_redox_termios_0_1_1__default_ = true; - regex_0_2_2 = regex_0_2_2_ rec { - dependencies = [ aho_corasick_0_6_3 memchr_1_0_2 regex_syntax_0_4_1 thread_local_0_3_4 utf8_ranges_1_0_0 ]; - features = mkFeatures regex_0_2_2_features; - }; - regex_0_2_2_features."simd".self_simd-accel = hasFeature (regex_0_2_2_features."simd-accel" or {}); - aho_corasick_0_6_3_features."default".from_regex_0_2_2__default_ = true; - memchr_1_0_2_features."default".from_regex_0_2_2__default_ = true; - regex_syntax_0_4_1_features."default".from_regex_0_2_2__default_ = true; - simd_0_0_0_features."default".from_regex_0_2_2__default_ = true; - thread_local_0_3_4_features."default".from_regex_0_2_2__default_ = true; - utf8_ranges_1_0_0_features."default".from_regex_0_2_2__default_ = true; - regex_syntax_0_4_1 = regex_syntax_0_4_1_ rec {}; - rustc_demangle_0_1_5 = rustc_demangle_0_1_5_ rec {}; - same_file_0_1_3 = same_file_0_1_3_ rec { - dependencies = (if kernel == "windows" then [ kernel32_sys_0_2_2 winapi_0_2_8 ] else []); - }; - kernel32_sys_0_2_2_features."default".from_same_file_0_1_3__default_ = true; - winapi_0_2_8_features."default".from_same_file_0_1_3__default_ = true; - scoped_tls_0_1_0 = scoped_tls_0_1_0_ rec {}; - scopeguard_0_1_2 = scopeguard_0_1_2_ rec {}; - semver_0_7_0 = semver_0_7_0_ rec { - dependencies = [ semver_parser_0_7_0 serde_1_0_18 ] - ++ (if lib.lists.any (x: x == "serde") features then [serde_1_0_18] else []); - features = mkFeatures semver_0_7_0_features; - }; - semver_0_7_0_features."".self = true; - semver_0_7_0_features."serde".self_ci = hasFeature (semver_0_7_0_features."ci" or {}); - semver_parser_0_7_0_features."default".from_semver_0_7_0__default_ = true; - serde_1_0_18_features."default".from_semver_0_7_0__default_ = true; - semver_parser_0_7_0 = semver_parser_0_7_0_ rec {}; - serde_1_0_18 = serde_1_0_18_ rec { - dependencies = []; - features = mkFeatures serde_1_0_18_features; - }; - serde_1_0_18_features."unstable".self_alloc = hasFeature (serde_1_0_18_features."alloc" or {}); - serde_1_0_18_features."std".self_default = hasDefault serde_1_0_18_features; - serde_1_0_18_features."serde_derive".self_derive = hasFeature (serde_1_0_18_features."derive" or {}); - serde_1_0_18_features."serde_derive".self_playground = hasFeature (serde_1_0_18_features."playground" or {}); - serde_derive_0_0_0_features."default".from_serde_1_0_18__default_ = true; - serde_derive_1_0_18 = serde_derive_1_0_18_ rec { - dependencies = [ quote_0_3_15 serde_derive_internals_0_16_0 syn_0_11_11 ]; - }; - syn_0_11_11_features."visit".from_serde_derive_1_0_18 = true; - quote_0_3_15_features."default".from_serde_derive_1_0_18__default_ = true; - serde_derive_internals_0_16_0_features."default".from_serde_derive_1_0_18__default_ = false; - syn_0_11_11_features."default".from_serde_derive_1_0_18__default_ = true; - serde_derive_internals_0_16_0 = serde_derive_internals_0_16_0_ rec { - dependencies = [ syn_0_11_11 synom_0_11_3 ]; - }; - syn_0_11_11_features."parsing".from_serde_derive_internals_0_16_0 = true; - syn_0_11_11_features."default".from_serde_derive_internals_0_16_0__default_ = false; - synom_0_11_3_features."default".from_serde_derive_internals_0_16_0__default_ = true; - serde_ignored_0_0_3 = serde_ignored_0_0_3_ rec { - dependencies = [ serde_1_0_18 ]; - }; - serde_1_0_18_features."default".from_serde_ignored_0_0_3__default_ = true; - serde_json_1_0_5 = serde_json_1_0_5_ rec { - dependencies = [ dtoa_0_4_2 itoa_0_3_4 num_traits_0_1_40 serde_1_0_18 ]; - features = mkFeatures serde_json_1_0_5_features; - }; - serde_json_1_0_5_features."linked-hash-map".self_preserve_order = hasFeature (serde_json_1_0_5_features."preserve_order" or {}); - dtoa_0_4_2_features."default".from_serde_json_1_0_5__default_ = true; - itoa_0_3_4_features."default".from_serde_json_1_0_5__default_ = true; - linked_hash_map_0_0_0_features."default".from_serde_json_1_0_5__default_ = true; - num_traits_0_1_40_features."default".from_serde_json_1_0_5__default_ = true; - serde_1_0_18_features."default".from_serde_json_1_0_5__default_ = true; - shell_escape_0_1_3 = shell_escape_0_1_3_ rec {}; - socket2_0_2_4 = socket2_0_2_4_ rec { - dependencies = (if (kernel == "linux" || kernel == "darwin") then [ cfg_if_0_1_2 libc_0_2_33 ] else []) - ++ (if kernel == "windows" then [ kernel32_sys_0_2_2 winapi_0_2_8 ws2_32_sys_0_2_1 ] else []); - features = mkFeatures socket2_0_2_4_features; - }; - socket2_0_2_4_features."".self = true; - cfg_if_0_1_2_features."default".from_socket2_0_2_4__default_ = true; - kernel32_sys_0_2_2_features."default".from_socket2_0_2_4__default_ = true; - libc_0_2_33_features."default".from_socket2_0_2_4__default_ = true; - winapi_0_2_8_features."default".from_socket2_0_2_4__default_ = true; - ws2_32_sys_0_2_1_features."default".from_socket2_0_2_4__default_ = true; - strsim_0_6_0 = strsim_0_6_0_ rec {}; - syn_0_11_11 = syn_0_11_11_ rec { - dependencies = [ quote_0_3_15 synom_0_11_3 unicode_xid_0_0_4 ] - ++ (if lib.lists.any (x: x == "quote") features then [quote_0_3_15] else []) - ++ (if lib.lists.any (x: x == "synom") features then [synom_0_11_3] else []) - ++ (if lib.lists.any (x: x == "unicode-xid") features then [unicode_xid_0_0_4] else []); - features = mkFeatures syn_0_11_11_features; - }; - syn_0_11_11_features."".self = true; - syn_0_11_11_features."parsing".self_default = hasDefault syn_0_11_11_features; - syn_0_11_11_features."printing".self_default = hasDefault syn_0_11_11_features; - syn_0_11_11_features."unicode-xid".self_parsing = hasFeature (syn_0_11_11_features."parsing" or {}); - syn_0_11_11_features."synom".self_parsing = hasFeature (syn_0_11_11_features."parsing" or {}); - syn_0_11_11_features."quote".self_printing = hasFeature (syn_0_11_11_features."printing" or {}); - quote_0_3_15_features."default".from_syn_0_11_11__default_ = true; - synom_0_11_3_features."default".from_syn_0_11_11__default_ = true; - unicode_xid_0_0_4_features."default".from_syn_0_11_11__default_ = true; - synom_0_11_3 = synom_0_11_3_ rec { - dependencies = [ unicode_xid_0_0_4 ]; - }; - unicode_xid_0_0_4_features."default".from_synom_0_11_3__default_ = true; - tar_0_4_13 = tar_0_4_13_ rec { - dependencies = [ filetime_0_1_14 libc_0_2_33 ] - ++ (if (kernel == "linux" || kernel == "darwin") then [] else []); - }; - tar_0_4_13_features."xattr".self_default = hasDefault tar_0_4_13_features; - filetime_0_1_14_features."default".from_tar_0_4_13__default_ = true; - libc_0_2_33_features."default".from_tar_0_4_13__default_ = true; - xattr_0_0_0_features."default".from_tar_0_4_13__default_ = true; - tempdir_0_3_5 = tempdir_0_3_5_ rec { - dependencies = [ rand_0_3_18 ]; - }; - rand_0_3_18_features."default".from_tempdir_0_3_5__default_ = true; - termcolor_0_3_3 = termcolor_0_3_3_ rec { - dependencies = (if kernel == "windows" then [ wincolor_0_1_4 ] else []); - }; - wincolor_0_1_4_features."default".from_termcolor_0_3_3__default_ = true; - termion_1_5_1 = termion_1_5_1_ rec { - dependencies = (if !(kernel == "redox") then [ libc_0_2_33 ] else []) - ++ (if kernel == "redox" then [ redox_syscall_0_1_31 redox_termios_0_1_1 ] else []); - }; - libc_0_2_33_features."default".from_termion_1_5_1__default_ = true; - redox_syscall_0_1_31_features."default".from_termion_1_5_1__default_ = true; - redox_termios_0_1_1_features."default".from_termion_1_5_1__default_ = true; - thread_local_0_3_4 = thread_local_0_3_4_ rec { - dependencies = [ lazy_static_0_2_9 unreachable_1_0_0 ]; - }; - lazy_static_0_2_9_features."default".from_thread_local_0_3_4__default_ = true; - unreachable_1_0_0_features."default".from_thread_local_0_3_4__default_ = true; - toml_0_4_5 = toml_0_4_5_ rec { - dependencies = [ serde_1_0_18 ]; - }; - serde_1_0_18_features."default".from_toml_0_4_5__default_ = true; - unicode_bidi_0_3_4 = unicode_bidi_0_3_4_ rec { - dependencies = [ matches_0_1_6 ]; - features = mkFeatures unicode_bidi_0_3_4_features; - }; - unicode_bidi_0_3_4_features."flame".self_flame_it = hasFeature (unicode_bidi_0_3_4_features."flame_it" or {}); - unicode_bidi_0_3_4_features."flamer".self_flame_it = hasFeature (unicode_bidi_0_3_4_features."flame_it" or {}); - unicode_bidi_0_3_4_features."serde".self_with_serde = hasFeature (unicode_bidi_0_3_4_features."with_serde" or {}); - serde_0_0_0_features."derive".from_unicode_bidi_0_3_4 = true; - flame_0_0_0_features."default".from_unicode_bidi_0_3_4__default_ = true; - flamer_0_0_0_features."default".from_unicode_bidi_0_3_4__default_ = true; - matches_0_1_6_features."default".from_unicode_bidi_0_3_4__default_ = true; - serde_0_0_0_features."default".from_unicode_bidi_0_3_4__default_ = true; - unicode_normalization_0_1_5 = unicode_normalization_0_1_5_ rec {}; - unicode_xid_0_0_4 = unicode_xid_0_0_4_ rec { - features = mkFeatures unicode_xid_0_0_4_features; - }; - unicode_xid_0_0_4_features."".self = true; - unreachable_1_0_0 = unreachable_1_0_0_ rec { - dependencies = [ void_1_0_2 ]; - }; - void_1_0_2_features."default".from_unreachable_1_0_0__default_ = false; - url_1_6_0 = url_1_6_0_ rec { - dependencies = [ idna_0_1_4 matches_0_1_6 percent_encoding_1_0_0 ]; - features = mkFeatures url_1_6_0_features; - }; - url_1_6_0_features."heapsize".self_heap_size = hasFeature (url_1_6_0_features."heap_size" or {}); - url_1_6_0_features."encoding".self_query_encoding = hasFeature (url_1_6_0_features."query_encoding" or {}); - encoding_0_0_0_features."default".from_url_1_6_0__default_ = true; - heapsize_0_0_0_features."default".from_url_1_6_0__default_ = true; - idna_0_1_4_features."default".from_url_1_6_0__default_ = true; - matches_0_1_6_features."default".from_url_1_6_0__default_ = true; - percent_encoding_1_0_0_features."default".from_url_1_6_0__default_ = true; - rustc_serialize_0_0_0_features."default".from_url_1_6_0__default_ = true; - serde_0_0_0_features."default".from_url_1_6_0__default_ = true; - userenv_sys_0_2_0 = userenv_sys_0_2_0_ rec { - dependencies = [ winapi_0_2_8 ]; - buildDependencies = [ winapi_build_0_1_1 ]; - }; - winapi_0_2_8_features."default".from_userenv_sys_0_2_0__default_ = true; - winapi_build_0_1_1_features."default".from_userenv_sys_0_2_0__default_ = true; - utf8_ranges_1_0_0 = utf8_ranges_1_0_0_ rec {}; - vcpkg_0_2_2 = vcpkg_0_2_2_ rec {}; - void_1_0_2 = void_1_0_2_ rec { - features = mkFeatures void_1_0_2_features; - }; - void_1_0_2_features."std".self_default = hasDefault void_1_0_2_features; - walkdir_1_0_7 = walkdir_1_0_7_ rec { - dependencies = [ same_file_0_1_3 ] - ++ (if kernel == "windows" then [ kernel32_sys_0_2_2 winapi_0_2_8 ] else []); - }; - kernel32_sys_0_2_2_features."default".from_walkdir_1_0_7__default_ = true; - same_file_0_1_3_features."default".from_walkdir_1_0_7__default_ = true; - winapi_0_2_8_features."default".from_walkdir_1_0_7__default_ = true; - winapi_0_2_8 = winapi_0_2_8_ rec {}; - winapi_build_0_1_1 = winapi_build_0_1_1_ rec {}; - wincolor_0_1_4 = wincolor_0_1_4_ rec { - dependencies = [ kernel32_sys_0_2_2 winapi_0_2_8 ]; - }; - kernel32_sys_0_2_2_features."default".from_wincolor_0_1_4__default_ = true; - winapi_0_2_8_features."default".from_wincolor_0_1_4__default_ = true; - ws2_32_sys_0_2_1 = ws2_32_sys_0_2_1_ rec { - dependencies = [ winapi_0_2_8 ]; - buildDependencies = [ winapi_build_0_1_1 ]; - }; - winapi_0_2_8_features."default".from_ws2_32_sys_0_2_1__default_ = true; - winapi_build_0_1_1_features."default".from_ws2_32_sys_0_2_1__default_ = true; + +# end + + }; + + cargo_vendor = crates.crates.cargo_vendor."0.1.23" deps; + __all = [ (cargo_vendor {}) ]; + deps.adler32."1.0.3" = {}; + deps.aho_corasick."0.7.3" = { + memchr = "2.2.0"; + }; + deps.ansi_term."0.11.0" = { + winapi = "0.3.7"; + }; + deps.atty."0.2.11" = { + termion = "1.5.1"; + libc = "0.2.51"; + winapi = "0.3.7"; + }; + deps.autocfg."0.1.2" = {}; + deps.backtrace."0.3.15" = { + cfg_if = "0.1.7"; + rustc_demangle = "0.1.14"; + autocfg = "0.1.2"; + backtrace_sys = "0.1.28"; + libc = "0.2.51"; + winapi = "0.3.7"; + }; + deps.backtrace_sys."0.1.28" = { + libc = "0.2.51"; + cc = "1.0.35"; + }; + deps.bitflags."1.0.4" = {}; + deps.bstr."0.1.2" = { + memchr = "2.2.0"; + }; + deps.build_const."0.2.1" = {}; + deps.byteorder."1.3.1" = {}; + deps.bytes."0.4.12" = { + byteorder = "1.3.1"; + iovec = "0.1.2"; + }; + deps.bytesize."1.0.0" = {}; + deps.cargo."0.35.0" = { + atty = "0.2.11"; + byteorder = "1.3.1"; + bytesize = "1.0.0"; + clap = "2.33.0"; + crates_io = "0.23.0"; + crossbeam_utils = "0.6.5"; + crypto_hash = "0.3.3"; + curl = "0.4.21"; + curl_sys = "0.4.18"; + env_logger = "0.6.1"; + failure = "0.1.5"; + filetime = "0.2.4"; + flate2 = "1.0.7"; + fs2 = "0.4.3"; + git2 = "0.8.0"; + git2_curl = "0.9.0"; + glob = "0.2.11"; + hex = "0.3.2"; + home = "0.3.4"; + ignore = "0.4.7"; + im_rc = "12.3.4"; + jobserver = "0.1.13"; + lazy_static = "1.3.0"; + lazycell = "1.2.1"; + libc = "0.2.51"; + libgit2_sys = "0.7.11"; + log = "0.4.6"; + num_cpus = "1.10.0"; + opener = "0.3.2"; + rustc_workspace_hack = "1.0.0"; + rustfix = "0.4.5"; + same_file = "1.0.4"; + semver = "0.9.0"; + serde = "1.0.90"; + serde_ignored = "0.0.4"; + serde_json = "1.0.39"; + shell_escape = "0.1.4"; + tar = "0.4.22"; + tempfile = "3.0.7"; + termcolor = "1.0.4"; + toml = "0.4.10"; + unicode_width = "0.1.5"; + url = "1.7.2"; + url_serde = "0.2.0"; + core_foundation = "0.6.4"; + fwdansi = "1.0.1"; + miow = "0.3.3"; + winapi = "0.3.7"; + }; + deps.cargo_vendor."0.1.23" = { + cargo = "0.35.0"; + docopt = "1.1.0"; + env_logger = "0.6.1"; + failure = "0.1.5"; + openssl = "0.10.20"; + serde = "1.0.90"; + serde_json = "1.0.39"; + toml = "0.5.0"; + }; + deps.cc."1.0.35" = {}; + deps.cfg_if."0.1.7" = {}; + deps.clap."2.33.0" = { + atty = "0.2.11"; + bitflags = "1.0.4"; + strsim = "0.8.0"; + textwrap = "0.11.0"; + unicode_width = "0.1.5"; + vec_map = "0.8.1"; + ansi_term = "0.11.0"; + }; + deps.cloudabi."0.0.3" = { + bitflags = "1.0.4"; + }; + deps.commoncrypto."0.2.0" = { + commoncrypto_sys = "0.2.0"; + }; + deps.commoncrypto_sys."0.2.0" = { + libc = "0.2.51"; + }; + deps.core_foundation."0.6.4" = { + core_foundation_sys = "0.6.2"; + libc = "0.2.51"; + }; + deps.core_foundation_sys."0.6.2" = {}; + deps.crates_io."0.23.0" = { + curl = "0.4.21"; + failure = "0.1.5"; + http = "0.1.17"; + serde = "1.0.90"; + serde_derive = "1.0.90"; + serde_json = "1.0.39"; + url = "1.7.2"; + }; + deps.crc."1.8.1" = { + build_const = "0.2.1"; + }; + deps.crc32fast."1.2.0" = { + cfg_if = "0.1.7"; + }; + deps.crossbeam_channel."0.3.8" = { + crossbeam_utils = "0.6.5"; + smallvec = "0.6.9"; + }; + deps.crossbeam_utils."0.6.5" = { + cfg_if = "0.1.7"; + lazy_static = "1.3.0"; + }; + deps.crypto_hash."0.3.3" = { + hex = "0.3.2"; + commoncrypto = "0.2.0"; + openssl = "0.10.20"; + winapi = "0.3.7"; + }; + deps.curl."0.4.21" = { + curl_sys = "0.4.18"; + libc = "0.2.51"; + socket2 = "0.3.8"; + openssl_probe = "0.1.2"; + openssl_sys = "0.9.43"; + kernel32_sys = "0.2.2"; + schannel = "0.1.15"; + winapi = "0.2.8"; + }; + deps.curl_sys."0.4.18" = { + libc = "0.2.51"; + libnghttp2_sys = "0.1.1"; + libz_sys = "1.0.25"; + cc = "1.0.35"; + pkg_config = "0.3.14"; + openssl_sys = "0.9.43"; + winapi = "0.3.7"; + }; + deps.docopt."1.1.0" = { + lazy_static = "1.3.0"; + regex = "1.1.6"; + serde = "1.0.90"; + strsim = "0.9.1"; + }; + deps.either."1.5.2" = {}; + deps.env_logger."0.6.1" = { + atty = "0.2.11"; + humantime = "1.2.0"; + log = "0.4.6"; + regex = "1.1.6"; + termcolor = "1.0.4"; + }; + deps.failure."0.1.5" = { + backtrace = "0.3.15"; + failure_derive = "0.1.5"; + }; + deps.failure_derive."0.1.5" = { + proc_macro2 = "0.4.27"; + quote = "0.6.12"; + syn = "0.15.32"; + synstructure = "0.10.1"; + }; + deps.filetime."0.2.4" = { + cfg_if = "0.1.7"; + redox_syscall = "0.1.54"; + libc = "0.2.51"; + }; + deps.flate2."1.0.7" = { + crc32fast = "1.2.0"; + libc = "0.2.51"; + libz_sys = "1.0.25"; + miniz_sys = "0.1.11"; + miniz_oxide_c_api = "0.2.1"; + }; + deps.fnv."1.0.6" = {}; + deps.foreign_types."0.3.2" = { + foreign_types_shared = "0.1.1"; + }; + deps.foreign_types_shared."0.1.1" = {}; + deps.fs2."0.4.3" = { + libc = "0.2.51"; + winapi = "0.3.7"; + }; + deps.fuchsia_cprng."0.1.1" = {}; + deps.fwdansi."1.0.1" = { + memchr = "2.2.0"; + termcolor = "1.0.4"; + }; + deps.git2."0.8.0" = { + bitflags = "1.0.4"; + libc = "0.2.51"; + libgit2_sys = "0.7.11"; + log = "0.4.6"; + url = "1.7.2"; + openssl_probe = "0.1.2"; + openssl_sys = "0.9.43"; + }; + deps.git2_curl."0.9.0" = { + curl = "0.4.21"; + git2 = "0.8.0"; + log = "0.4.6"; + url = "1.7.2"; + }; + deps.glob."0.2.11" = {}; + deps.globset."0.4.3" = { + aho_corasick = "0.7.3"; + bstr = "0.1.2"; + fnv = "1.0.6"; + log = "0.4.6"; + regex = "1.1.6"; + }; + deps.hashbrown."0.1.8" = { + byteorder = "1.3.1"; + scopeguard = "0.3.3"; + }; + deps.hex."0.3.2" = {}; + deps.home."0.3.4" = { + scopeguard = "0.3.3"; + winapi = "0.3.7"; + }; + deps.http."0.1.17" = { + bytes = "0.4.12"; + fnv = "1.0.6"; + itoa = "0.4.3"; + }; + deps.humantime."1.2.0" = { + quick_error = "1.2.2"; + }; + deps.idna."0.1.5" = { + matches = "0.1.8"; + unicode_bidi = "0.3.4"; + unicode_normalization = "0.1.8"; + }; + deps.ignore."0.4.7" = { + crossbeam_channel = "0.3.8"; + globset = "0.4.3"; + lazy_static = "1.3.0"; + log = "0.4.6"; + memchr = "2.2.0"; + regex = "1.1.6"; + same_file = "1.0.4"; + thread_local = "0.3.6"; + walkdir = "2.2.7"; + winapi_util = "0.1.2"; + }; + deps.im_rc."12.3.4" = { + sized_chunks = "0.1.3"; + typenum = "1.10.0"; + rustc_version = "0.2.3"; + }; + deps.iovec."0.1.2" = { + libc = "0.2.51"; + winapi = "0.2.8"; + }; + deps.itertools."0.7.11" = { + either = "1.5.2"; + }; + deps.itoa."0.4.3" = {}; + deps.jobserver."0.1.13" = { + log = "0.4.6"; + libc = "0.2.51"; + rand = "0.6.5"; + }; + deps.kernel32_sys."0.2.2" = { + winapi = "0.2.8"; + winapi_build = "0.1.1"; + }; + deps.lazy_static."1.3.0" = {}; + deps.lazycell."1.2.1" = {}; + deps.libc."0.2.51" = {}; + deps.libgit2_sys."0.7.11" = { + curl_sys = "0.4.18"; + libc = "0.2.51"; + libssh2_sys = "0.2.11"; + libz_sys = "1.0.25"; + cc = "1.0.35"; + pkg_config = "0.3.14"; + openssl_sys = "0.9.43"; + }; + deps.libnghttp2_sys."0.1.1" = { + libc = "0.2.51"; + cc = "1.0.35"; + }; + deps.libssh2_sys."0.2.11" = { + libc = "0.2.51"; + libz_sys = "1.0.25"; + cc = "1.0.35"; + pkg_config = "0.3.14"; + openssl_sys = "0.9.43"; + }; + deps.libz_sys."1.0.25" = { + libc = "0.2.51"; + cc = "1.0.35"; + pkg_config = "0.3.14"; + }; + deps.lock_api."0.1.5" = { + scopeguard = "0.3.3"; + }; + deps.log."0.4.6" = { + cfg_if = "0.1.7"; + }; + deps.matches."0.1.8" = {}; + deps.matrixmultiply."0.1.15" = { + rawpointer = "0.1.0"; + }; + deps.memchr."2.2.0" = {}; + deps.miniz_sys."0.1.11" = { + libc = "0.2.51"; + cc = "1.0.35"; + }; + deps.miniz_oxide."0.2.1" = { + adler32 = "1.0.3"; + }; + deps.miniz_oxide_c_api."0.2.1" = { + crc = "1.8.1"; + libc = "0.2.51"; + miniz_oxide = "0.2.1"; + cc = "1.0.35"; + }; + deps.miow."0.3.3" = { + socket2 = "0.3.8"; + winapi = "0.3.7"; + }; + deps.ndarray."0.12.1" = { + itertools = "0.7.11"; + matrixmultiply = "0.1.15"; + num_complex = "0.2.1"; + num_traits = "0.2.6"; + }; + deps.num_complex."0.2.1" = { + num_traits = "0.2.6"; + }; + deps.num_traits."0.2.6" = {}; + deps.num_cpus."1.10.0" = { + libc = "0.2.51"; + }; + deps.once_cell."0.1.8" = { + parking_lot = "0.7.1"; + }; + deps.opener."0.3.2" = { + failure = "0.1.5"; + failure_derive = "0.1.5"; + winapi = "0.3.7"; + }; + deps.openssl."0.10.20" = { + bitflags = "1.0.4"; + cfg_if = "0.1.7"; + foreign_types = "0.3.2"; + lazy_static = "1.3.0"; + libc = "0.2.51"; + openssl_sys = "0.9.43"; + }; + deps.openssl_probe."0.1.2" = {}; + deps.openssl_src."111.2.1+1.1.1b" = { + cc = "1.0.35"; + }; + deps.openssl_sys."0.9.43" = { + libc = "0.2.51"; + cc = "1.0.35"; + openssl_src = "111.2.1+1.1.1b"; + pkg_config = "0.3.14"; + rustc_version = "0.2.3"; + }; + deps.parking_lot."0.7.1" = { + lock_api = "0.1.5"; + parking_lot_core = "0.4.0"; + }; + deps.parking_lot_core."0.4.0" = { + rand = "0.6.5"; + smallvec = "0.6.9"; + rustc_version = "0.2.3"; + libc = "0.2.51"; + winapi = "0.3.7"; + }; + deps.percent_encoding."1.0.1" = {}; + deps.pkg_config."0.3.14" = {}; + deps.proc_macro2."0.4.27" = { + unicode_xid = "0.1.0"; + }; + deps.quick_error."1.2.2" = {}; + deps.quote."0.6.12" = { + proc_macro2 = "0.4.27"; + }; + deps.rand."0.6.5" = { + rand_chacha = "0.1.1"; + rand_core = "0.4.0"; + rand_hc = "0.1.0"; + rand_isaac = "0.1.1"; + rand_jitter = "0.1.3"; + rand_os = "0.1.3"; + rand_pcg = "0.1.2"; + rand_xorshift = "0.1.1"; + autocfg = "0.1.2"; + libc = "0.2.51"; + winapi = "0.3.7"; + }; + deps.rand_chacha."0.1.1" = { + rand_core = "0.3.1"; + autocfg = "0.1.2"; + }; + deps.rand_core."0.3.1" = { + rand_core = "0.4.0"; + }; + deps.rand_core."0.4.0" = {}; + deps.rand_hc."0.1.0" = { + rand_core = "0.3.1"; + }; + deps.rand_isaac."0.1.1" = { + rand_core = "0.3.1"; + }; + deps.rand_jitter."0.1.3" = { + rand_core = "0.4.0"; + libc = "0.2.51"; + winapi = "0.3.7"; + }; + deps.rand_os."0.1.3" = { + rand_core = "0.4.0"; + rdrand = "0.4.0"; + cloudabi = "0.0.3"; + fuchsia_cprng = "0.1.1"; + libc = "0.2.51"; + winapi = "0.3.7"; + }; + deps.rand_pcg."0.1.2" = { + rand_core = "0.4.0"; + autocfg = "0.1.2"; + }; + deps.rand_xorshift."0.1.1" = { + rand_core = "0.3.1"; + }; + deps.rawpointer."0.1.0" = {}; + deps.rdrand."0.4.0" = { + rand_core = "0.3.1"; + }; + deps.redox_syscall."0.1.54" = {}; + deps.redox_termios."0.1.1" = { + redox_syscall = "0.1.54"; + }; + deps.regex."1.1.6" = { + aho_corasick = "0.7.3"; + memchr = "2.2.0"; + regex_syntax = "0.6.6"; + thread_local = "0.3.6"; + utf8_ranges = "1.0.2"; + }; + deps.regex_syntax."0.6.6" = { + ucd_util = "0.1.3"; + }; + deps.remove_dir_all."0.5.1" = { + winapi = "0.3.7"; + }; + deps.rustc_demangle."0.1.14" = {}; + deps.rustc_workspace_hack."1.0.0" = {}; + deps.rustc_version."0.2.3" = { + semver = "0.9.0"; + }; + deps.rustfix."0.4.5" = { + failure = "0.1.5"; + log = "0.4.6"; + serde = "1.0.90"; + serde_derive = "1.0.90"; + serde_json = "1.0.39"; + }; + deps.ryu."0.2.7" = {}; + deps.same_file."1.0.4" = { + winapi_util = "0.1.2"; + }; + deps.schannel."0.1.15" = { + lazy_static = "1.3.0"; + winapi = "0.3.7"; + }; + deps.scopeguard."0.3.3" = {}; + deps.semver."0.9.0" = { + semver_parser = "0.7.0"; + serde = "1.0.90"; + }; + deps.semver_parser."0.7.0" = {}; + deps.serde."1.0.90" = { + serde_derive = "1.0.90"; + }; + deps.serde_derive."1.0.90" = { + proc_macro2 = "0.4.27"; + quote = "0.6.12"; + syn = "0.15.32"; + }; + deps.serde_ignored."0.0.4" = { + serde = "1.0.90"; + }; + deps.serde_json."1.0.39" = { + itoa = "0.4.3"; + ryu = "0.2.7"; + serde = "1.0.90"; + }; + deps.shell_escape."0.1.4" = {}; + deps.sized_chunks."0.1.3" = { + typenum = "1.10.0"; + }; + deps.smallvec."0.6.9" = {}; + deps.socket2."0.3.8" = { + cfg_if = "0.1.7"; + libc = "0.2.51"; + redox_syscall = "0.1.54"; + winapi = "0.3.7"; + }; + deps.strsim."0.8.0" = {}; + deps.strsim."0.9.1" = { + hashbrown = "0.1.8"; + ndarray = "0.12.1"; + }; + deps.syn."0.15.32" = { + proc_macro2 = "0.4.27"; + quote = "0.6.12"; + unicode_xid = "0.1.0"; + }; + deps.synstructure."0.10.1" = { + proc_macro2 = "0.4.27"; + quote = "0.6.12"; + syn = "0.15.32"; + unicode_xid = "0.1.0"; + }; + deps.tar."0.4.22" = { + filetime = "0.2.4"; + redox_syscall = "0.1.54"; + libc = "0.2.51"; + }; + deps.tempfile."3.0.7" = { + cfg_if = "0.1.7"; + rand = "0.6.5"; + remove_dir_all = "0.5.1"; + redox_syscall = "0.1.54"; + libc = "0.2.51"; + winapi = "0.3.7"; + }; + deps.termcolor."1.0.4" = { + wincolor = "1.0.1"; + }; + deps.termion."1.5.1" = { + libc = "0.2.51"; + redox_syscall = "0.1.54"; + redox_termios = "0.1.1"; + }; + deps.textwrap."0.11.0" = { + unicode_width = "0.1.5"; + }; + deps.thread_local."0.3.6" = { + lazy_static = "1.3.0"; + }; + deps.toml."0.4.10" = { + serde = "1.0.90"; + }; + deps.toml."0.5.0" = { + serde = "1.0.90"; + }; + deps.typenum."1.10.0" = {}; + deps.ucd_util."0.1.3" = {}; + deps.unicode_bidi."0.3.4" = { + matches = "0.1.8"; + }; + deps.unicode_normalization."0.1.8" = { + smallvec = "0.6.9"; + }; + deps.unicode_width."0.1.5" = {}; + deps.unicode_xid."0.1.0" = {}; + deps.url."1.7.2" = { + idna = "0.1.5"; + matches = "0.1.8"; + percent_encoding = "1.0.1"; + }; + deps.url_serde."0.2.0" = { + serde = "1.0.90"; + url = "1.7.2"; + }; + deps.utf8_ranges."1.0.2" = {}; + deps.vcpkg."0.2.6" = {}; + deps.vec_map."0.8.1" = {}; + deps.walkdir."2.2.7" = { + same_file = "1.0.4"; + winapi = "0.3.7"; + winapi_util = "0.1.2"; + }; + deps.winapi."0.2.8" = {}; + deps.winapi."0.3.7" = { + winapi_i686_pc_windows_gnu = "0.4.0"; + winapi_x86_64_pc_windows_gnu = "0.4.0"; + }; + deps.winapi_build."0.1.1" = {}; + deps.winapi_i686_pc_windows_gnu."0.4.0" = {}; + deps.winapi_util."0.1.2" = { + winapi = "0.3.7"; + }; + deps.winapi_x86_64_pc_windows_gnu."0.4.0" = {}; + deps.wincolor."1.0.1" = { + winapi = "0.3.7"; + winapi_util = "0.1.2"; + }; } diff --git a/nixpkgs/pkgs/build-support/rust/cargo-vendor/default.nix b/nixpkgs/pkgs/build-support/rust/cargo-vendor/default.nix index faeb6e03743c..f252c6a6cb1f 100644 --- a/nixpkgs/pkgs/build-support/rust/cargo-vendor/default.nix +++ b/nixpkgs/pkgs/build-support/rust/cargo-vendor/default.nix @@ -1,10 +1,10 @@ { callPackage, fetchFromGitHub }: -(callPackage ./cargo-vendor.nix {}).cargo_vendor_0_1_13.overrideAttrs (attrs: { +((callPackage ./cargo-vendor.nix {}).cargo_vendor {}).overrideAttrs (attrs: { src = fetchFromGitHub { owner = "alexcrichton"; repo = "cargo-vendor"; - rev = "0.1.13"; - sha256 = "0ljh2d65zpxp26a95b3czy5ai2z2dm87x7ndfdc1s0v1fsy69kn4"; + rev = "9355661303ce2870d68a69d99953fce22581e31e"; + sha256 = "0d4j3r09am3ynwhczimzv39264f5xz37jxa9js123y46w5by3wd2"; }; }) diff --git a/nixpkgs/pkgs/build-support/rust/crates-io.nix b/nixpkgs/pkgs/build-support/rust/crates-io.nix index 2475a62a3cd7..9dd2da28ad5b 100644 --- a/nixpkgs/pkgs/build-support/rust/crates-io.nix +++ b/nixpkgs/pkgs/build-support/rust/crates-io.nix @@ -3810,4 +3810,3947 @@ rec { # end +# aho-corasick-0.7.3 + + crates.aho_corasick."0.7.3" = deps: { features?(features_.aho_corasick."0.7.3" deps {}) }: buildRustCrate { + crateName = "aho-corasick"; + version = "0.7.3"; + description = "Fast multiple substring searching."; + authors = [ "Andrew Gallant <jamslam@gmail.com>" ]; + sha256 = "0dn42fbdms4brigqphxrvzbjd1s4knyjlzky30kgvpnrcl4sqqdv"; + libName = "aho_corasick"; + dependencies = mapFeatures features ([ + (crates."memchr"."${deps."aho_corasick"."0.7.3"."memchr"}" deps) + ]); + features = mkFeatures (features."aho_corasick"."0.7.3" or {}); + }; + features_.aho_corasick."0.7.3" = deps: f: updateFeatures f (rec { + aho_corasick = fold recursiveUpdate {} [ + { "0.7.3"."std" = + (f.aho_corasick."0.7.3"."std" or false) || + (f.aho_corasick."0.7.3".default or false) || + (aho_corasick."0.7.3"."default" or false); } + { "0.7.3".default = (f.aho_corasick."0.7.3".default or true); } + ]; + memchr = fold recursiveUpdate {} [ + { "${deps.aho_corasick."0.7.3".memchr}"."use_std" = + (f.memchr."${deps.aho_corasick."0.7.3".memchr}"."use_std" or false) || + (aho_corasick."0.7.3"."std" or false) || + (f."aho_corasick"."0.7.3"."std" or false); } + { "${deps.aho_corasick."0.7.3".memchr}".default = (f.memchr."${deps.aho_corasick."0.7.3".memchr}".default or false); } + ]; + }) [ + (features_.memchr."${deps."aho_corasick"."0.7.3"."memchr"}" deps) + ]; + + +# end +# backtrace-0.3.15 + + crates.backtrace."0.3.15" = deps: { features?(features_.backtrace."0.3.15" deps {}) }: buildRustCrate { + crateName = "backtrace"; + version = "0.3.15"; + description = "A library to acquire a stack trace (backtrace) at runtime in a Rust program.\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" "The Rust Project Developers" ]; + sha256 = "0qgbc07aq9kfixv29s60xx666lmdpgmf27a78fwjlhnfzhqvkn0p"; + dependencies = mapFeatures features ([ + (crates."cfg_if"."${deps."backtrace"."0.3.15"."cfg_if"}" deps) + (crates."rustc_demangle"."${deps."backtrace"."0.3.15"."rustc_demangle"}" deps) + ]) + ++ (if (kernel == "linux" || kernel == "darwin") && !(kernel == "fuchsia") && !(kernel == "emscripten") && !(kernel == "darwin") && !(kernel == "ios") then mapFeatures features ([ + ] + ++ (if features.backtrace."0.3.15".backtrace-sys or false then [ (crates.backtrace_sys."${deps."backtrace"."0.3.15".backtrace_sys}" deps) ] else [])) else []) + ++ (if (kernel == "linux" || kernel == "darwin") || abi == "sgx" then mapFeatures features ([ + (crates."libc"."${deps."backtrace"."0.3.15"."libc"}" deps) + ]) else []) + ++ (if kernel == "windows" then mapFeatures features ([ + (crates."winapi"."${deps."backtrace"."0.3.15"."winapi"}" deps) + ]) else []); + + buildDependencies = mapFeatures features ([ + (crates."autocfg"."${deps."backtrace"."0.3.15"."autocfg"}" deps) + ]); + features = mkFeatures (features."backtrace"."0.3.15" or {}); + }; + features_.backtrace."0.3.15" = deps: f: updateFeatures f (rec { + autocfg."${deps.backtrace."0.3.15".autocfg}".default = true; + backtrace = fold recursiveUpdate {} [ + { "0.3.15"."addr2line" = + (f.backtrace."0.3.15"."addr2line" or false) || + (f.backtrace."0.3.15".gimli-symbolize or false) || + (backtrace."0.3.15"."gimli-symbolize" or false); } + { "0.3.15"."backtrace-sys" = + (f.backtrace."0.3.15"."backtrace-sys" or false) || + (f.backtrace."0.3.15".libbacktrace or false) || + (backtrace."0.3.15"."libbacktrace" or false); } + { "0.3.15"."coresymbolication" = + (f.backtrace."0.3.15"."coresymbolication" or false) || + (f.backtrace."0.3.15".default or false) || + (backtrace."0.3.15"."default" or false); } + { "0.3.15"."dbghelp" = + (f.backtrace."0.3.15"."dbghelp" or false) || + (f.backtrace."0.3.15".default or false) || + (backtrace."0.3.15"."default" or false); } + { "0.3.15"."dladdr" = + (f.backtrace."0.3.15"."dladdr" or false) || + (f.backtrace."0.3.15".default or false) || + (backtrace."0.3.15"."default" or false); } + { "0.3.15"."findshlibs" = + (f.backtrace."0.3.15"."findshlibs" or false) || + (f.backtrace."0.3.15".gimli-symbolize or false) || + (backtrace."0.3.15"."gimli-symbolize" or false); } + { "0.3.15"."gimli" = + (f.backtrace."0.3.15"."gimli" or false) || + (f.backtrace."0.3.15".gimli-symbolize or false) || + (backtrace."0.3.15"."gimli-symbolize" or false); } + { "0.3.15"."libbacktrace" = + (f.backtrace."0.3.15"."libbacktrace" or false) || + (f.backtrace."0.3.15".default or false) || + (backtrace."0.3.15"."default" or false); } + { "0.3.15"."libunwind" = + (f.backtrace."0.3.15"."libunwind" or false) || + (f.backtrace."0.3.15".default or false) || + (backtrace."0.3.15"."default" or false); } + { "0.3.15"."memmap" = + (f.backtrace."0.3.15"."memmap" or false) || + (f.backtrace."0.3.15".gimli-symbolize or false) || + (backtrace."0.3.15"."gimli-symbolize" or false); } + { "0.3.15"."object" = + (f.backtrace."0.3.15"."object" or false) || + (f.backtrace."0.3.15".gimli-symbolize or false) || + (backtrace."0.3.15"."gimli-symbolize" or false); } + { "0.3.15"."rustc-serialize" = + (f.backtrace."0.3.15"."rustc-serialize" or false) || + (f.backtrace."0.3.15".serialize-rustc or false) || + (backtrace."0.3.15"."serialize-rustc" or false); } + { "0.3.15"."serde" = + (f.backtrace."0.3.15"."serde" or false) || + (f.backtrace."0.3.15".serialize-serde or false) || + (backtrace."0.3.15"."serialize-serde" or false); } + { "0.3.15"."serde_derive" = + (f.backtrace."0.3.15"."serde_derive" or false) || + (f.backtrace."0.3.15".serialize-serde or false) || + (backtrace."0.3.15"."serialize-serde" or false); } + { "0.3.15"."std" = + (f.backtrace."0.3.15"."std" or false) || + (f.backtrace."0.3.15".default or false) || + (backtrace."0.3.15"."default" or false) || + (f.backtrace."0.3.15".libbacktrace or false) || + (backtrace."0.3.15"."libbacktrace" or false); } + { "0.3.15".default = (f.backtrace."0.3.15".default or true); } + ]; + backtrace_sys."${deps.backtrace."0.3.15".backtrace_sys}".default = true; + cfg_if."${deps.backtrace."0.3.15".cfg_if}".default = true; + libc."${deps.backtrace."0.3.15".libc}".default = (f.libc."${deps.backtrace."0.3.15".libc}".default or false); + rustc_demangle."${deps.backtrace."0.3.15".rustc_demangle}".default = true; + winapi = fold recursiveUpdate {} [ + { "${deps.backtrace."0.3.15".winapi}"."dbghelp" = true; } + { "${deps.backtrace."0.3.15".winapi}"."minwindef" = true; } + { "${deps.backtrace."0.3.15".winapi}"."processthreadsapi" = true; } + { "${deps.backtrace."0.3.15".winapi}"."winnt" = true; } + { "${deps.backtrace."0.3.15".winapi}".default = true; } + ]; + }) [ + (features_.cfg_if."${deps."backtrace"."0.3.15"."cfg_if"}" deps) + (features_.rustc_demangle."${deps."backtrace"."0.3.15"."rustc_demangle"}" deps) + (features_.autocfg."${deps."backtrace"."0.3.15"."autocfg"}" deps) + (features_.backtrace_sys."${deps."backtrace"."0.3.15"."backtrace_sys"}" deps) + (features_.libc."${deps."backtrace"."0.3.15"."libc"}" deps) + (features_.winapi."${deps."backtrace"."0.3.15"."winapi"}" deps) + ]; + + +# end +# bstr-0.1.2 + + crates.bstr."0.1.2" = deps: { features?(features_.bstr."0.1.2" deps {}) }: buildRustCrate { + crateName = "bstr"; + version = "0.1.2"; + description = "A string type that is not required to be valid UTF-8."; + authors = [ "Andrew Gallant <jamslam@gmail.com>" ]; + sha256 = "1m30sssr8qghgf5fg17vvlrcr5mbbnv8fixzzfvzk3nan4bxyckf"; + dependencies = mapFeatures features ([ + (crates."memchr"."${deps."bstr"."0.1.2"."memchr"}" deps) + ]); + features = mkFeatures (features."bstr"."0.1.2" or {}); + }; + features_.bstr."0.1.2" = deps: f: updateFeatures f (rec { + bstr = fold recursiveUpdate {} [ + { "0.1.2"."lazy_static" = + (f.bstr."0.1.2"."lazy_static" or false) || + (f.bstr."0.1.2".unicode or false) || + (bstr."0.1.2"."unicode" or false); } + { "0.1.2"."regex-automata" = + (f.bstr."0.1.2"."regex-automata" or false) || + (f.bstr."0.1.2".unicode or false) || + (bstr."0.1.2"."unicode" or false); } + { "0.1.2"."serde" = + (f.bstr."0.1.2"."serde" or false) || + (f.bstr."0.1.2".serde1-nostd or false) || + (bstr."0.1.2"."serde1-nostd" or false); } + { "0.1.2"."serde1-nostd" = + (f.bstr."0.1.2"."serde1-nostd" or false) || + (f.bstr."0.1.2".serde1 or false) || + (bstr."0.1.2"."serde1" or false); } + { "0.1.2"."std" = + (f.bstr."0.1.2"."std" or false) || + (f.bstr."0.1.2".default or false) || + (bstr."0.1.2"."default" or false) || + (f.bstr."0.1.2".serde1 or false) || + (bstr."0.1.2"."serde1" or false); } + { "0.1.2"."unicode" = + (f.bstr."0.1.2"."unicode" or false) || + (f.bstr."0.1.2".default or false) || + (bstr."0.1.2"."default" or false); } + { "0.1.2".default = (f.bstr."0.1.2".default or true); } + ]; + memchr = fold recursiveUpdate {} [ + { "${deps.bstr."0.1.2".memchr}"."use_std" = + (f.memchr."${deps.bstr."0.1.2".memchr}"."use_std" or false) || + (bstr."0.1.2"."std" or false) || + (f."bstr"."0.1.2"."std" or false); } + { "${deps.bstr."0.1.2".memchr}".default = (f.memchr."${deps.bstr."0.1.2".memchr}".default or false); } + ]; + }) [ + (features_.memchr."${deps."bstr"."0.1.2"."memchr"}" deps) + ]; + + +# end +# build_const-0.2.1 + + crates.build_const."0.2.1" = deps: { features?(features_.build_const."0.2.1" deps {}) }: buildRustCrate { + crateName = "build_const"; + version = "0.2.1"; + description = "library for creating importable constants from build.rs or a script"; + authors = [ "Garrett Berg <vitiral@gmail.com>" ]; + sha256 = "15249xzi3qlm72p4glxgavwyq70fx2sp4df6ii0sdlrixrrp77pl"; + features = mkFeatures (features."build_const"."0.2.1" or {}); + }; + features_.build_const."0.2.1" = deps: f: updateFeatures f (rec { + build_const = fold recursiveUpdate {} [ + { "0.2.1"."std" = + (f.build_const."0.2.1"."std" or false) || + (f.build_const."0.2.1".default or false) || + (build_const."0.2.1"."default" or false); } + { "0.2.1".default = (f.build_const."0.2.1".default or true); } + ]; + }) []; + + +# end +# byteorder-1.3.1 + + crates.byteorder."1.3.1" = deps: { features?(features_.byteorder."1.3.1" deps {}) }: buildRustCrate { + crateName = "byteorder"; + version = "1.3.1"; + description = "Library for reading/writing numbers in big-endian and little-endian."; + authors = [ "Andrew Gallant <jamslam@gmail.com>" ]; + sha256 = "1dd46l7fvmxfq90kh6ip1ghsxzzcdybac8f0mh2jivsdv9vy8k4w"; + build = "build.rs"; + features = mkFeatures (features."byteorder"."1.3.1" or {}); + }; + features_.byteorder."1.3.1" = deps: f: updateFeatures f (rec { + byteorder = fold recursiveUpdate {} [ + { "1.3.1"."std" = + (f.byteorder."1.3.1"."std" or false) || + (f.byteorder."1.3.1".default or false) || + (byteorder."1.3.1"."default" or false); } + { "1.3.1".default = (f.byteorder."1.3.1".default or true); } + ]; + }) []; + + +# end +# bytes-0.4.12 + + crates.bytes."0.4.12" = deps: { features?(features_.bytes."0.4.12" deps {}) }: buildRustCrate { + crateName = "bytes"; + version = "0.4.12"; + description = "Types and traits for working with bytes"; + authors = [ "Carl Lerche <me@carllerche.com>" ]; + sha256 = "0cw577vll9qp0h3l1sy24anr5mcnd5j26q9q7nw4f0mddssvfphf"; + dependencies = mapFeatures features ([ + (crates."byteorder"."${deps."bytes"."0.4.12"."byteorder"}" deps) + (crates."iovec"."${deps."bytes"."0.4.12"."iovec"}" deps) + ]); + features = mkFeatures (features."bytes"."0.4.12" or {}); + }; + features_.bytes."0.4.12" = deps: f: updateFeatures f (rec { + byteorder = fold recursiveUpdate {} [ + { "${deps.bytes."0.4.12".byteorder}"."i128" = + (f.byteorder."${deps.bytes."0.4.12".byteorder}"."i128" or false) || + (bytes."0.4.12"."i128" or false) || + (f."bytes"."0.4.12"."i128" or false); } + { "${deps.bytes."0.4.12".byteorder}".default = true; } + ]; + bytes."0.4.12".default = (f.bytes."0.4.12".default or true); + iovec."${deps.bytes."0.4.12".iovec}".default = true; + }) [ + (features_.byteorder."${deps."bytes"."0.4.12"."byteorder"}" deps) + (features_.iovec."${deps."bytes"."0.4.12"."iovec"}" deps) + ]; + + +# end +# bytesize-1.0.0 + + crates.bytesize."1.0.0" = deps: { features?(features_.bytesize."1.0.0" deps {}) }: buildRustCrate { + crateName = "bytesize"; + version = "1.0.0"; + description = "an utility for human-readable bytes representations"; + authors = [ "Hyunsik Choi <hyunsik.choi@gmail.com>" ]; + sha256 = "04j5hibh1sskjbifrm5d10vmd1fycfgm10cdfa9hpyir7lbkhbg9"; + dependencies = mapFeatures features ([ +]); + }; + features_.bytesize."1.0.0" = deps: f: updateFeatures f (rec { + bytesize."1.0.0".default = (f.bytesize."1.0.0".default or true); + }) []; + + +# end +# cargo-0.35.0 + + crates.cargo."0.35.0" = deps: { features?(features_.cargo."0.35.0" deps {}) }: buildRustCrate { + crateName = "cargo"; + version = "0.35.0"; + description = "Cargo, a package manager for Rust.\n"; + authors = [ "Yehuda Katz <wycats@gmail.com>" "Carl Lerche <me@carllerche.com>" "Alex Crichton <alex@alexcrichton.com>" ]; + edition = "2018"; + sha256 = "17nqb1cr70igaaahk1lr859jaa57p05i1q4smqhqpq1jswwpdsnn"; + libPath = "src/cargo/lib.rs"; + crateBin = + [{ name = "cargo"; }]; + dependencies = mapFeatures features ([ + (crates."atty"."${deps."cargo"."0.35.0"."atty"}" deps) + (crates."byteorder"."${deps."cargo"."0.35.0"."byteorder"}" deps) + (crates."bytesize"."${deps."cargo"."0.35.0"."bytesize"}" deps) + (crates."clap"."${deps."cargo"."0.35.0"."clap"}" deps) + (crates."crates_io"."${deps."cargo"."0.35.0"."crates_io"}" deps) + (crates."crossbeam_utils"."${deps."cargo"."0.35.0"."crossbeam_utils"}" deps) + (crates."crypto_hash"."${deps."cargo"."0.35.0"."crypto_hash"}" deps) + (crates."curl"."${deps."cargo"."0.35.0"."curl"}" deps) + (crates."curl_sys"."${deps."cargo"."0.35.0"."curl_sys"}" deps) + (crates."env_logger"."${deps."cargo"."0.35.0"."env_logger"}" deps) + (crates."failure"."${deps."cargo"."0.35.0"."failure"}" deps) + (crates."filetime"."${deps."cargo"."0.35.0"."filetime"}" deps) + (crates."flate2"."${deps."cargo"."0.35.0"."flate2"}" deps) + (crates."fs2"."${deps."cargo"."0.35.0"."fs2"}" deps) + (crates."git2"."${deps."cargo"."0.35.0"."git2"}" deps) + (crates."git2_curl"."${deps."cargo"."0.35.0"."git2_curl"}" deps) + (crates."glob"."${deps."cargo"."0.35.0"."glob"}" deps) + (crates."hex"."${deps."cargo"."0.35.0"."hex"}" deps) + (crates."home"."${deps."cargo"."0.35.0"."home"}" deps) + (crates."ignore"."${deps."cargo"."0.35.0"."ignore"}" deps) + (crates."im_rc"."${deps."cargo"."0.35.0"."im_rc"}" deps) + (crates."jobserver"."${deps."cargo"."0.35.0"."jobserver"}" deps) + (crates."lazy_static"."${deps."cargo"."0.35.0"."lazy_static"}" deps) + (crates."lazycell"."${deps."cargo"."0.35.0"."lazycell"}" deps) + (crates."libc"."${deps."cargo"."0.35.0"."libc"}" deps) + (crates."libgit2_sys"."${deps."cargo"."0.35.0"."libgit2_sys"}" deps) + (crates."log"."${deps."cargo"."0.35.0"."log"}" deps) + (crates."num_cpus"."${deps."cargo"."0.35.0"."num_cpus"}" deps) + (crates."opener"."${deps."cargo"."0.35.0"."opener"}" deps) + (crates."rustc_workspace_hack"."${deps."cargo"."0.35.0"."rustc_workspace_hack"}" deps) + (crates."rustfix"."${deps."cargo"."0.35.0"."rustfix"}" deps) + (crates."same_file"."${deps."cargo"."0.35.0"."same_file"}" deps) + (crates."semver"."${deps."cargo"."0.35.0"."semver"}" deps) + (crates."serde"."${deps."cargo"."0.35.0"."serde"}" deps) + (crates."serde_ignored"."${deps."cargo"."0.35.0"."serde_ignored"}" deps) + (crates."serde_json"."${deps."cargo"."0.35.0"."serde_json"}" deps) + (crates."shell_escape"."${deps."cargo"."0.35.0"."shell_escape"}" deps) + (crates."tar"."${deps."cargo"."0.35.0"."tar"}" deps) + (crates."tempfile"."${deps."cargo"."0.35.0"."tempfile"}" deps) + (crates."termcolor"."${deps."cargo"."0.35.0"."termcolor"}" deps) + (crates."toml"."${deps."cargo"."0.35.0"."toml"}" deps) + (crates."unicode_width"."${deps."cargo"."0.35.0"."unicode_width"}" deps) + (crates."url"."${deps."cargo"."0.35.0"."url"}" deps) + (crates."url_serde"."${deps."cargo"."0.35.0"."url_serde"}" deps) + ]) + ++ (if kernel == "darwin" then mapFeatures features ([ + (crates."core_foundation"."${deps."cargo"."0.35.0"."core_foundation"}" deps) + ]) else []) + ++ (if kernel == "windows" then mapFeatures features ([ + (crates."fwdansi"."${deps."cargo"."0.35.0"."fwdansi"}" deps) + (crates."miow"."${deps."cargo"."0.35.0"."miow"}" deps) + (crates."winapi"."${deps."cargo"."0.35.0"."winapi"}" deps) + ]) else []); + features = mkFeatures (features."cargo"."0.35.0" or {}); + }; + features_.cargo."0.35.0" = deps: f: updateFeatures f (rec { + atty."${deps.cargo."0.35.0".atty}".default = true; + byteorder."${deps.cargo."0.35.0".byteorder}".default = true; + bytesize."${deps.cargo."0.35.0".bytesize}".default = true; + cargo = fold recursiveUpdate {} [ + { "0.35.0"."pretty_env_logger" = + (f.cargo."0.35.0"."pretty_env_logger" or false) || + (f.cargo."0.35.0".pretty-env-logger or false) || + (cargo."0.35.0"."pretty-env-logger" or false); } + { "0.35.0".default = (f.cargo."0.35.0".default or true); } + ]; + clap."${deps.cargo."0.35.0".clap}".default = true; + core_foundation = fold recursiveUpdate {} [ + { "${deps.cargo."0.35.0".core_foundation}"."mac_os_10_7_support" = true; } + { "${deps.cargo."0.35.0".core_foundation}".default = true; } + ]; + crates_io."${deps.cargo."0.35.0".crates_io}".default = true; + crossbeam_utils."${deps.cargo."0.35.0".crossbeam_utils}".default = true; + crypto_hash."${deps.cargo."0.35.0".crypto_hash}".default = true; + curl = fold recursiveUpdate {} [ + { "${deps.cargo."0.35.0".curl}"."http2" = true; } + { "${deps.cargo."0.35.0".curl}".default = true; } + ]; + curl_sys."${deps.cargo."0.35.0".curl_sys}".default = true; + env_logger."${deps.cargo."0.35.0".env_logger}".default = true; + failure."${deps.cargo."0.35.0".failure}".default = true; + filetime."${deps.cargo."0.35.0".filetime}".default = true; + flate2 = fold recursiveUpdate {} [ + { "${deps.cargo."0.35.0".flate2}"."zlib" = true; } + { "${deps.cargo."0.35.0".flate2}".default = true; } + ]; + fs2."${deps.cargo."0.35.0".fs2}".default = true; + fwdansi."${deps.cargo."0.35.0".fwdansi}".default = true; + git2."${deps.cargo."0.35.0".git2}".default = true; + git2_curl."${deps.cargo."0.35.0".git2_curl}".default = true; + glob."${deps.cargo."0.35.0".glob}".default = true; + hex."${deps.cargo."0.35.0".hex}".default = true; + home."${deps.cargo."0.35.0".home}".default = true; + ignore."${deps.cargo."0.35.0".ignore}".default = true; + im_rc."${deps.cargo."0.35.0".im_rc}".default = true; + jobserver."${deps.cargo."0.35.0".jobserver}".default = true; + lazy_static."${deps.cargo."0.35.0".lazy_static}".default = true; + lazycell."${deps.cargo."0.35.0".lazycell}".default = true; + libc."${deps.cargo."0.35.0".libc}".default = true; + libgit2_sys."${deps.cargo."0.35.0".libgit2_sys}".default = true; + log."${deps.cargo."0.35.0".log}".default = true; + miow."${deps.cargo."0.35.0".miow}".default = true; + num_cpus."${deps.cargo."0.35.0".num_cpus}".default = true; + opener."${deps.cargo."0.35.0".opener}".default = true; + rustc_workspace_hack."${deps.cargo."0.35.0".rustc_workspace_hack}".default = true; + rustfix."${deps.cargo."0.35.0".rustfix}".default = true; + same_file."${deps.cargo."0.35.0".same_file}".default = true; + semver = fold recursiveUpdate {} [ + { "${deps.cargo."0.35.0".semver}"."serde" = true; } + { "${deps.cargo."0.35.0".semver}".default = true; } + ]; + serde = fold recursiveUpdate {} [ + { "${deps.cargo."0.35.0".serde}"."derive" = true; } + { "${deps.cargo."0.35.0".serde}".default = true; } + ]; + serde_ignored."${deps.cargo."0.35.0".serde_ignored}".default = true; + serde_json = fold recursiveUpdate {} [ + { "${deps.cargo."0.35.0".serde_json}"."raw_value" = true; } + { "${deps.cargo."0.35.0".serde_json}".default = true; } + ]; + shell_escape."${deps.cargo."0.35.0".shell_escape}".default = true; + tar."${deps.cargo."0.35.0".tar}".default = (f.tar."${deps.cargo."0.35.0".tar}".default or false); + tempfile."${deps.cargo."0.35.0".tempfile}".default = true; + termcolor."${deps.cargo."0.35.0".termcolor}".default = true; + toml."${deps.cargo."0.35.0".toml}".default = true; + unicode_width."${deps.cargo."0.35.0".unicode_width}".default = true; + url."${deps.cargo."0.35.0".url}".default = true; + url_serde."${deps.cargo."0.35.0".url_serde}".default = true; + winapi = fold recursiveUpdate {} [ + { "${deps.cargo."0.35.0".winapi}"."basetsd" = true; } + { "${deps.cargo."0.35.0".winapi}"."handleapi" = true; } + { "${deps.cargo."0.35.0".winapi}"."jobapi" = true; } + { "${deps.cargo."0.35.0".winapi}"."jobapi2" = true; } + { "${deps.cargo."0.35.0".winapi}"."memoryapi" = true; } + { "${deps.cargo."0.35.0".winapi}"."minwindef" = true; } + { "${deps.cargo."0.35.0".winapi}"."ntdef" = true; } + { "${deps.cargo."0.35.0".winapi}"."ntstatus" = true; } + { "${deps.cargo."0.35.0".winapi}"."processenv" = true; } + { "${deps.cargo."0.35.0".winapi}"."processthreadsapi" = true; } + { "${deps.cargo."0.35.0".winapi}"."psapi" = true; } + { "${deps.cargo."0.35.0".winapi}"."synchapi" = true; } + { "${deps.cargo."0.35.0".winapi}"."winbase" = true; } + { "${deps.cargo."0.35.0".winapi}"."wincon" = true; } + { "${deps.cargo."0.35.0".winapi}"."winerror" = true; } + { "${deps.cargo."0.35.0".winapi}"."winnt" = true; } + { "${deps.cargo."0.35.0".winapi}".default = true; } + ]; + }) [ + (features_.atty."${deps."cargo"."0.35.0"."atty"}" deps) + (features_.byteorder."${deps."cargo"."0.35.0"."byteorder"}" deps) + (features_.bytesize."${deps."cargo"."0.35.0"."bytesize"}" deps) + (features_.clap."${deps."cargo"."0.35.0"."clap"}" deps) + (features_.crates_io."${deps."cargo"."0.35.0"."crates_io"}" deps) + (features_.crossbeam_utils."${deps."cargo"."0.35.0"."crossbeam_utils"}" deps) + (features_.crypto_hash."${deps."cargo"."0.35.0"."crypto_hash"}" deps) + (features_.curl."${deps."cargo"."0.35.0"."curl"}" deps) + (features_.curl_sys."${deps."cargo"."0.35.0"."curl_sys"}" deps) + (features_.env_logger."${deps."cargo"."0.35.0"."env_logger"}" deps) + (features_.failure."${deps."cargo"."0.35.0"."failure"}" deps) + (features_.filetime."${deps."cargo"."0.35.0"."filetime"}" deps) + (features_.flate2."${deps."cargo"."0.35.0"."flate2"}" deps) + (features_.fs2."${deps."cargo"."0.35.0"."fs2"}" deps) + (features_.git2."${deps."cargo"."0.35.0"."git2"}" deps) + (features_.git2_curl."${deps."cargo"."0.35.0"."git2_curl"}" deps) + (features_.glob."${deps."cargo"."0.35.0"."glob"}" deps) + (features_.hex."${deps."cargo"."0.35.0"."hex"}" deps) + (features_.home."${deps."cargo"."0.35.0"."home"}" deps) + (features_.ignore."${deps."cargo"."0.35.0"."ignore"}" deps) + (features_.im_rc."${deps."cargo"."0.35.0"."im_rc"}" deps) + (features_.jobserver."${deps."cargo"."0.35.0"."jobserver"}" deps) + (features_.lazy_static."${deps."cargo"."0.35.0"."lazy_static"}" deps) + (features_.lazycell."${deps."cargo"."0.35.0"."lazycell"}" deps) + (features_.libc."${deps."cargo"."0.35.0"."libc"}" deps) + (features_.libgit2_sys."${deps."cargo"."0.35.0"."libgit2_sys"}" deps) + (features_.log."${deps."cargo"."0.35.0"."log"}" deps) + (features_.num_cpus."${deps."cargo"."0.35.0"."num_cpus"}" deps) + (features_.opener."${deps."cargo"."0.35.0"."opener"}" deps) + (features_.rustc_workspace_hack."${deps."cargo"."0.35.0"."rustc_workspace_hack"}" deps) + (features_.rustfix."${deps."cargo"."0.35.0"."rustfix"}" deps) + (features_.same_file."${deps."cargo"."0.35.0"."same_file"}" deps) + (features_.semver."${deps."cargo"."0.35.0"."semver"}" deps) + (features_.serde."${deps."cargo"."0.35.0"."serde"}" deps) + (features_.serde_ignored."${deps."cargo"."0.35.0"."serde_ignored"}" deps) + (features_.serde_json."${deps."cargo"."0.35.0"."serde_json"}" deps) + (features_.shell_escape."${deps."cargo"."0.35.0"."shell_escape"}" deps) + (features_.tar."${deps."cargo"."0.35.0"."tar"}" deps) + (features_.tempfile."${deps."cargo"."0.35.0"."tempfile"}" deps) + (features_.termcolor."${deps."cargo"."0.35.0"."termcolor"}" deps) + (features_.toml."${deps."cargo"."0.35.0"."toml"}" deps) + (features_.unicode_width."${deps."cargo"."0.35.0"."unicode_width"}" deps) + (features_.url."${deps."cargo"."0.35.0"."url"}" deps) + (features_.url_serde."${deps."cargo"."0.35.0"."url_serde"}" deps) + (features_.core_foundation."${deps."cargo"."0.35.0"."core_foundation"}" deps) + (features_.fwdansi."${deps."cargo"."0.35.0"."fwdansi"}" deps) + (features_.miow."${deps."cargo"."0.35.0"."miow"}" deps) + (features_.winapi."${deps."cargo"."0.35.0"."winapi"}" deps) + ]; + + +# end +# cc-1.0.35 + + crates.cc."1.0.35" = deps: { features?(features_.cc."1.0.35" deps {}) }: buildRustCrate { + crateName = "cc"; + version = "1.0.35"; + description = "A build-time dependency for Cargo build scripts to assist in invoking the native\nC compiler to compile native C code into a static archive to be linked into Rust\ncode.\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "0dq3d2hgc5r14lk97ajj4mw30fibznjzrl9w745fqhwnq51jp7dj"; + dependencies = mapFeatures features ([ +]); + features = mkFeatures (features."cc"."1.0.35" or {}); + }; + features_.cc."1.0.35" = deps: f: updateFeatures f (rec { + cc = fold recursiveUpdate {} [ + { "1.0.35"."rayon" = + (f.cc."1.0.35"."rayon" or false) || + (f.cc."1.0.35".parallel or false) || + (cc."1.0.35"."parallel" or false); } + { "1.0.35".default = (f.cc."1.0.35".default or true); } + ]; + }) []; + + +# end +# clap-2.33.0 + + crates.clap."2.33.0" = deps: { features?(features_.clap."2.33.0" deps {}) }: buildRustCrate { + crateName = "clap"; + version = "2.33.0"; + description = "A simple to use, efficient, and full-featured Command Line Argument Parser\n"; + authors = [ "Kevin K. <kbknapp@gmail.com>" ]; + sha256 = "054n9ngh6pkknpmd4acgdsp40iw6f5jzq8a4h2b76gnbvk6p5xjh"; + dependencies = mapFeatures features ([ + (crates."bitflags"."${deps."clap"."2.33.0"."bitflags"}" deps) + (crates."textwrap"."${deps."clap"."2.33.0"."textwrap"}" deps) + (crates."unicode_width"."${deps."clap"."2.33.0"."unicode_width"}" deps) + ] + ++ (if features.clap."2.33.0".atty or false then [ (crates.atty."${deps."clap"."2.33.0".atty}" deps) ] else []) + ++ (if features.clap."2.33.0".strsim or false then [ (crates.strsim."${deps."clap"."2.33.0".strsim}" deps) ] else []) + ++ (if features.clap."2.33.0".vec_map or false then [ (crates.vec_map."${deps."clap"."2.33.0".vec_map}" deps) ] else [])) + ++ (if !(kernel == "windows") then mapFeatures features ([ + ] + ++ (if features.clap."2.33.0".ansi_term or false then [ (crates.ansi_term."${deps."clap"."2.33.0".ansi_term}" deps) ] else [])) else []); + features = mkFeatures (features."clap"."2.33.0" or {}); + }; + features_.clap."2.33.0" = deps: f: updateFeatures f (rec { + ansi_term."${deps.clap."2.33.0".ansi_term}".default = true; + atty."${deps.clap."2.33.0".atty}".default = true; + bitflags."${deps.clap."2.33.0".bitflags}".default = true; + clap = fold recursiveUpdate {} [ + { "2.33.0"."ansi_term" = + (f.clap."2.33.0"."ansi_term" or false) || + (f.clap."2.33.0".color or false) || + (clap."2.33.0"."color" or false); } + { "2.33.0"."atty" = + (f.clap."2.33.0"."atty" or false) || + (f.clap."2.33.0".color or false) || + (clap."2.33.0"."color" or false); } + { "2.33.0"."clippy" = + (f.clap."2.33.0"."clippy" or false) || + (f.clap."2.33.0".lints or false) || + (clap."2.33.0"."lints" or false); } + { "2.33.0"."color" = + (f.clap."2.33.0"."color" or false) || + (f.clap."2.33.0".default or false) || + (clap."2.33.0"."default" or false); } + { "2.33.0"."strsim" = + (f.clap."2.33.0"."strsim" or false) || + (f.clap."2.33.0".suggestions or false) || + (clap."2.33.0"."suggestions" or false); } + { "2.33.0"."suggestions" = + (f.clap."2.33.0"."suggestions" or false) || + (f.clap."2.33.0".default or false) || + (clap."2.33.0"."default" or false); } + { "2.33.0"."term_size" = + (f.clap."2.33.0"."term_size" or false) || + (f.clap."2.33.0".wrap_help or false) || + (clap."2.33.0"."wrap_help" or false); } + { "2.33.0"."vec_map" = + (f.clap."2.33.0"."vec_map" or false) || + (f.clap."2.33.0".default or false) || + (clap."2.33.0"."default" or false); } + { "2.33.0"."yaml" = + (f.clap."2.33.0"."yaml" or false) || + (f.clap."2.33.0".doc or false) || + (clap."2.33.0"."doc" or false); } + { "2.33.0"."yaml-rust" = + (f.clap."2.33.0"."yaml-rust" or false) || + (f.clap."2.33.0".yaml or false) || + (clap."2.33.0"."yaml" or false); } + { "2.33.0".default = (f.clap."2.33.0".default or true); } + ]; + strsim."${deps.clap."2.33.0".strsim}".default = true; + textwrap = fold recursiveUpdate {} [ + { "${deps.clap."2.33.0".textwrap}"."term_size" = + (f.textwrap."${deps.clap."2.33.0".textwrap}"."term_size" or false) || + (clap."2.33.0"."wrap_help" or false) || + (f."clap"."2.33.0"."wrap_help" or false); } + { "${deps.clap."2.33.0".textwrap}".default = true; } + ]; + unicode_width."${deps.clap."2.33.0".unicode_width}".default = true; + vec_map."${deps.clap."2.33.0".vec_map}".default = true; + }) [ + (features_.atty."${deps."clap"."2.33.0"."atty"}" deps) + (features_.bitflags."${deps."clap"."2.33.0"."bitflags"}" deps) + (features_.strsim."${deps."clap"."2.33.0"."strsim"}" deps) + (features_.textwrap."${deps."clap"."2.33.0"."textwrap"}" deps) + (features_.unicode_width."${deps."clap"."2.33.0"."unicode_width"}" deps) + (features_.vec_map."${deps."clap"."2.33.0"."vec_map"}" deps) + (features_.ansi_term."${deps."clap"."2.33.0"."ansi_term"}" deps) + ]; + + +# end +# commoncrypto-0.2.0 + + crates.commoncrypto."0.2.0" = deps: { features?(features_.commoncrypto."0.2.0" deps {}) }: buildRustCrate { + crateName = "commoncrypto"; + version = "0.2.0"; + description = "Idiomatic Rust wrappers for Mac OS X's CommonCrypto library"; + authors = [ "Mark Lee" ]; + sha256 = "1ywgmv5ai4f6yskr3wv3j1wzfsdm9km8j8lm4x4j5ccln5362xdf"; + dependencies = mapFeatures features ([ + (crates."commoncrypto_sys"."${deps."commoncrypto"."0.2.0"."commoncrypto_sys"}" deps) + ]); + features = mkFeatures (features."commoncrypto"."0.2.0" or {}); + }; + features_.commoncrypto."0.2.0" = deps: f: updateFeatures f (rec { + commoncrypto = fold recursiveUpdate {} [ + { "0.2.0"."clippy" = + (f.commoncrypto."0.2.0"."clippy" or false) || + (f.commoncrypto."0.2.0".lint or false) || + (commoncrypto."0.2.0"."lint" or false); } + { "0.2.0".default = (f.commoncrypto."0.2.0".default or true); } + ]; + commoncrypto_sys."${deps.commoncrypto."0.2.0".commoncrypto_sys}".default = true; + }) [ + (features_.commoncrypto_sys."${deps."commoncrypto"."0.2.0"."commoncrypto_sys"}" deps) + ]; + + +# end +# commoncrypto-sys-0.2.0 + + crates.commoncrypto_sys."0.2.0" = deps: { features?(features_.commoncrypto_sys."0.2.0" deps {}) }: buildRustCrate { + crateName = "commoncrypto-sys"; + version = "0.2.0"; + description = "FFI bindings to Mac OS X's CommonCrypto library"; + authors = [ "Mark Lee" ]; + sha256 = "001i2g7xbfi48r2xjgxwrgjjjf00x9c24vfrs3g6p2q2djhwww4i"; + dependencies = mapFeatures features ([ + (crates."libc"."${deps."commoncrypto_sys"."0.2.0"."libc"}" deps) + ]); + features = mkFeatures (features."commoncrypto_sys"."0.2.0" or {}); + }; + features_.commoncrypto_sys."0.2.0" = deps: f: updateFeatures f (rec { + commoncrypto_sys = fold recursiveUpdate {} [ + { "0.2.0"."clippy" = + (f.commoncrypto_sys."0.2.0"."clippy" or false) || + (f.commoncrypto_sys."0.2.0".lint or false) || + (commoncrypto_sys."0.2.0"."lint" or false); } + { "0.2.0".default = (f.commoncrypto_sys."0.2.0".default or true); } + ]; + libc."${deps.commoncrypto_sys."0.2.0".libc}".default = true; + }) [ + (features_.libc."${deps."commoncrypto_sys"."0.2.0"."libc"}" deps) + ]; + + +# end +# core-foundation-0.6.4 + + crates.core_foundation."0.6.4" = deps: { features?(features_.core_foundation."0.6.4" deps {}) }: buildRustCrate { + crateName = "core-foundation"; + version = "0.6.4"; + description = "Bindings to Core Foundation for macOS"; + authors = [ "The Servo Project Developers" ]; + sha256 = "1kabsqxh01m6l2b1gz8wgn0d1k6fyczww9kaks0sbmsz5g78ngzx"; + dependencies = mapFeatures features ([ + (crates."core_foundation_sys"."${deps."core_foundation"."0.6.4"."core_foundation_sys"}" deps) + (crates."libc"."${deps."core_foundation"."0.6.4"."libc"}" deps) + ]); + features = mkFeatures (features."core_foundation"."0.6.4" or {}); + }; + features_.core_foundation."0.6.4" = deps: f: updateFeatures f (rec { + core_foundation = fold recursiveUpdate {} [ + { "0.6.4"."chrono" = + (f.core_foundation."0.6.4"."chrono" or false) || + (f.core_foundation."0.6.4".with-chrono or false) || + (core_foundation."0.6.4"."with-chrono" or false); } + { "0.6.4"."uuid" = + (f.core_foundation."0.6.4"."uuid" or false) || + (f.core_foundation."0.6.4".with-uuid or false) || + (core_foundation."0.6.4"."with-uuid" or false); } + { "0.6.4".default = (f.core_foundation."0.6.4".default or true); } + ]; + core_foundation_sys = fold recursiveUpdate {} [ + { "${deps.core_foundation."0.6.4".core_foundation_sys}"."mac_os_10_7_support" = + (f.core_foundation_sys."${deps.core_foundation."0.6.4".core_foundation_sys}"."mac_os_10_7_support" or false) || + (core_foundation."0.6.4"."mac_os_10_7_support" or false) || + (f."core_foundation"."0.6.4"."mac_os_10_7_support" or false); } + { "${deps.core_foundation."0.6.4".core_foundation_sys}"."mac_os_10_8_features" = + (f.core_foundation_sys."${deps.core_foundation."0.6.4".core_foundation_sys}"."mac_os_10_8_features" or false) || + (core_foundation."0.6.4"."mac_os_10_8_features" or false) || + (f."core_foundation"."0.6.4"."mac_os_10_8_features" or false); } + { "${deps.core_foundation."0.6.4".core_foundation_sys}".default = true; } + ]; + libc."${deps.core_foundation."0.6.4".libc}".default = true; + }) [ + (features_.core_foundation_sys."${deps."core_foundation"."0.6.4"."core_foundation_sys"}" deps) + (features_.libc."${deps."core_foundation"."0.6.4"."libc"}" deps) + ]; + + +# end +# core-foundation-sys-0.6.2 + + crates.core_foundation_sys."0.6.2" = deps: { features?(features_.core_foundation_sys."0.6.2" deps {}) }: buildRustCrate { + crateName = "core-foundation-sys"; + version = "0.6.2"; + description = "Bindings to Core Foundation for OS X"; + authors = [ "The Servo Project Developers" ]; + sha256 = "1n2v6wlqkmqwhl7k6y50irx51p37xb0fcm3njbman82gnyq8di2c"; + build = "build.rs"; + features = mkFeatures (features."core_foundation_sys"."0.6.2" or {}); + }; + features_.core_foundation_sys."0.6.2" = deps: f: updateFeatures f (rec { + core_foundation_sys."0.6.2".default = (f.core_foundation_sys."0.6.2".default or true); + }) []; + + +# end +# crates-io-0.23.0 + + crates.crates_io."0.23.0" = deps: { features?(features_.crates_io."0.23.0" deps {}) }: buildRustCrate { + crateName = "crates-io"; + version = "0.23.0"; + description = "Helpers for interacting with crates.io\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + edition = "2018"; + sha256 = "0yf7zhlqnyci12rl9x6xrwlcp8slf8ldfn3d72ad6j2hyp2cb59y"; + libPath = "lib.rs"; + libName = "crates_io"; + dependencies = mapFeatures features ([ + (crates."curl"."${deps."crates_io"."0.23.0"."curl"}" deps) + (crates."failure"."${deps."crates_io"."0.23.0"."failure"}" deps) + (crates."http"."${deps."crates_io"."0.23.0"."http"}" deps) + (crates."serde"."${deps."crates_io"."0.23.0"."serde"}" deps) + (crates."serde_derive"."${deps."crates_io"."0.23.0"."serde_derive"}" deps) + (crates."serde_json"."${deps."crates_io"."0.23.0"."serde_json"}" deps) + (crates."url"."${deps."crates_io"."0.23.0"."url"}" deps) + ]); + }; + features_.crates_io."0.23.0" = deps: f: updateFeatures f (rec { + crates_io."0.23.0".default = (f.crates_io."0.23.0".default or true); + curl."${deps.crates_io."0.23.0".curl}".default = true; + failure."${deps.crates_io."0.23.0".failure}".default = true; + http."${deps.crates_io."0.23.0".http}".default = true; + serde = fold recursiveUpdate {} [ + { "${deps.crates_io."0.23.0".serde}"."derive" = true; } + { "${deps.crates_io."0.23.0".serde}".default = true; } + ]; + serde_derive."${deps.crates_io."0.23.0".serde_derive}".default = true; + serde_json."${deps.crates_io."0.23.0".serde_json}".default = true; + url."${deps.crates_io."0.23.0".url}".default = true; + }) [ + (features_.curl."${deps."crates_io"."0.23.0"."curl"}" deps) + (features_.failure."${deps."crates_io"."0.23.0"."failure"}" deps) + (features_.http."${deps."crates_io"."0.23.0"."http"}" deps) + (features_.serde."${deps."crates_io"."0.23.0"."serde"}" deps) + (features_.serde_derive."${deps."crates_io"."0.23.0"."serde_derive"}" deps) + (features_.serde_json."${deps."crates_io"."0.23.0"."serde_json"}" deps) + (features_.url."${deps."crates_io"."0.23.0"."url"}" deps) + ]; + + +# end +# crc-1.8.1 + + crates.crc."1.8.1" = deps: { features?(features_.crc."1.8.1" deps {}) }: buildRustCrate { + crateName = "crc"; + version = "1.8.1"; + description = "Rust implementation of CRC(16, 32, 64) with support of various standards"; + authors = [ "Rui Hu <code@mrhooray.com>" ]; + sha256 = "00m9jjqrddp3bqyanvyxv0hf6s56bx1wy51vcdcxg4n2jdhg109s"; + + buildDependencies = mapFeatures features ([ + (crates."build_const"."${deps."crc"."1.8.1"."build_const"}" deps) + ]); + features = mkFeatures (features."crc"."1.8.1" or {}); + }; + features_.crc."1.8.1" = deps: f: updateFeatures f (rec { + build_const."${deps.crc."1.8.1".build_const}".default = true; + crc = fold recursiveUpdate {} [ + { "1.8.1"."std" = + (f.crc."1.8.1"."std" or false) || + (f.crc."1.8.1".default or false) || + (crc."1.8.1"."default" or false); } + { "1.8.1".default = (f.crc."1.8.1".default or true); } + ]; + }) [ + (features_.build_const."${deps."crc"."1.8.1"."build_const"}" deps) + ]; + + +# end +# crc32fast-1.2.0 + + crates.crc32fast."1.2.0" = deps: { features?(features_.crc32fast."1.2.0" deps {}) }: buildRustCrate { + crateName = "crc32fast"; + version = "1.2.0"; + description = "Fast, SIMD-accelerated CRC32 (IEEE) checksum computation"; + authors = [ "Sam Rijs <srijs@airpost.net>" "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "1mx88ndqln6vzg7hjhjp8b7g0qggpqggsjrlsdqrfsrbpdzffcn8"; + dependencies = mapFeatures features ([ + (crates."cfg_if"."${deps."crc32fast"."1.2.0"."cfg_if"}" deps) + ]); + features = mkFeatures (features."crc32fast"."1.2.0" or {}); + }; + features_.crc32fast."1.2.0" = deps: f: updateFeatures f (rec { + cfg_if."${deps.crc32fast."1.2.0".cfg_if}".default = true; + crc32fast = fold recursiveUpdate {} [ + { "1.2.0"."std" = + (f.crc32fast."1.2.0"."std" or false) || + (f.crc32fast."1.2.0".default or false) || + (crc32fast."1.2.0"."default" or false); } + { "1.2.0".default = (f.crc32fast."1.2.0".default or true); } + ]; + }) [ + (features_.cfg_if."${deps."crc32fast"."1.2.0"."cfg_if"}" deps) + ]; + + +# end +# crossbeam-channel-0.3.8 + + crates.crossbeam_channel."0.3.8" = deps: { features?(features_.crossbeam_channel."0.3.8" deps {}) }: buildRustCrate { + crateName = "crossbeam-channel"; + version = "0.3.8"; + description = "Multi-producer multi-consumer channels for message passing"; + authors = [ "The Crossbeam Project Developers" ]; + sha256 = "0apm8why2qsgr8ykh9x677kc9ml7qp71mvirfkdzdn4c1jyqyyzm"; + dependencies = mapFeatures features ([ + (crates."crossbeam_utils"."${deps."crossbeam_channel"."0.3.8"."crossbeam_utils"}" deps) + (crates."smallvec"."${deps."crossbeam_channel"."0.3.8"."smallvec"}" deps) + ]); + }; + features_.crossbeam_channel."0.3.8" = deps: f: updateFeatures f (rec { + crossbeam_channel."0.3.8".default = (f.crossbeam_channel."0.3.8".default or true); + crossbeam_utils."${deps.crossbeam_channel."0.3.8".crossbeam_utils}".default = true; + smallvec."${deps.crossbeam_channel."0.3.8".smallvec}".default = true; + }) [ + (features_.crossbeam_utils."${deps."crossbeam_channel"."0.3.8"."crossbeam_utils"}" deps) + (features_.smallvec."${deps."crossbeam_channel"."0.3.8"."smallvec"}" deps) + ]; + + +# end +# crossbeam-utils-0.6.5 + + crates.crossbeam_utils."0.6.5" = deps: { features?(features_.crossbeam_utils."0.6.5" deps {}) }: buildRustCrate { + crateName = "crossbeam-utils"; + version = "0.6.5"; + description = "Utilities for concurrent programming"; + authors = [ "The Crossbeam Project Developers" ]; + sha256 = "1z7wgcl9d22r2x6769r5945rnwf3jqfrrmb16q7kzk292r1d4rdg"; + dependencies = mapFeatures features ([ + (crates."cfg_if"."${deps."crossbeam_utils"."0.6.5"."cfg_if"}" deps) + ] + ++ (if features.crossbeam_utils."0.6.5".lazy_static or false then [ (crates.lazy_static."${deps."crossbeam_utils"."0.6.5".lazy_static}" deps) ] else [])); + features = mkFeatures (features."crossbeam_utils"."0.6.5" or {}); + }; + features_.crossbeam_utils."0.6.5" = deps: f: updateFeatures f (rec { + cfg_if."${deps.crossbeam_utils."0.6.5".cfg_if}".default = true; + crossbeam_utils = fold recursiveUpdate {} [ + { "0.6.5"."lazy_static" = + (f.crossbeam_utils."0.6.5"."lazy_static" or false) || + (f.crossbeam_utils."0.6.5".std or false) || + (crossbeam_utils."0.6.5"."std" or false); } + { "0.6.5"."std" = + (f.crossbeam_utils."0.6.5"."std" or false) || + (f.crossbeam_utils."0.6.5".default or false) || + (crossbeam_utils."0.6.5"."default" or false); } + { "0.6.5".default = (f.crossbeam_utils."0.6.5".default or true); } + ]; + lazy_static."${deps.crossbeam_utils."0.6.5".lazy_static}".default = true; + }) [ + (features_.cfg_if."${deps."crossbeam_utils"."0.6.5"."cfg_if"}" deps) + (features_.lazy_static."${deps."crossbeam_utils"."0.6.5"."lazy_static"}" deps) + ]; + + +# end +# crypto-hash-0.3.3 + + crates.crypto_hash."0.3.3" = deps: { features?(features_.crypto_hash."0.3.3" deps {}) }: buildRustCrate { + crateName = "crypto-hash"; + version = "0.3.3"; + description = "A wrapper for OS-level cryptographic hash functions"; + authors = [ "Mark Lee" ]; + sha256 = "0ybl3q06snf0p0w5c743yipf1gyhim2z0yqczgdhclfmzgj4gxqy"; + dependencies = mapFeatures features ([ + (crates."hex"."${deps."crypto_hash"."0.3.3"."hex"}" deps) + ]) + ++ (if kernel == "darwin" || kernel == "ios" then mapFeatures features ([ + (crates."commoncrypto"."${deps."crypto_hash"."0.3.3"."commoncrypto"}" deps) + ]) else []) + ++ (if !(kernel == "windows" || kernel == "darwin" || kernel == "ios") then mapFeatures features ([ + (crates."openssl"."${deps."crypto_hash"."0.3.3"."openssl"}" deps) + ]) else []) + ++ (if kernel == "windows" then mapFeatures features ([ + (crates."winapi"."${deps."crypto_hash"."0.3.3"."winapi"}" deps) + ]) else []); + }; + features_.crypto_hash."0.3.3" = deps: f: updateFeatures f (rec { + commoncrypto."${deps.crypto_hash."0.3.3".commoncrypto}".default = true; + crypto_hash."0.3.3".default = (f.crypto_hash."0.3.3".default or true); + hex."${deps.crypto_hash."0.3.3".hex}".default = true; + openssl."${deps.crypto_hash."0.3.3".openssl}".default = true; + winapi = fold recursiveUpdate {} [ + { "${deps.crypto_hash."0.3.3".winapi}"."minwindef" = true; } + { "${deps.crypto_hash."0.3.3".winapi}"."wincrypt" = true; } + { "${deps.crypto_hash."0.3.3".winapi}".default = true; } + ]; + }) [ + (features_.hex."${deps."crypto_hash"."0.3.3"."hex"}" deps) + (features_.commoncrypto."${deps."crypto_hash"."0.3.3"."commoncrypto"}" deps) + (features_.openssl."${deps."crypto_hash"."0.3.3"."openssl"}" deps) + (features_.winapi."${deps."crypto_hash"."0.3.3"."winapi"}" deps) + ]; + + +# end +# curl-0.4.21 + + crates.curl."0.4.21" = deps: { features?(features_.curl."0.4.21" deps {}) }: buildRustCrate { + crateName = "curl"; + version = "0.4.21"; + description = "Rust bindings to libcurl for making HTTP requests"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "1n13h0scc4s77ryf3w19n3myh4k1ls4bfxrx6y6ffvayjfnh13qy"; + dependencies = mapFeatures features ([ + (crates."curl_sys"."${deps."curl"."0.4.21"."curl_sys"}" deps) + (crates."libc"."${deps."curl"."0.4.21"."libc"}" deps) + (crates."socket2"."${deps."curl"."0.4.21"."socket2"}" deps) + ]) + ++ (if (kernel == "linux" || kernel == "darwin") && !(kernel == "darwin") then mapFeatures features ([ + ] + ++ (if features.curl."0.4.21".openssl-probe or false then [ (crates.openssl_probe."${deps."curl"."0.4.21".openssl_probe}" deps) ] else []) + ++ (if features.curl."0.4.21".openssl-sys or false then [ (crates.openssl_sys."${deps."curl"."0.4.21".openssl_sys}" deps) ] else [])) else []) + ++ (if abi == "msvc" then mapFeatures features ([ + (crates."kernel32_sys"."${deps."curl"."0.4.21"."kernel32_sys"}" deps) + (crates."schannel"."${deps."curl"."0.4.21"."schannel"}" deps) + ]) else []) + ++ (if kernel == "windows" then mapFeatures features ([ + (crates."winapi"."${deps."curl"."0.4.21"."winapi"}" deps) + ]) else []); + features = mkFeatures (features."curl"."0.4.21" or {}); + }; + features_.curl."0.4.21" = deps: f: updateFeatures f (rec { + curl = fold recursiveUpdate {} [ + { "0.4.21"."openssl-probe" = + (f.curl."0.4.21"."openssl-probe" or false) || + (f.curl."0.4.21".ssl or false) || + (curl."0.4.21"."ssl" or false); } + { "0.4.21"."openssl-sys" = + (f.curl."0.4.21"."openssl-sys" or false) || + (f.curl."0.4.21".ssl or false) || + (curl."0.4.21"."ssl" or false); } + { "0.4.21"."ssl" = + (f.curl."0.4.21"."ssl" or false) || + (f.curl."0.4.21".default or false) || + (curl."0.4.21"."default" or false); } + { "0.4.21".default = (f.curl."0.4.21".default or true); } + ]; + curl_sys = fold recursiveUpdate {} [ + { "${deps.curl."0.4.21".curl_sys}"."force-system-lib-on-osx" = + (f.curl_sys."${deps.curl."0.4.21".curl_sys}"."force-system-lib-on-osx" or false) || + (curl."0.4.21"."force-system-lib-on-osx" or false) || + (f."curl"."0.4.21"."force-system-lib-on-osx" or false); } + { "${deps.curl."0.4.21".curl_sys}"."http2" = + (f.curl_sys."${deps.curl."0.4.21".curl_sys}"."http2" or false) || + (curl."0.4.21"."http2" or false) || + (f."curl"."0.4.21"."http2" or false); } + { "${deps.curl."0.4.21".curl_sys}"."ssl" = + (f.curl_sys."${deps.curl."0.4.21".curl_sys}"."ssl" or false) || + (curl."0.4.21"."ssl" or false) || + (f."curl"."0.4.21"."ssl" or false); } + { "${deps.curl."0.4.21".curl_sys}"."static-curl" = + (f.curl_sys."${deps.curl."0.4.21".curl_sys}"."static-curl" or false) || + (curl."0.4.21"."static-curl" or false) || + (f."curl"."0.4.21"."static-curl" or false); } + { "${deps.curl."0.4.21".curl_sys}"."static-ssl" = + (f.curl_sys."${deps.curl."0.4.21".curl_sys}"."static-ssl" or false) || + (curl."0.4.21"."static-ssl" or false) || + (f."curl"."0.4.21"."static-ssl" or false); } + { "${deps.curl."0.4.21".curl_sys}".default = (f.curl_sys."${deps.curl."0.4.21".curl_sys}".default or false); } + ]; + kernel32_sys."${deps.curl."0.4.21".kernel32_sys}".default = true; + libc."${deps.curl."0.4.21".libc}".default = true; + openssl_probe."${deps.curl."0.4.21".openssl_probe}".default = true; + openssl_sys."${deps.curl."0.4.21".openssl_sys}".default = true; + schannel."${deps.curl."0.4.21".schannel}".default = true; + socket2."${deps.curl."0.4.21".socket2}".default = true; + winapi."${deps.curl."0.4.21".winapi}".default = true; + }) [ + (features_.curl_sys."${deps."curl"."0.4.21"."curl_sys"}" deps) + (features_.libc."${deps."curl"."0.4.21"."libc"}" deps) + (features_.socket2."${deps."curl"."0.4.21"."socket2"}" deps) + (features_.openssl_probe."${deps."curl"."0.4.21"."openssl_probe"}" deps) + (features_.openssl_sys."${deps."curl"."0.4.21"."openssl_sys"}" deps) + (features_.kernel32_sys."${deps."curl"."0.4.21"."kernel32_sys"}" deps) + (features_.schannel."${deps."curl"."0.4.21"."schannel"}" deps) + (features_.winapi."${deps."curl"."0.4.21"."winapi"}" deps) + ]; + + +# end +# curl-sys-0.4.18 + + crates.curl_sys."0.4.18" = deps: { features?(features_.curl_sys."0.4.18" deps {}) }: buildRustCrate { + crateName = "curl-sys"; + version = "0.4.18"; + description = "Native bindings to the libcurl library"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "1y9qglyirlxhp62gh5vlzpq67jw7cyccvsajvmj30dv1sn7cn3vk"; + libPath = "lib.rs"; + libName = "curl_sys"; + build = "build.rs"; + dependencies = mapFeatures features ([ + (crates."libc"."${deps."curl_sys"."0.4.18"."libc"}" deps) + (crates."libz_sys"."${deps."curl_sys"."0.4.18"."libz_sys"}" deps) + ] + ++ (if features.curl_sys."0.4.18".libnghttp2-sys or false then [ (crates.libnghttp2_sys."${deps."curl_sys"."0.4.18".libnghttp2_sys}" deps) ] else [])) + ++ (if (kernel == "linux" || kernel == "darwin") && !(kernel == "darwin") then mapFeatures features ([ + ] + ++ (if features.curl_sys."0.4.18".openssl-sys or false then [ (crates.openssl_sys."${deps."curl_sys"."0.4.18".openssl_sys}" deps) ] else [])) else []) + ++ (if abi == "msvc" then mapFeatures features ([ +]) else []) + ++ (if kernel == "windows" then mapFeatures features ([ + (crates."winapi"."${deps."curl_sys"."0.4.18"."winapi"}" deps) + ]) else []); + + buildDependencies = mapFeatures features ([ + (crates."cc"."${deps."curl_sys"."0.4.18"."cc"}" deps) + (crates."pkg_config"."${deps."curl_sys"."0.4.18"."pkg_config"}" deps) + ]); + features = mkFeatures (features."curl_sys"."0.4.18" or {}); + }; + features_.curl_sys."0.4.18" = deps: f: updateFeatures f (rec { + cc."${deps.curl_sys."0.4.18".cc}".default = true; + curl_sys = fold recursiveUpdate {} [ + { "0.4.18"."libnghttp2-sys" = + (f.curl_sys."0.4.18"."libnghttp2-sys" or false) || + (f.curl_sys."0.4.18".http2 or false) || + (curl_sys."0.4.18"."http2" or false); } + { "0.4.18"."openssl-sys" = + (f.curl_sys."0.4.18"."openssl-sys" or false) || + (f.curl_sys."0.4.18".ssl or false) || + (curl_sys."0.4.18"."ssl" or false); } + { "0.4.18"."ssl" = + (f.curl_sys."0.4.18"."ssl" or false) || + (f.curl_sys."0.4.18".default or false) || + (curl_sys."0.4.18"."default" or false); } + { "0.4.18".default = (f.curl_sys."0.4.18".default or true); } + ]; + libc."${deps.curl_sys."0.4.18".libc}".default = true; + libnghttp2_sys."${deps.curl_sys."0.4.18".libnghttp2_sys}".default = true; + libz_sys."${deps.curl_sys."0.4.18".libz_sys}".default = true; + openssl_sys."${deps.curl_sys."0.4.18".openssl_sys}".default = true; + pkg_config."${deps.curl_sys."0.4.18".pkg_config}".default = true; + winapi = fold recursiveUpdate {} [ + { "${deps.curl_sys."0.4.18".winapi}"."winsock2" = true; } + { "${deps.curl_sys."0.4.18".winapi}"."ws2def" = true; } + { "${deps.curl_sys."0.4.18".winapi}".default = true; } + ]; + }) [ + (features_.libc."${deps."curl_sys"."0.4.18"."libc"}" deps) + (features_.libnghttp2_sys."${deps."curl_sys"."0.4.18"."libnghttp2_sys"}" deps) + (features_.libz_sys."${deps."curl_sys"."0.4.18"."libz_sys"}" deps) + (features_.cc."${deps."curl_sys"."0.4.18"."cc"}" deps) + (features_.pkg_config."${deps."curl_sys"."0.4.18"."pkg_config"}" deps) + (features_.openssl_sys."${deps."curl_sys"."0.4.18"."openssl_sys"}" deps) + (features_.winapi."${deps."curl_sys"."0.4.18"."winapi"}" deps) + ]; + + +# end +# docopt-1.1.0 + + crates.docopt."1.1.0" = deps: { features?(features_.docopt."1.1.0" deps {}) }: buildRustCrate { + crateName = "docopt"; + version = "1.1.0"; + description = "Command line argument parsing."; + authors = [ "Andrew Gallant <jamslam@gmail.com>" ]; + edition = "2018"; + sha256 = "1xjvfw8398qcxwhdmak1bw2j6zn125ch24dmrmghv50vnlbb997x"; + crateBin = + [{ name = "docopt-wordlist"; path = "src/wordlist.rs"; }]; + dependencies = mapFeatures features ([ + (crates."lazy_static"."${deps."docopt"."1.1.0"."lazy_static"}" deps) + (crates."regex"."${deps."docopt"."1.1.0"."regex"}" deps) + (crates."serde"."${deps."docopt"."1.1.0"."serde"}" deps) + (crates."strsim"."${deps."docopt"."1.1.0"."strsim"}" deps) + ]); + }; + features_.docopt."1.1.0" = deps: f: updateFeatures f (rec { + docopt."1.1.0".default = (f.docopt."1.1.0".default or true); + lazy_static."${deps.docopt."1.1.0".lazy_static}".default = true; + regex."${deps.docopt."1.1.0".regex}".default = true; + serde = fold recursiveUpdate {} [ + { "${deps.docopt."1.1.0".serde}"."derive" = true; } + { "${deps.docopt."1.1.0".serde}".default = true; } + ]; + strsim."${deps.docopt."1.1.0".strsim}".default = true; + }) [ + (features_.lazy_static."${deps."docopt"."1.1.0"."lazy_static"}" deps) + (features_.regex."${deps."docopt"."1.1.0"."regex"}" deps) + (features_.serde."${deps."docopt"."1.1.0"."serde"}" deps) + (features_.strsim."${deps."docopt"."1.1.0"."strsim"}" deps) + ]; + + +# end +# either-1.5.2 + + crates.either."1.5.2" = deps: { features?(features_.either."1.5.2" deps {}) }: buildRustCrate { + crateName = "either"; + version = "1.5.2"; + description = "The enum `Either` with variants `Left` and `Right` is a general purpose sum type with two cases.\n"; + authors = [ "bluss" ]; + sha256 = "1zqq1057c51f53ga4p9l4dd8ax6md27h1xjrjp2plkvml5iymks5"; + dependencies = mapFeatures features ([ +]); + features = mkFeatures (features."either"."1.5.2" or {}); + }; + features_.either."1.5.2" = deps: f: updateFeatures f (rec { + either = fold recursiveUpdate {} [ + { "1.5.2"."use_std" = + (f.either."1.5.2"."use_std" or false) || + (f.either."1.5.2".default or false) || + (either."1.5.2"."default" or false); } + { "1.5.2".default = (f.either."1.5.2".default or true); } + ]; + }) []; + + +# end +# filetime-0.2.4 + + crates.filetime."0.2.4" = deps: { features?(features_.filetime."0.2.4" deps {}) }: buildRustCrate { + crateName = "filetime"; + version = "0.2.4"; + description = "Platform-agnostic accessors of timestamps in File metadata\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "1lsc0qjihr8y56rlzdcldzr0nbljm8qqi691msgwhy6wrkawwx5d"; + dependencies = mapFeatures features ([ + (crates."cfg_if"."${deps."filetime"."0.2.4"."cfg_if"}" deps) + ]) + ++ (if kernel == "redox" then mapFeatures features ([ + (crates."redox_syscall"."${deps."filetime"."0.2.4"."redox_syscall"}" deps) + ]) else []) + ++ (if (kernel == "linux" || kernel == "darwin") then mapFeatures features ([ + (crates."libc"."${deps."filetime"."0.2.4"."libc"}" deps) + ]) else []); + }; + features_.filetime."0.2.4" = deps: f: updateFeatures f (rec { + cfg_if."${deps.filetime."0.2.4".cfg_if}".default = true; + filetime."0.2.4".default = (f.filetime."0.2.4".default or true); + libc."${deps.filetime."0.2.4".libc}".default = true; + redox_syscall."${deps.filetime."0.2.4".redox_syscall}".default = true; + }) [ + (features_.cfg_if."${deps."filetime"."0.2.4"."cfg_if"}" deps) + (features_.redox_syscall."${deps."filetime"."0.2.4"."redox_syscall"}" deps) + (features_.libc."${deps."filetime"."0.2.4"."libc"}" deps) + ]; + + +# end +# flate2-1.0.7 + + crates.flate2."1.0.7" = deps: { features?(features_.flate2."1.0.7" deps {}) }: buildRustCrate { + crateName = "flate2"; + version = "1.0.7"; + description = "Bindings to miniz.c for DEFLATE compression and decompression exposed as\nReader/Writer streams. Contains bindings for zlib, deflate, and gzip-based\nstreams.\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "012vi948sap09hm1nmd228fqn7i5kp6wfb9zlz0m8ysq5if1s9mc"; + dependencies = mapFeatures features ([ + (crates."crc32fast"."${deps."flate2"."1.0.7"."crc32fast"}" deps) + (crates."libc"."${deps."flate2"."1.0.7"."libc"}" deps) + ] + ++ (if features.flate2."1.0.7".libz-sys or false then [ (crates.libz_sys."${deps."flate2"."1.0.7".libz_sys}" deps) ] else []) + ++ (if features.flate2."1.0.7".miniz-sys or false then [ (crates.miniz_sys."${deps."flate2"."1.0.7".miniz_sys}" deps) ] else []) + ++ (if features.flate2."1.0.7".miniz_oxide_c_api or false then [ (crates.miniz_oxide_c_api."${deps."flate2"."1.0.7".miniz_oxide_c_api}" deps) ] else [])) + ++ (if cpu == "wasm32" && !(kernel == "emscripten") then mapFeatures features ([ + (crates."miniz_oxide_c_api"."${deps."flate2"."1.0.7"."miniz_oxide_c_api"}" deps) + ]) else []); + features = mkFeatures (features."flate2"."1.0.7" or {}); + }; + features_.flate2."1.0.7" = deps: f: updateFeatures f (rec { + crc32fast."${deps.flate2."1.0.7".crc32fast}".default = true; + flate2 = fold recursiveUpdate {} [ + { "1.0.7"."futures" = + (f.flate2."1.0.7"."futures" or false) || + (f.flate2."1.0.7".tokio or false) || + (flate2."1.0.7"."tokio" or false); } + { "1.0.7"."libz-sys" = + (f.flate2."1.0.7"."libz-sys" or false) || + (f.flate2."1.0.7".zlib or false) || + (flate2."1.0.7"."zlib" or false); } + { "1.0.7"."miniz-sys" = + (f.flate2."1.0.7"."miniz-sys" or false) || + (f.flate2."1.0.7".default or false) || + (flate2."1.0.7"."default" or false); } + { "1.0.7"."miniz_oxide_c_api" = + (f.flate2."1.0.7"."miniz_oxide_c_api" or false) || + (f.flate2."1.0.7".rust_backend or false) || + (flate2."1.0.7"."rust_backend" or false); } + { "1.0.7"."tokio-io" = + (f.flate2."1.0.7"."tokio-io" or false) || + (f.flate2."1.0.7".tokio or false) || + (flate2."1.0.7"."tokio" or false); } + { "1.0.7".default = (f.flate2."1.0.7".default or true); } + ]; + libc."${deps.flate2."1.0.7".libc}".default = true; + libz_sys."${deps.flate2."1.0.7".libz_sys}".default = true; + miniz_oxide_c_api = fold recursiveUpdate {} [ + { "${deps.flate2."1.0.7".miniz_oxide_c_api}"."no_c_export" = + (f.miniz_oxide_c_api."${deps.flate2."1.0.7".miniz_oxide_c_api}"."no_c_export" or false) || + true || + true; } + { "${deps.flate2."1.0.7".miniz_oxide_c_api}".default = true; } + ]; + miniz_sys."${deps.flate2."1.0.7".miniz_sys}".default = true; + }) [ + (features_.crc32fast."${deps."flate2"."1.0.7"."crc32fast"}" deps) + (features_.libc."${deps."flate2"."1.0.7"."libc"}" deps) + (features_.libz_sys."${deps."flate2"."1.0.7"."libz_sys"}" deps) + (features_.miniz_sys."${deps."flate2"."1.0.7"."miniz_sys"}" deps) + (features_.miniz_oxide_c_api."${deps."flate2"."1.0.7"."miniz_oxide_c_api"}" deps) + (features_.miniz_oxide_c_api."${deps."flate2"."1.0.7"."miniz_oxide_c_api"}" deps) + ]; + + +# end +# fnv-1.0.6 + + crates.fnv."1.0.6" = deps: { features?(features_.fnv."1.0.6" deps {}) }: buildRustCrate { + crateName = "fnv"; + version = "1.0.6"; + description = "Fowler–Noll–Vo hash function"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "128mlh23y3gg6ag5h8iiqlcbl59smisdzraqy88ldrf75kbw27ip"; + libPath = "lib.rs"; + }; + features_.fnv."1.0.6" = deps: f: updateFeatures f (rec { + fnv."1.0.6".default = (f.fnv."1.0.6".default or true); + }) []; + + +# end +# foreign-types-0.3.2 + + crates.foreign_types."0.3.2" = deps: { features?(features_.foreign_types."0.3.2" deps {}) }: buildRustCrate { + crateName = "foreign-types"; + version = "0.3.2"; + description = "A framework for Rust wrappers over C APIs"; + authors = [ "Steven Fackler <sfackler@gmail.com>" ]; + sha256 = "105n8sp2djb1s5lzrw04p7ss3dchr5qa3canmynx396nh3vwm2p8"; + dependencies = mapFeatures features ([ + (crates."foreign_types_shared"."${deps."foreign_types"."0.3.2"."foreign_types_shared"}" deps) + ]); + }; + features_.foreign_types."0.3.2" = deps: f: updateFeatures f (rec { + foreign_types."0.3.2".default = (f.foreign_types."0.3.2".default or true); + foreign_types_shared."${deps.foreign_types."0.3.2".foreign_types_shared}".default = true; + }) [ + (features_.foreign_types_shared."${deps."foreign_types"."0.3.2"."foreign_types_shared"}" deps) + ]; + + +# end +# foreign-types-shared-0.1.1 + + crates.foreign_types_shared."0.1.1" = deps: { features?(features_.foreign_types_shared."0.1.1" deps {}) }: buildRustCrate { + crateName = "foreign-types-shared"; + version = "0.1.1"; + description = "An internal crate used by foreign-types"; + authors = [ "Steven Fackler <sfackler@gmail.com>" ]; + sha256 = "0b6cnvqbflws8dxywk4589vgbz80049lz4x1g9dfy4s1ppd3g4z5"; + }; + features_.foreign_types_shared."0.1.1" = deps: f: updateFeatures f (rec { + foreign_types_shared."0.1.1".default = (f.foreign_types_shared."0.1.1".default or true); + }) []; + + +# end +# fs2-0.4.3 + + crates.fs2."0.4.3" = deps: { features?(features_.fs2."0.4.3" deps {}) }: buildRustCrate { + crateName = "fs2"; + version = "0.4.3"; + description = "Cross-platform file locks and file duplication."; + authors = [ "Dan Burkert <dan@danburkert.com>" ]; + sha256 = "1crj36rhhpk3qby9yj7r77w7sld0mzab2yicmphbdkfymbmp3ldp"; + dependencies = (if (kernel == "linux" || kernel == "darwin") then mapFeatures features ([ + (crates."libc"."${deps."fs2"."0.4.3"."libc"}" deps) + ]) else []) + ++ (if kernel == "windows" then mapFeatures features ([ + (crates."winapi"."${deps."fs2"."0.4.3"."winapi"}" deps) + ]) else []); + }; + features_.fs2."0.4.3" = deps: f: updateFeatures f (rec { + fs2."0.4.3".default = (f.fs2."0.4.3".default or true); + libc."${deps.fs2."0.4.3".libc}".default = true; + winapi = fold recursiveUpdate {} [ + { "${deps.fs2."0.4.3".winapi}"."fileapi" = true; } + { "${deps.fs2."0.4.3".winapi}"."handleapi" = true; } + { "${deps.fs2."0.4.3".winapi}"."processthreadsapi" = true; } + { "${deps.fs2."0.4.3".winapi}"."std" = true; } + { "${deps.fs2."0.4.3".winapi}"."winbase" = true; } + { "${deps.fs2."0.4.3".winapi}"."winerror" = true; } + { "${deps.fs2."0.4.3".winapi}".default = true; } + ]; + }) [ + (features_.libc."${deps."fs2"."0.4.3"."libc"}" deps) + (features_.winapi."${deps."fs2"."0.4.3"."winapi"}" deps) + ]; + + +# end +# fwdansi-1.0.1 + + crates.fwdansi."1.0.1" = deps: { features?(features_.fwdansi."1.0.1" deps {}) }: buildRustCrate { + crateName = "fwdansi"; + version = "1.0.1"; + description = "Forwards a byte string with ANSI escape code to a termcolor terminal"; + authors = [ "kennytm <kennytm@gmail.com>" ]; + sha256 = "00mzclq1wx55p6x5xx4yhpj70vsrivk2w1wbzq8bnf6xnl2km0xn"; + dependencies = mapFeatures features ([ + (crates."memchr"."${deps."fwdansi"."1.0.1"."memchr"}" deps) + (crates."termcolor"."${deps."fwdansi"."1.0.1"."termcolor"}" deps) + ]); + }; + features_.fwdansi."1.0.1" = deps: f: updateFeatures f (rec { + fwdansi."1.0.1".default = (f.fwdansi."1.0.1".default or true); + memchr."${deps.fwdansi."1.0.1".memchr}".default = true; + termcolor."${deps.fwdansi."1.0.1".termcolor}".default = true; + }) [ + (features_.memchr."${deps."fwdansi"."1.0.1"."memchr"}" deps) + (features_.termcolor."${deps."fwdansi"."1.0.1"."termcolor"}" deps) + ]; + + +# end +# git2-0.8.0 + + crates.git2."0.8.0" = deps: { features?(features_.git2."0.8.0" deps {}) }: buildRustCrate { + crateName = "git2"; + version = "0.8.0"; + description = "Bindings to libgit2 for interoperating with git repositories. This library is\nboth threadsafe and memory safe and allows both reading and writing git\nrepositories.\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "0nkzglhq7lrdzv23jakygv6h5kks2mdr7xh73chnr7bqdc36mi43"; + dependencies = mapFeatures features ([ + (crates."bitflags"."${deps."git2"."0.8.0"."bitflags"}" deps) + (crates."libc"."${deps."git2"."0.8.0"."libc"}" deps) + (crates."libgit2_sys"."${deps."git2"."0.8.0"."libgit2_sys"}" deps) + (crates."log"."${deps."git2"."0.8.0"."log"}" deps) + (crates."url"."${deps."git2"."0.8.0"."url"}" deps) + ]) + ++ (if (kernel == "linux" || kernel == "darwin") && !(kernel == "darwin") then mapFeatures features ([ + ] + ++ (if features.git2."0.8.0".openssl-probe or false then [ (crates.openssl_probe."${deps."git2"."0.8.0".openssl_probe}" deps) ] else []) + ++ (if features.git2."0.8.0".openssl-sys or false then [ (crates.openssl_sys."${deps."git2"."0.8.0".openssl_sys}" deps) ] else [])) else []); + features = mkFeatures (features."git2"."0.8.0" or {}); + }; + features_.git2."0.8.0" = deps: f: updateFeatures f (rec { + bitflags."${deps.git2."0.8.0".bitflags}".default = true; + git2 = fold recursiveUpdate {} [ + { "0.8.0"."curl" = + (f.git2."0.8.0"."curl" or false) || + (f.git2."0.8.0".default or false) || + (git2."0.8.0"."default" or false); } + { "0.8.0"."https" = + (f.git2."0.8.0"."https" or false) || + (f.git2."0.8.0".default or false) || + (git2."0.8.0"."default" or false); } + { "0.8.0"."openssl-probe" = + (f.git2."0.8.0"."openssl-probe" or false) || + (f.git2."0.8.0".https or false) || + (git2."0.8.0"."https" or false); } + { "0.8.0"."openssl-sys" = + (f.git2."0.8.0"."openssl-sys" or false) || + (f.git2."0.8.0".https or false) || + (git2."0.8.0"."https" or false); } + { "0.8.0"."ssh" = + (f.git2."0.8.0"."ssh" or false) || + (f.git2."0.8.0".default or false) || + (git2."0.8.0"."default" or false); } + { "0.8.0"."ssh_key_from_memory" = + (f.git2."0.8.0"."ssh_key_from_memory" or false) || + (f.git2."0.8.0".default or false) || + (git2."0.8.0"."default" or false); } + { "0.8.0".default = (f.git2."0.8.0".default or true); } + ]; + libc."${deps.git2."0.8.0".libc}".default = true; + libgit2_sys = fold recursiveUpdate {} [ + { "${deps.git2."0.8.0".libgit2_sys}"."curl" = + (f.libgit2_sys."${deps.git2."0.8.0".libgit2_sys}"."curl" or false) || + (git2."0.8.0"."curl" or false) || + (f."git2"."0.8.0"."curl" or false); } + { "${deps.git2."0.8.0".libgit2_sys}"."https" = + (f.libgit2_sys."${deps.git2."0.8.0".libgit2_sys}"."https" or false) || + (git2."0.8.0"."https" or false) || + (f."git2"."0.8.0"."https" or false); } + { "${deps.git2."0.8.0".libgit2_sys}"."ssh" = + (f.libgit2_sys."${deps.git2."0.8.0".libgit2_sys}"."ssh" or false) || + (git2."0.8.0"."ssh" or false) || + (f."git2"."0.8.0"."ssh" or false); } + { "${deps.git2."0.8.0".libgit2_sys}"."ssh_key_from_memory" = + (f.libgit2_sys."${deps.git2."0.8.0".libgit2_sys}"."ssh_key_from_memory" or false) || + (git2."0.8.0"."ssh_key_from_memory" or false) || + (f."git2"."0.8.0"."ssh_key_from_memory" or false); } + { "${deps.git2."0.8.0".libgit2_sys}".default = true; } + ]; + log."${deps.git2."0.8.0".log}".default = true; + openssl_probe."${deps.git2."0.8.0".openssl_probe}".default = true; + openssl_sys."${deps.git2."0.8.0".openssl_sys}".default = true; + url."${deps.git2."0.8.0".url}".default = true; + }) [ + (features_.bitflags."${deps."git2"."0.8.0"."bitflags"}" deps) + (features_.libc."${deps."git2"."0.8.0"."libc"}" deps) + (features_.libgit2_sys."${deps."git2"."0.8.0"."libgit2_sys"}" deps) + (features_.log."${deps."git2"."0.8.0"."log"}" deps) + (features_.url."${deps."git2"."0.8.0"."url"}" deps) + (features_.openssl_probe."${deps."git2"."0.8.0"."openssl_probe"}" deps) + (features_.openssl_sys."${deps."git2"."0.8.0"."openssl_sys"}" deps) + ]; + + +# end +# git2-curl-0.9.0 + + crates.git2_curl."0.9.0" = deps: { features?(features_.git2_curl."0.9.0" deps {}) }: buildRustCrate { + crateName = "git2-curl"; + version = "0.9.0"; + description = "Backend for an HTTP transport in libgit2 powered by libcurl.\n\nIntended to be used with the git2 crate.\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "0m7bjx7pbrd7hiwwbazgigv9anici9jfwgzhp3q47smbwszdv2hh"; + dependencies = mapFeatures features ([ + (crates."curl"."${deps."git2_curl"."0.9.0"."curl"}" deps) + (crates."git2"."${deps."git2_curl"."0.9.0"."git2"}" deps) + (crates."log"."${deps."git2_curl"."0.9.0"."log"}" deps) + (crates."url"."${deps."git2_curl"."0.9.0"."url"}" deps) + ]); + }; + features_.git2_curl."0.9.0" = deps: f: updateFeatures f (rec { + curl."${deps.git2_curl."0.9.0".curl}".default = true; + git2."${deps.git2_curl."0.9.0".git2}".default = (f.git2."${deps.git2_curl."0.9.0".git2}".default or false); + git2_curl."0.9.0".default = (f.git2_curl."0.9.0".default or true); + log."${deps.git2_curl."0.9.0".log}".default = true; + url."${deps.git2_curl."0.9.0".url}".default = true; + }) [ + (features_.curl."${deps."git2_curl"."0.9.0"."curl"}" deps) + (features_.git2."${deps."git2_curl"."0.9.0"."git2"}" deps) + (features_.log."${deps."git2_curl"."0.9.0"."log"}" deps) + (features_.url."${deps."git2_curl"."0.9.0"."url"}" deps) + ]; + + +# end +# glob-0.2.11 + + crates.glob."0.2.11" = deps: { features?(features_.glob."0.2.11" deps {}) }: buildRustCrate { + crateName = "glob"; + version = "0.2.11"; + description = "Support for matching file paths against Unix shell style patterns.\n"; + authors = [ "The Rust Project Developers" ]; + sha256 = "104389jjxs8r2f5cc9p0axhjmndgln60ih5x4f00ccgg9d3zarlf"; + }; + features_.glob."0.2.11" = deps: f: updateFeatures f (rec { + glob."0.2.11".default = (f.glob."0.2.11".default or true); + }) []; + + +# end +# globset-0.4.3 + + crates.globset."0.4.3" = deps: { features?(features_.globset."0.4.3" deps {}) }: buildRustCrate { + crateName = "globset"; + version = "0.4.3"; + description = "Cross platform single glob and glob set matching. Glob set matching is the\nprocess of matching one or more glob patterns against a single candidate path\nsimultaneously, and returning all of the globs that matched.\n"; + authors = [ "Andrew Gallant <jamslam@gmail.com>" ]; + sha256 = "0vj99vw55mp7w44p1157f9c44q5lms6sn0mllhavwrwdn3iyfrij"; + dependencies = mapFeatures features ([ + (crates."aho_corasick"."${deps."globset"."0.4.3"."aho_corasick"}" deps) + (crates."bstr"."${deps."globset"."0.4.3"."bstr"}" deps) + (crates."fnv"."${deps."globset"."0.4.3"."fnv"}" deps) + (crates."log"."${deps."globset"."0.4.3"."log"}" deps) + (crates."regex"."${deps."globset"."0.4.3"."regex"}" deps) + ]); + features = mkFeatures (features."globset"."0.4.3" or {}); + }; + features_.globset."0.4.3" = deps: f: updateFeatures f (rec { + aho_corasick."${deps.globset."0.4.3".aho_corasick}".default = true; + bstr = fold recursiveUpdate {} [ + { "${deps.globset."0.4.3".bstr}"."std" = true; } + { "${deps.globset."0.4.3".bstr}".default = (f.bstr."${deps.globset."0.4.3".bstr}".default or false); } + ]; + fnv."${deps.globset."0.4.3".fnv}".default = true; + globset."0.4.3".default = (f.globset."0.4.3".default or true); + log."${deps.globset."0.4.3".log}".default = true; + regex."${deps.globset."0.4.3".regex}".default = true; + }) [ + (features_.aho_corasick."${deps."globset"."0.4.3"."aho_corasick"}" deps) + (features_.bstr."${deps."globset"."0.4.3"."bstr"}" deps) + (features_.fnv."${deps."globset"."0.4.3"."fnv"}" deps) + (features_.log."${deps."globset"."0.4.3"."log"}" deps) + (features_.regex."${deps."globset"."0.4.3"."regex"}" deps) + ]; + + +# end +# hashbrown-0.1.8 + + crates.hashbrown."0.1.8" = deps: { features?(features_.hashbrown."0.1.8" deps {}) }: buildRustCrate { + crateName = "hashbrown"; + version = "0.1.8"; + description = "A Rust port of Google's SwissTable hash map"; + authors = [ "Amanieu d'Antras <amanieu@gmail.com>" ]; + sha256 = "047fk80pg59cdn5lz4h2a514fmgmya896dvy3dqqviia52a27fzh"; + dependencies = mapFeatures features ([ + (crates."byteorder"."${deps."hashbrown"."0.1.8"."byteorder"}" deps) + (crates."scopeguard"."${deps."hashbrown"."0.1.8"."scopeguard"}" deps) + ]); + features = mkFeatures (features."hashbrown"."0.1.8" or {}); + }; + features_.hashbrown."0.1.8" = deps: f: updateFeatures f (rec { + byteorder."${deps.hashbrown."0.1.8".byteorder}".default = (f.byteorder."${deps.hashbrown."0.1.8".byteorder}".default or false); + hashbrown."0.1.8".default = (f.hashbrown."0.1.8".default or true); + scopeguard."${deps.hashbrown."0.1.8".scopeguard}".default = (f.scopeguard."${deps.hashbrown."0.1.8".scopeguard}".default or false); + }) [ + (features_.byteorder."${deps."hashbrown"."0.1.8"."byteorder"}" deps) + (features_.scopeguard."${deps."hashbrown"."0.1.8"."scopeguard"}" deps) + ]; + + +# end +# hex-0.3.2 + + crates.hex."0.3.2" = deps: { features?(features_.hex."0.3.2" deps {}) }: buildRustCrate { + crateName = "hex"; + version = "0.3.2"; + description = "Encoding and decoding data into/from hexadecimal representation."; + authors = [ "KokaKiwi <kokakiwi@kokakiwi.net>" ]; + sha256 = "0hs0xfb4x67y4ss9mmbjmibkwakbn3xf23i21m409bw2zqk9b6kz"; + features = mkFeatures (features."hex"."0.3.2" or {}); + }; + features_.hex."0.3.2" = deps: f: updateFeatures f (rec { + hex."0.3.2".default = (f.hex."0.3.2".default or true); + }) []; + + +# end +# home-0.3.4 + + crates.home."0.3.4" = deps: { features?(features_.home."0.3.4" deps {}) }: buildRustCrate { + crateName = "home"; + version = "0.3.4"; + description = "Shared definitions of home directories"; + authors = [ "Brian Anderson <andersrb@gmail.com>" ]; + sha256 = "19fbzvv74wqxqpdlz6ri1p270i8hp17h8njjj68k98sgrabkcr0n"; + dependencies = (if kernel == "windows" then mapFeatures features ([ + (crates."scopeguard"."${deps."home"."0.3.4"."scopeguard"}" deps) + (crates."winapi"."${deps."home"."0.3.4"."winapi"}" deps) + ]) else []); + }; + features_.home."0.3.4" = deps: f: updateFeatures f (rec { + home."0.3.4".default = (f.home."0.3.4".default or true); + scopeguard."${deps.home."0.3.4".scopeguard}".default = true; + winapi = fold recursiveUpdate {} [ + { "${deps.home."0.3.4".winapi}"."errhandlingapi" = true; } + { "${deps.home."0.3.4".winapi}"."handleapi" = true; } + { "${deps.home."0.3.4".winapi}"."processthreadsapi" = true; } + { "${deps.home."0.3.4".winapi}"."std" = true; } + { "${deps.home."0.3.4".winapi}"."userenv" = true; } + { "${deps.home."0.3.4".winapi}"."winerror" = true; } + { "${deps.home."0.3.4".winapi}"."winnt" = true; } + { "${deps.home."0.3.4".winapi}".default = true; } + ]; + }) [ + (features_.scopeguard."${deps."home"."0.3.4"."scopeguard"}" deps) + (features_.winapi."${deps."home"."0.3.4"."winapi"}" deps) + ]; + + +# end +# http-0.1.17 + + crates.http."0.1.17" = deps: { features?(features_.http."0.1.17" deps {}) }: buildRustCrate { + crateName = "http"; + version = "0.1.17"; + description = "A set of types for representing HTTP requests and responses.\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" "Carl Lerche <me@carllerche.com>" "Sean McArthur <sean@seanmonstar.com>" ]; + sha256 = "0q71wgggg1h5kjyg1gb4m70g3ian9qwrkx2b9wwvfyafrkmjpg9c"; + dependencies = mapFeatures features ([ + (crates."bytes"."${deps."http"."0.1.17"."bytes"}" deps) + (crates."fnv"."${deps."http"."0.1.17"."fnv"}" deps) + (crates."itoa"."${deps."http"."0.1.17"."itoa"}" deps) + ]); + }; + features_.http."0.1.17" = deps: f: updateFeatures f (rec { + bytes."${deps.http."0.1.17".bytes}".default = true; + fnv."${deps.http."0.1.17".fnv}".default = true; + http."0.1.17".default = (f.http."0.1.17".default or true); + itoa."${deps.http."0.1.17".itoa}".default = true; + }) [ + (features_.bytes."${deps."http"."0.1.17"."bytes"}" deps) + (features_.fnv."${deps."http"."0.1.17"."fnv"}" deps) + (features_.itoa."${deps."http"."0.1.17"."itoa"}" deps) + ]; + + +# end +# ignore-0.4.7 + + crates.ignore."0.4.7" = deps: { features?(features_.ignore."0.4.7" deps {}) }: buildRustCrate { + crateName = "ignore"; + version = "0.4.7"; + description = "A fast library for efficiently matching ignore files such as `.gitignore`\nagainst file paths.\n"; + authors = [ "Andrew Gallant <jamslam@gmail.com>" ]; + sha256 = "10ky0pnkzk6spa416sxvhcpc1nxq56n6mxkmhzy3ws57x9v75nkj"; + dependencies = mapFeatures features ([ + (crates."crossbeam_channel"."${deps."ignore"."0.4.7"."crossbeam_channel"}" deps) + (crates."globset"."${deps."ignore"."0.4.7"."globset"}" deps) + (crates."lazy_static"."${deps."ignore"."0.4.7"."lazy_static"}" deps) + (crates."log"."${deps."ignore"."0.4.7"."log"}" deps) + (crates."memchr"."${deps."ignore"."0.4.7"."memchr"}" deps) + (crates."regex"."${deps."ignore"."0.4.7"."regex"}" deps) + (crates."same_file"."${deps."ignore"."0.4.7"."same_file"}" deps) + (crates."thread_local"."${deps."ignore"."0.4.7"."thread_local"}" deps) + (crates."walkdir"."${deps."ignore"."0.4.7"."walkdir"}" deps) + ]) + ++ (if kernel == "windows" then mapFeatures features ([ + (crates."winapi_util"."${deps."ignore"."0.4.7"."winapi_util"}" deps) + ]) else []); + features = mkFeatures (features."ignore"."0.4.7" or {}); + }; + features_.ignore."0.4.7" = deps: f: updateFeatures f (rec { + crossbeam_channel."${deps.ignore."0.4.7".crossbeam_channel}".default = true; + globset = fold recursiveUpdate {} [ + { "${deps.ignore."0.4.7".globset}"."simd-accel" = + (f.globset."${deps.ignore."0.4.7".globset}"."simd-accel" or false) || + (ignore."0.4.7"."simd-accel" or false) || + (f."ignore"."0.4.7"."simd-accel" or false); } + { "${deps.ignore."0.4.7".globset}".default = true; } + ]; + ignore."0.4.7".default = (f.ignore."0.4.7".default or true); + lazy_static."${deps.ignore."0.4.7".lazy_static}".default = true; + log."${deps.ignore."0.4.7".log}".default = true; + memchr."${deps.ignore."0.4.7".memchr}".default = true; + regex."${deps.ignore."0.4.7".regex}".default = true; + same_file."${deps.ignore."0.4.7".same_file}".default = true; + thread_local."${deps.ignore."0.4.7".thread_local}".default = true; + walkdir."${deps.ignore."0.4.7".walkdir}".default = true; + winapi_util."${deps.ignore."0.4.7".winapi_util}".default = true; + }) [ + (features_.crossbeam_channel."${deps."ignore"."0.4.7"."crossbeam_channel"}" deps) + (features_.globset."${deps."ignore"."0.4.7"."globset"}" deps) + (features_.lazy_static."${deps."ignore"."0.4.7"."lazy_static"}" deps) + (features_.log."${deps."ignore"."0.4.7"."log"}" deps) + (features_.memchr."${deps."ignore"."0.4.7"."memchr"}" deps) + (features_.regex."${deps."ignore"."0.4.7"."regex"}" deps) + (features_.same_file."${deps."ignore"."0.4.7"."same_file"}" deps) + (features_.thread_local."${deps."ignore"."0.4.7"."thread_local"}" deps) + (features_.walkdir."${deps."ignore"."0.4.7"."walkdir"}" deps) + (features_.winapi_util."${deps."ignore"."0.4.7"."winapi_util"}" deps) + ]; + + +# end +# im-rc-12.3.4 + + crates.im_rc."12.3.4" = deps: { features?(features_.im_rc."12.3.4" deps {}) }: buildRustCrate { + crateName = "im-rc"; + version = "12.3.4"; + description = "Immutable collection datatypes (the fast but not thread safe version)"; + authors = [ "Bodil Stokke <bodil@bodil.org>" ]; + edition = "2018"; + sha256 = "0l53vjm7ycccb0lxj1zpgvlik5rpngnf9gggvgb3jbdv2jxjkdhz"; + libPath = "./src/lib.rs"; + build = "./build.rs"; + dependencies = mapFeatures features ([ + (crates."sized_chunks"."${deps."im_rc"."12.3.4"."sized_chunks"}" deps) + (crates."typenum"."${deps."im_rc"."12.3.4"."typenum"}" deps) + ]); + + buildDependencies = mapFeatures features ([ + (crates."rustc_version"."${deps."im_rc"."12.3.4"."rustc_version"}" deps) + ]); + }; + features_.im_rc."12.3.4" = deps: f: updateFeatures f (rec { + im_rc."12.3.4".default = (f.im_rc."12.3.4".default or true); + rustc_version."${deps.im_rc."12.3.4".rustc_version}".default = true; + sized_chunks."${deps.im_rc."12.3.4".sized_chunks}".default = true; + typenum."${deps.im_rc."12.3.4".typenum}".default = true; + }) [ + (features_.sized_chunks."${deps."im_rc"."12.3.4"."sized_chunks"}" deps) + (features_.typenum."${deps."im_rc"."12.3.4"."typenum"}" deps) + (features_.rustc_version."${deps."im_rc"."12.3.4"."rustc_version"}" deps) + ]; + + +# end +# iovec-0.1.2 + + crates.iovec."0.1.2" = deps: { features?(features_.iovec."0.1.2" deps {}) }: buildRustCrate { + crateName = "iovec"; + version = "0.1.2"; + description = "Portable buffer type for scatter/gather I/O operations\n"; + authors = [ "Carl Lerche <me@carllerche.com>" ]; + sha256 = "0vjymmb7wj4v4kza5jjn48fcdb85j3k37y7msjl3ifz0p9yiyp2r"; + dependencies = (if (kernel == "linux" || kernel == "darwin") then mapFeatures features ([ + (crates."libc"."${deps."iovec"."0.1.2"."libc"}" deps) + ]) else []) + ++ (if kernel == "windows" then mapFeatures features ([ + (crates."winapi"."${deps."iovec"."0.1.2"."winapi"}" deps) + ]) else []); + }; + features_.iovec."0.1.2" = deps: f: updateFeatures f (rec { + iovec."0.1.2".default = (f.iovec."0.1.2".default or true); + libc."${deps.iovec."0.1.2".libc}".default = true; + winapi."${deps.iovec."0.1.2".winapi}".default = true; + }) [ + (features_.libc."${deps."iovec"."0.1.2"."libc"}" deps) + (features_.winapi."${deps."iovec"."0.1.2"."winapi"}" deps) + ]; + + +# end +# itertools-0.7.11 + + crates.itertools."0.7.11" = deps: { features?(features_.itertools."0.7.11" deps {}) }: buildRustCrate { + crateName = "itertools"; + version = "0.7.11"; + description = "Extra iterator adaptors, iterator methods, free functions, and macros."; + authors = [ "bluss" ]; + sha256 = "0gavmkvn2c3cwfwk5zl5p7saiqn4ww227am5ykn6pgfm7c6ppz56"; + dependencies = mapFeatures features ([ + (crates."either"."${deps."itertools"."0.7.11"."either"}" deps) + ]); + features = mkFeatures (features."itertools"."0.7.11" or {}); + }; + features_.itertools."0.7.11" = deps: f: updateFeatures f (rec { + either."${deps.itertools."0.7.11".either}".default = (f.either."${deps.itertools."0.7.11".either}".default or false); + itertools = fold recursiveUpdate {} [ + { "0.7.11"."use_std" = + (f.itertools."0.7.11"."use_std" or false) || + (f.itertools."0.7.11".default or false) || + (itertools."0.7.11"."default" or false); } + { "0.7.11".default = (f.itertools."0.7.11".default or true); } + ]; + }) [ + (features_.either."${deps."itertools"."0.7.11"."either"}" deps) + ]; + + +# end +# jobserver-0.1.13 + + crates.jobserver."0.1.13" = deps: { features?(features_.jobserver."0.1.13" deps {}) }: buildRustCrate { + crateName = "jobserver"; + version = "0.1.13"; + description = "An implementation of the GNU make jobserver for Rust\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "01h08h0k9i7cvlnlw53jf398d03k5kxrs7m30xl7h9s5dlw0vi9i"; + dependencies = mapFeatures features ([ + (crates."log"."${deps."jobserver"."0.1.13"."log"}" deps) + ]) + ++ (if (kernel == "linux" || kernel == "darwin") then mapFeatures features ([ + (crates."libc"."${deps."jobserver"."0.1.13"."libc"}" deps) + ]) else []) + ++ (if kernel == "windows" then mapFeatures features ([ + (crates."rand"."${deps."jobserver"."0.1.13"."rand"}" deps) + ]) else []); + }; + features_.jobserver."0.1.13" = deps: f: updateFeatures f (rec { + jobserver."0.1.13".default = (f.jobserver."0.1.13".default or true); + libc."${deps.jobserver."0.1.13".libc}".default = true; + log."${deps.jobserver."0.1.13".log}".default = true; + rand."${deps.jobserver."0.1.13".rand}".default = true; + }) [ + (features_.log."${deps."jobserver"."0.1.13"."log"}" deps) + (features_.libc."${deps."jobserver"."0.1.13"."libc"}" deps) + (features_.rand."${deps."jobserver"."0.1.13"."rand"}" deps) + ]; + + +# end +# kernel32-sys-0.2.2 + + crates.kernel32_sys."0.2.2" = deps: { features?(features_.kernel32_sys."0.2.2" deps {}) }: buildRustCrate { + crateName = "kernel32-sys"; + version = "0.2.2"; + description = "Contains function definitions for the Windows API library kernel32. See winapi for types and constants."; + authors = [ "Peter Atashian <retep998@gmail.com>" ]; + sha256 = "1lrw1hbinyvr6cp28g60z97w32w8vsk6pahk64pmrv2fmby8srfj"; + libName = "kernel32"; + build = "build.rs"; + dependencies = mapFeatures features ([ + (crates."winapi"."${deps."kernel32_sys"."0.2.2"."winapi"}" deps) + ]); + + buildDependencies = mapFeatures features ([ + (crates."winapi_build"."${deps."kernel32_sys"."0.2.2"."winapi_build"}" deps) + ]); + }; + features_.kernel32_sys."0.2.2" = deps: f: updateFeatures f (rec { + kernel32_sys."0.2.2".default = (f.kernel32_sys."0.2.2".default or true); + winapi."${deps.kernel32_sys."0.2.2".winapi}".default = true; + winapi_build."${deps.kernel32_sys."0.2.2".winapi_build}".default = true; + }) [ + (features_.winapi."${deps."kernel32_sys"."0.2.2"."winapi"}" deps) + (features_.winapi_build."${deps."kernel32_sys"."0.2.2"."winapi_build"}" deps) + ]; + + +# end +# lazycell-1.2.1 + + crates.lazycell."1.2.1" = deps: { features?(features_.lazycell."1.2.1" deps {}) }: buildRustCrate { + crateName = "lazycell"; + version = "1.2.1"; + description = "A library providing a lazily filled Cell struct"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" "Nikita Pekin <contact@nikitapek.in>" ]; + sha256 = "1m4h2q9rgxrgc7xjnws1x81lrb68jll8w3pykx1a9bhr29q2mcwm"; + dependencies = mapFeatures features ([ +]); + features = mkFeatures (features."lazycell"."1.2.1" or {}); + }; + features_.lazycell."1.2.1" = deps: f: updateFeatures f (rec { + lazycell = fold recursiveUpdate {} [ + { "1.2.1"."clippy" = + (f.lazycell."1.2.1"."clippy" or false) || + (f.lazycell."1.2.1".nightly-testing or false) || + (lazycell."1.2.1"."nightly-testing" or false); } + { "1.2.1"."nightly" = + (f.lazycell."1.2.1"."nightly" or false) || + (f.lazycell."1.2.1".nightly-testing or false) || + (lazycell."1.2.1"."nightly-testing" or false); } + { "1.2.1".default = (f.lazycell."1.2.1".default or true); } + ]; + }) []; + + +# end +# libc-0.2.51 + + crates.libc."0.2.51" = deps: { features?(features_.libc."0.2.51" deps {}) }: buildRustCrate { + crateName = "libc"; + version = "0.2.51"; + description = "Raw FFI bindings to platform libraries like libc.\n"; + authors = [ "The Rust Project Developers" ]; + sha256 = "1lzavxj1ymm7vghs6nmzq9shprdlqby73py9k30gwvv0dwy365cv"; + build = "build.rs"; + dependencies = mapFeatures features ([ +]); + features = mkFeatures (features."libc"."0.2.51" or {}); + }; + features_.libc."0.2.51" = deps: f: updateFeatures f (rec { + libc = fold recursiveUpdate {} [ + { "0.2.51"."align" = + (f.libc."0.2.51"."align" or false) || + (f.libc."0.2.51".rustc-dep-of-std or false) || + (libc."0.2.51"."rustc-dep-of-std" or false); } + { "0.2.51"."rustc-std-workspace-core" = + (f.libc."0.2.51"."rustc-std-workspace-core" or false) || + (f.libc."0.2.51".rustc-dep-of-std or false) || + (libc."0.2.51"."rustc-dep-of-std" or false); } + { "0.2.51"."use_std" = + (f.libc."0.2.51"."use_std" or false) || + (f.libc."0.2.51".default or false) || + (libc."0.2.51"."default" or false); } + { "0.2.51".default = (f.libc."0.2.51".default or true); } + ]; + }) []; + + +# end +# libgit2-sys-0.7.11 + + crates.libgit2_sys."0.7.11" = deps: { features?(features_.libgit2_sys."0.7.11" deps {}) }: buildRustCrate { + crateName = "libgit2-sys"; + version = "0.7.11"; + description = "Native bindings to the libgit2 library"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "12wyfl7xl7lpz65s17j5rf9xfkn461792f67jqsz0ign3daaac9h"; + libPath = "lib.rs"; + libName = "libgit2_sys"; + build = "build.rs"; + dependencies = mapFeatures features ([ + (crates."libc"."${deps."libgit2_sys"."0.7.11"."libc"}" deps) + (crates."libz_sys"."${deps."libgit2_sys"."0.7.11"."libz_sys"}" deps) + ] + ++ (if features.libgit2_sys."0.7.11".curl-sys or false then [ (crates.curl_sys."${deps."libgit2_sys"."0.7.11".curl_sys}" deps) ] else []) + ++ (if features.libgit2_sys."0.7.11".libssh2-sys or false then [ (crates.libssh2_sys."${deps."libgit2_sys"."0.7.11".libssh2_sys}" deps) ] else [])) + ++ (if (kernel == "linux" || kernel == "darwin") then mapFeatures features ([ + ] + ++ (if features.libgit2_sys."0.7.11".openssl-sys or false then [ (crates.openssl_sys."${deps."libgit2_sys"."0.7.11".openssl_sys}" deps) ] else [])) else []); + + buildDependencies = mapFeatures features ([ + (crates."cc"."${deps."libgit2_sys"."0.7.11"."cc"}" deps) + (crates."pkg_config"."${deps."libgit2_sys"."0.7.11"."pkg_config"}" deps) + ]); + features = mkFeatures (features."libgit2_sys"."0.7.11" or {}); + }; + features_.libgit2_sys."0.7.11" = deps: f: updateFeatures f (rec { + cc."${deps.libgit2_sys."0.7.11".cc}".default = true; + curl_sys."${deps.libgit2_sys."0.7.11".curl_sys}".default = true; + libc."${deps.libgit2_sys."0.7.11".libc}".default = true; + libgit2_sys = fold recursiveUpdate {} [ + { "0.7.11"."curl-sys" = + (f.libgit2_sys."0.7.11"."curl-sys" or false) || + (f.libgit2_sys."0.7.11".curl or false) || + (libgit2_sys."0.7.11"."curl" or false); } + { "0.7.11"."libssh2-sys" = + (f.libgit2_sys."0.7.11"."libssh2-sys" or false) || + (f.libgit2_sys."0.7.11".ssh or false) || + (libgit2_sys."0.7.11"."ssh" or false); } + { "0.7.11"."openssl-sys" = + (f.libgit2_sys."0.7.11"."openssl-sys" or false) || + (f.libgit2_sys."0.7.11".https or false) || + (libgit2_sys."0.7.11"."https" or false); } + { "0.7.11".default = (f.libgit2_sys."0.7.11".default or true); } + ]; + libssh2_sys."${deps.libgit2_sys."0.7.11".libssh2_sys}".default = true; + libz_sys."${deps.libgit2_sys."0.7.11".libz_sys}".default = true; + openssl_sys."${deps.libgit2_sys."0.7.11".openssl_sys}".default = true; + pkg_config."${deps.libgit2_sys."0.7.11".pkg_config}".default = true; + }) [ + (features_.curl_sys."${deps."libgit2_sys"."0.7.11"."curl_sys"}" deps) + (features_.libc."${deps."libgit2_sys"."0.7.11"."libc"}" deps) + (features_.libssh2_sys."${deps."libgit2_sys"."0.7.11"."libssh2_sys"}" deps) + (features_.libz_sys."${deps."libgit2_sys"."0.7.11"."libz_sys"}" deps) + (features_.cc."${deps."libgit2_sys"."0.7.11"."cc"}" deps) + (features_.pkg_config."${deps."libgit2_sys"."0.7.11"."pkg_config"}" deps) + (features_.openssl_sys."${deps."libgit2_sys"."0.7.11"."openssl_sys"}" deps) + ]; + + +# end +# libnghttp2-sys-0.1.1 + + crates.libnghttp2_sys."0.1.1" = deps: { features?(features_.libnghttp2_sys."0.1.1" deps {}) }: buildRustCrate { + crateName = "libnghttp2-sys"; + version = "0.1.1"; + description = "FFI bindings for libnghttp2 (nghttp2)\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "08z41i7d8pm0jzv6p77kp22hh0a4psdy109n6nxr8x2k1ibjxk8w"; + dependencies = mapFeatures features ([ + (crates."libc"."${deps."libnghttp2_sys"."0.1.1"."libc"}" deps) + ]); + + buildDependencies = mapFeatures features ([ + (crates."cc"."${deps."libnghttp2_sys"."0.1.1"."cc"}" deps) + ]); + }; + features_.libnghttp2_sys."0.1.1" = deps: f: updateFeatures f (rec { + cc."${deps.libnghttp2_sys."0.1.1".cc}".default = true; + libc."${deps.libnghttp2_sys."0.1.1".libc}".default = true; + libnghttp2_sys."0.1.1".default = (f.libnghttp2_sys."0.1.1".default or true); + }) [ + (features_.libc."${deps."libnghttp2_sys"."0.1.1"."libc"}" deps) + (features_.cc."${deps."libnghttp2_sys"."0.1.1"."cc"}" deps) + ]; + + +# end +# libssh2-sys-0.2.11 + + crates.libssh2_sys."0.2.11" = deps: { features?(features_.libssh2_sys."0.2.11" deps {}) }: buildRustCrate { + crateName = "libssh2-sys"; + version = "0.2.11"; + description = "Native bindings to the libssh2 library"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "1mjily9qjjjf31pzvlxyqnp1midjc77s6sd303j46d14igna7nhi"; + libPath = "lib.rs"; + libName = "libssh2_sys"; + build = "build.rs"; + dependencies = mapFeatures features ([ + (crates."libc"."${deps."libssh2_sys"."0.2.11"."libc"}" deps) + (crates."libz_sys"."${deps."libssh2_sys"."0.2.11"."libz_sys"}" deps) + ]) + ++ (if abi == "msvc" then mapFeatures features ([ +]) else []) + ++ (if (kernel == "linux" || kernel == "darwin") then mapFeatures features ([ + (crates."openssl_sys"."${deps."libssh2_sys"."0.2.11"."openssl_sys"}" deps) + ]) else []); + + buildDependencies = mapFeatures features ([ + (crates."cc"."${deps."libssh2_sys"."0.2.11"."cc"}" deps) + (crates."pkg_config"."${deps."libssh2_sys"."0.2.11"."pkg_config"}" deps) + ]); + }; + features_.libssh2_sys."0.2.11" = deps: f: updateFeatures f (rec { + cc."${deps.libssh2_sys."0.2.11".cc}".default = true; + libc."${deps.libssh2_sys."0.2.11".libc}".default = true; + libssh2_sys."0.2.11".default = (f.libssh2_sys."0.2.11".default or true); + libz_sys."${deps.libssh2_sys."0.2.11".libz_sys}".default = true; + openssl_sys."${deps.libssh2_sys."0.2.11".openssl_sys}".default = true; + pkg_config."${deps.libssh2_sys."0.2.11".pkg_config}".default = true; + }) [ + (features_.libc."${deps."libssh2_sys"."0.2.11"."libc"}" deps) + (features_.libz_sys."${deps."libssh2_sys"."0.2.11"."libz_sys"}" deps) + (features_.cc."${deps."libssh2_sys"."0.2.11"."cc"}" deps) + (features_.pkg_config."${deps."libssh2_sys"."0.2.11"."pkg_config"}" deps) + (features_.openssl_sys."${deps."libssh2_sys"."0.2.11"."openssl_sys"}" deps) + ]; + + +# end +# libz-sys-1.0.25 + + crates.libz_sys."1.0.25" = deps: { features?(features_.libz_sys."1.0.25" deps {}) }: buildRustCrate { + crateName = "libz-sys"; + version = "1.0.25"; + description = "Bindings to the system libz library (also known as zlib).\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "195jzg8mgjbvmkbpx1rzkzrqm0g2fdivk79v44c9lzl64r3f9fym"; + build = "build.rs"; + dependencies = mapFeatures features ([ + (crates."libc"."${deps."libz_sys"."1.0.25"."libc"}" deps) + ]) + ++ (if abi == "msvc" then mapFeatures features ([ +]) else []); + + buildDependencies = mapFeatures features ([ + (crates."cc"."${deps."libz_sys"."1.0.25"."cc"}" deps) + (crates."pkg_config"."${deps."libz_sys"."1.0.25"."pkg_config"}" deps) + ]); + features = mkFeatures (features."libz_sys"."1.0.25" or {}); + }; + features_.libz_sys."1.0.25" = deps: f: updateFeatures f (rec { + cc."${deps.libz_sys."1.0.25".cc}".default = true; + libc."${deps.libz_sys."1.0.25".libc}".default = true; + libz_sys."1.0.25".default = (f.libz_sys."1.0.25".default or true); + pkg_config."${deps.libz_sys."1.0.25".pkg_config}".default = true; + }) [ + (features_.libc."${deps."libz_sys"."1.0.25"."libc"}" deps) + (features_.cc."${deps."libz_sys"."1.0.25"."cc"}" deps) + (features_.pkg_config."${deps."libz_sys"."1.0.25"."pkg_config"}" deps) + ]; + + +# end +# lock_api-0.1.5 + + crates.lock_api."0.1.5" = deps: { features?(features_.lock_api."0.1.5" deps {}) }: buildRustCrate { + crateName = "lock_api"; + version = "0.1.5"; + description = "Wrappers to create fully-featured Mutex and RwLock types. Compatible with no_std."; + authors = [ "Amanieu d'Antras <amanieu@gmail.com>" ]; + sha256 = "132sidr5hvjfkaqm3l95zpcpi8yk5ddd0g79zf1ad4v65sxirqqm"; + dependencies = mapFeatures features ([ + (crates."scopeguard"."${deps."lock_api"."0.1.5"."scopeguard"}" deps) + ]); + features = mkFeatures (features."lock_api"."0.1.5" or {}); + }; + features_.lock_api."0.1.5" = deps: f: updateFeatures f (rec { + lock_api."0.1.5".default = (f.lock_api."0.1.5".default or true); + scopeguard."${deps.lock_api."0.1.5".scopeguard}".default = (f.scopeguard."${deps.lock_api."0.1.5".scopeguard}".default or false); + }) [ + (features_.scopeguard."${deps."lock_api"."0.1.5"."scopeguard"}" deps) + ]; + + +# end +# matrixmultiply-0.1.15 + + crates.matrixmultiply."0.1.15" = deps: { features?(features_.matrixmultiply."0.1.15" deps {}) }: buildRustCrate { + crateName = "matrixmultiply"; + version = "0.1.15"; + description = "General matrix multiplication of f32 and f64 matrices in Rust. Supports matrices with general strides. Uses a microkernel strategy, so that the implementation is easy to parallelize and optimize. `RUSTFLAGS=\"-C target-cpu=native\"` is your friend here."; + authors = [ "bluss" ]; + sha256 = "0ix1i4lnkfqnzv8f9wr34bf0mlr1sx5hr7yr70k4npxmwxscvdj5"; + build = "build.rs"; + dependencies = mapFeatures features ([ + (crates."rawpointer"."${deps."matrixmultiply"."0.1.15"."rawpointer"}" deps) + ]); + }; + features_.matrixmultiply."0.1.15" = deps: f: updateFeatures f (rec { + matrixmultiply."0.1.15".default = (f.matrixmultiply."0.1.15".default or true); + rawpointer."${deps.matrixmultiply."0.1.15".rawpointer}".default = true; + }) [ + (features_.rawpointer."${deps."matrixmultiply"."0.1.15"."rawpointer"}" deps) + ]; + + +# end +# miniz-sys-0.1.11 + + crates.miniz_sys."0.1.11" = deps: { features?(features_.miniz_sys."0.1.11" deps {}) }: buildRustCrate { + crateName = "miniz-sys"; + version = "0.1.11"; + description = "Bindings to the miniz.c library.\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "0l2wsakqjj7kc06dwxlpz4h8wih0f9d1idrz5gb1svipvh81khsm"; + libPath = "lib.rs"; + libName = "miniz_sys"; + build = "build.rs"; + dependencies = mapFeatures features ([ + (crates."libc"."${deps."miniz_sys"."0.1.11"."libc"}" deps) + ]); + + buildDependencies = mapFeatures features ([ + (crates."cc"."${deps."miniz_sys"."0.1.11"."cc"}" deps) + ]); + }; + features_.miniz_sys."0.1.11" = deps: f: updateFeatures f (rec { + cc."${deps.miniz_sys."0.1.11".cc}".default = true; + libc."${deps.miniz_sys."0.1.11".libc}".default = true; + miniz_sys."0.1.11".default = (f.miniz_sys."0.1.11".default or true); + }) [ + (features_.libc."${deps."miniz_sys"."0.1.11"."libc"}" deps) + (features_.cc."${deps."miniz_sys"."0.1.11"."cc"}" deps) + ]; + + +# end +# miniz_oxide-0.2.1 + + crates.miniz_oxide."0.2.1" = deps: { features?(features_.miniz_oxide."0.2.1" deps {}) }: buildRustCrate { + crateName = "miniz_oxide"; + version = "0.2.1"; + description = "DEFLATE compression and decompression library rewritten in Rust based on miniz"; + authors = [ "Frommi <daniil.liferenko@gmail.com>" ]; + sha256 = "1ly14vlk0gq7czi1323l2dsy5y8dpvdwld4h9083i0y3hx9iyfdz"; + dependencies = mapFeatures features ([ + (crates."adler32"."${deps."miniz_oxide"."0.2.1"."adler32"}" deps) + ]); + }; + features_.miniz_oxide."0.2.1" = deps: f: updateFeatures f (rec { + adler32."${deps.miniz_oxide."0.2.1".adler32}".default = true; + miniz_oxide."0.2.1".default = (f.miniz_oxide."0.2.1".default or true); + }) [ + (features_.adler32."${deps."miniz_oxide"."0.2.1"."adler32"}" deps) + ]; + + +# end +# miniz_oxide_c_api-0.2.1 + + crates.miniz_oxide_c_api."0.2.1" = deps: { features?(features_.miniz_oxide_c_api."0.2.1" deps {}) }: buildRustCrate { + crateName = "miniz_oxide_c_api"; + version = "0.2.1"; + description = "DEFLATE compression and decompression API designed to be Rust drop-in replacement for miniz"; + authors = [ "Frommi <daniil.liferenko@gmail.com>" ]; + sha256 = "1zsk334nhy2rvyhbr0815l0gp6w40al6rxxafkycaafx3m9j8cj2"; + build = "src/build.rs"; + dependencies = mapFeatures features ([ + (crates."crc"."${deps."miniz_oxide_c_api"."0.2.1"."crc"}" deps) + (crates."libc"."${deps."miniz_oxide_c_api"."0.2.1"."libc"}" deps) + (crates."miniz_oxide"."${deps."miniz_oxide_c_api"."0.2.1"."miniz_oxide"}" deps) + ]); + + buildDependencies = mapFeatures features ([ + (crates."cc"."${deps."miniz_oxide_c_api"."0.2.1"."cc"}" deps) + ]); + features = mkFeatures (features."miniz_oxide_c_api"."0.2.1" or {}); + }; + features_.miniz_oxide_c_api."0.2.1" = deps: f: updateFeatures f (rec { + cc."${deps.miniz_oxide_c_api."0.2.1".cc}".default = true; + crc."${deps.miniz_oxide_c_api."0.2.1".crc}".default = true; + libc."${deps.miniz_oxide_c_api."0.2.1".libc}".default = true; + miniz_oxide."${deps.miniz_oxide_c_api."0.2.1".miniz_oxide}".default = true; + miniz_oxide_c_api = fold recursiveUpdate {} [ + { "0.2.1"."build_orig_miniz" = + (f.miniz_oxide_c_api."0.2.1"."build_orig_miniz" or false) || + (f.miniz_oxide_c_api."0.2.1".benching or false) || + (miniz_oxide_c_api."0.2.1"."benching" or false) || + (f.miniz_oxide_c_api."0.2.1".fuzzing or false) || + (miniz_oxide_c_api."0.2.1"."fuzzing" or false); } + { "0.2.1"."build_stub_miniz" = + (f.miniz_oxide_c_api."0.2.1"."build_stub_miniz" or false) || + (f.miniz_oxide_c_api."0.2.1".miniz_zip or false) || + (miniz_oxide_c_api."0.2.1"."miniz_zip" or false); } + { "0.2.1"."no_c_export" = + (f.miniz_oxide_c_api."0.2.1"."no_c_export" or false) || + (f.miniz_oxide_c_api."0.2.1".benching or false) || + (miniz_oxide_c_api."0.2.1"."benching" or false) || + (f.miniz_oxide_c_api."0.2.1".fuzzing or false) || + (miniz_oxide_c_api."0.2.1"."fuzzing" or false); } + { "0.2.1".default = (f.miniz_oxide_c_api."0.2.1".default or true); } + ]; + }) [ + (features_.crc."${deps."miniz_oxide_c_api"."0.2.1"."crc"}" deps) + (features_.libc."${deps."miniz_oxide_c_api"."0.2.1"."libc"}" deps) + (features_.miniz_oxide."${deps."miniz_oxide_c_api"."0.2.1"."miniz_oxide"}" deps) + (features_.cc."${deps."miniz_oxide_c_api"."0.2.1"."cc"}" deps) + ]; + + +# end +# miow-0.3.3 + + crates.miow."0.3.3" = deps: { features?(features_.miow."0.3.3" deps {}) }: buildRustCrate { + crateName = "miow"; + version = "0.3.3"; + description = "A zero overhead I/O library for Windows, focusing on IOCP and Async I/O\nabstractions.\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "1mlk5mn00cl6bmf8qlpc6r85dxf4l45vbkbzshsr1mrkb3hn1j57"; + dependencies = mapFeatures features ([ + (crates."socket2"."${deps."miow"."0.3.3"."socket2"}" deps) + (crates."winapi"."${deps."miow"."0.3.3"."winapi"}" deps) + ]); + }; + features_.miow."0.3.3" = deps: f: updateFeatures f (rec { + miow."0.3.3".default = (f.miow."0.3.3".default or true); + socket2."${deps.miow."0.3.3".socket2}".default = true; + winapi = fold recursiveUpdate {} [ + { "${deps.miow."0.3.3".winapi}"."fileapi" = true; } + { "${deps.miow."0.3.3".winapi}"."handleapi" = true; } + { "${deps.miow."0.3.3".winapi}"."ioapiset" = true; } + { "${deps.miow."0.3.3".winapi}"."minwindef" = true; } + { "${deps.miow."0.3.3".winapi}"."namedpipeapi" = true; } + { "${deps.miow."0.3.3".winapi}"."ntdef" = true; } + { "${deps.miow."0.3.3".winapi}"."std" = true; } + { "${deps.miow."0.3.3".winapi}"."synchapi" = true; } + { "${deps.miow."0.3.3".winapi}"."winerror" = true; } + { "${deps.miow."0.3.3".winapi}"."winsock2" = true; } + { "${deps.miow."0.3.3".winapi}"."ws2def" = true; } + { "${deps.miow."0.3.3".winapi}"."ws2ipdef" = true; } + { "${deps.miow."0.3.3".winapi}".default = true; } + ]; + }) [ + (features_.socket2."${deps."miow"."0.3.3"."socket2"}" deps) + (features_.winapi."${deps."miow"."0.3.3"."winapi"}" deps) + ]; + + +# end +# ndarray-0.12.1 + + crates.ndarray."0.12.1" = deps: { features?(features_.ndarray."0.12.1" deps {}) }: buildRustCrate { + crateName = "ndarray"; + version = "0.12.1"; + description = "An n-dimensional array for general elements and for numerics. Lightweight array views and slicing; views support chunking and splitting."; + authors = [ "bluss" "Jim Turner" ]; + sha256 = "13708k97kdjfj6g4z1yapjln0v4m7zj0114h8snw44fj79l00346"; + build = "build.rs"; + dependencies = mapFeatures features ([ + (crates."itertools"."${deps."ndarray"."0.12.1"."itertools"}" deps) + (crates."matrixmultiply"."${deps."ndarray"."0.12.1"."matrixmultiply"}" deps) + (crates."num_complex"."${deps."ndarray"."0.12.1"."num_complex"}" deps) + (crates."num_traits"."${deps."ndarray"."0.12.1"."num_traits"}" deps) + ]); + features = mkFeatures (features."ndarray"."0.12.1" or {}); + }; + features_.ndarray."0.12.1" = deps: f: updateFeatures f (rec { + itertools."${deps.ndarray."0.12.1".itertools}".default = (f.itertools."${deps.ndarray."0.12.1".itertools}".default or false); + matrixmultiply."${deps.ndarray."0.12.1".matrixmultiply}".default = true; + ndarray = fold recursiveUpdate {} [ + { "0.12.1"."blas" = + (f.ndarray."0.12.1"."blas" or false) || + (f.ndarray."0.12.1".test-blas-openblas-sys or false) || + (ndarray."0.12.1"."test-blas-openblas-sys" or false); } + { "0.12.1"."blas-src" = + (f.ndarray."0.12.1"."blas-src" or false) || + (f.ndarray."0.12.1".blas or false) || + (ndarray."0.12.1"."blas" or false); } + { "0.12.1"."cblas-sys" = + (f.ndarray."0.12.1"."cblas-sys" or false) || + (f.ndarray."0.12.1".blas or false) || + (ndarray."0.12.1"."blas" or false); } + { "0.12.1"."rustc-serialize" = + (f.ndarray."0.12.1"."rustc-serialize" or false) || + (f.ndarray."0.12.1".docs or false) || + (ndarray."0.12.1"."docs" or false); } + { "0.12.1"."serde" = + (f.ndarray."0.12.1"."serde" or false) || + (f.ndarray."0.12.1".serde-1 or false) || + (ndarray."0.12.1"."serde-1" or false); } + { "0.12.1"."serde-1" = + (f.ndarray."0.12.1"."serde-1" or false) || + (f.ndarray."0.12.1".docs or false) || + (ndarray."0.12.1"."docs" or false); } + { "0.12.1"."test-blas-openblas-sys" = + (f.ndarray."0.12.1"."test-blas-openblas-sys" or false) || + (f.ndarray."0.12.1".test or false) || + (ndarray."0.12.1"."test" or false); } + { "0.12.1".default = (f.ndarray."0.12.1".default or true); } + ]; + num_complex."${deps.ndarray."0.12.1".num_complex}".default = true; + num_traits."${deps.ndarray."0.12.1".num_traits}".default = true; + }) [ + (features_.itertools."${deps."ndarray"."0.12.1"."itertools"}" deps) + (features_.matrixmultiply."${deps."ndarray"."0.12.1"."matrixmultiply"}" deps) + (features_.num_complex."${deps."ndarray"."0.12.1"."num_complex"}" deps) + (features_.num_traits."${deps."ndarray"."0.12.1"."num_traits"}" deps) + ]; + + +# end +# num-complex-0.2.1 + + crates.num_complex."0.2.1" = deps: { features?(features_.num_complex."0.2.1" deps {}) }: buildRustCrate { + crateName = "num-complex"; + version = "0.2.1"; + description = "Complex numbers implementation for Rust"; + authors = [ "The Rust Project Developers" ]; + sha256 = "12lpp62ahc80p33cpw2771l8bwl0q13rl5vq0jzkqib1l5z8q80z"; + build = "build.rs"; + dependencies = mapFeatures features ([ + (crates."num_traits"."${deps."num_complex"."0.2.1"."num_traits"}" deps) + ]); + features = mkFeatures (features."num_complex"."0.2.1" or {}); + }; + features_.num_complex."0.2.1" = deps: f: updateFeatures f (rec { + num_complex = fold recursiveUpdate {} [ + { "0.2.1"."std" = + (f.num_complex."0.2.1"."std" or false) || + (f.num_complex."0.2.1".default or false) || + (num_complex."0.2.1"."default" or false); } + { "0.2.1".default = (f.num_complex."0.2.1".default or true); } + ]; + num_traits = fold recursiveUpdate {} [ + { "${deps.num_complex."0.2.1".num_traits}"."i128" = + (f.num_traits."${deps.num_complex."0.2.1".num_traits}"."i128" or false) || + (num_complex."0.2.1"."i128" or false) || + (f."num_complex"."0.2.1"."i128" or false); } + { "${deps.num_complex."0.2.1".num_traits}"."std" = + (f.num_traits."${deps.num_complex."0.2.1".num_traits}"."std" or false) || + (num_complex."0.2.1"."std" or false) || + (f."num_complex"."0.2.1"."std" or false); } + { "${deps.num_complex."0.2.1".num_traits}".default = (f.num_traits."${deps.num_complex."0.2.1".num_traits}".default or false); } + ]; + }) [ + (features_.num_traits."${deps."num_complex"."0.2.1"."num_traits"}" deps) + ]; + + +# end +# num-traits-0.2.6 + + crates.num_traits."0.2.6" = deps: { features?(features_.num_traits."0.2.6" deps {}) }: buildRustCrate { + crateName = "num-traits"; + version = "0.2.6"; + description = "Numeric traits for generic mathematics"; + authors = [ "The Rust Project Developers" ]; + sha256 = "1d20sil9n0wgznd1nycm3yjfj1mzyl41ambb7by1apxlyiil1azk"; + build = "build.rs"; + features = mkFeatures (features."num_traits"."0.2.6" or {}); + }; + features_.num_traits."0.2.6" = deps: f: updateFeatures f (rec { + num_traits = fold recursiveUpdate {} [ + { "0.2.6"."std" = + (f.num_traits."0.2.6"."std" or false) || + (f.num_traits."0.2.6".default or false) || + (num_traits."0.2.6"."default" or false); } + { "0.2.6".default = (f.num_traits."0.2.6".default or true); } + ]; + }) []; + + +# end +# num_cpus-1.10.0 + + crates.num_cpus."1.10.0" = deps: { features?(features_.num_cpus."1.10.0" deps {}) }: buildRustCrate { + crateName = "num_cpus"; + version = "1.10.0"; + description = "Get the number of CPUs on a machine."; + authors = [ "Sean McArthur <sean@seanmonstar.com>" ]; + sha256 = "1411jyxy1wd8d59mv7cf6ynkvvar92czmwhb9l2c1brdkxbbiqn7"; + dependencies = mapFeatures features ([ + (crates."libc"."${deps."num_cpus"."1.10.0"."libc"}" deps) + ]); + }; + features_.num_cpus."1.10.0" = deps: f: updateFeatures f (rec { + libc."${deps.num_cpus."1.10.0".libc}".default = true; + num_cpus."1.10.0".default = (f.num_cpus."1.10.0".default or true); + }) [ + (features_.libc."${deps."num_cpus"."1.10.0"."libc"}" deps) + ]; + + +# end +# once_cell-0.1.8 + + crates.once_cell."0.1.8" = deps: { features?(features_.once_cell."0.1.8" deps {}) }: buildRustCrate { + crateName = "once_cell"; + version = "0.1.8"; + description = "Single assignment cells and lazy static values without macros."; + authors = [ "Aleksey Kladov <aleksey.kladov@gmail.com>" ]; + sha256 = "1n1da1x3cf3qbq9a925pimy6i0r12gcicwqjxc63nfb2bnzkg074"; + dependencies = mapFeatures features ([ + ] + ++ (if features.once_cell."0.1.8".parking_lot or false then [ (crates.parking_lot."${deps."once_cell"."0.1.8".parking_lot}" deps) ] else [])); + features = mkFeatures (features."once_cell"."0.1.8" or {}); + }; + features_.once_cell."0.1.8" = deps: f: updateFeatures f (rec { + once_cell = fold recursiveUpdate {} [ + { "0.1.8"."parking_lot" = + (f.once_cell."0.1.8"."parking_lot" or false) || + (f.once_cell."0.1.8".default or false) || + (once_cell."0.1.8"."default" or false); } + { "0.1.8".default = (f.once_cell."0.1.8".default or true); } + ]; + parking_lot."${deps.once_cell."0.1.8".parking_lot}".default = true; + }) [ + (features_.parking_lot."${deps."once_cell"."0.1.8"."parking_lot"}" deps) + ]; + + +# end +# opener-0.3.2 + + crates.opener."0.3.2" = deps: { features?(features_.opener."0.3.2" deps {}) }: buildRustCrate { + crateName = "opener"; + version = "0.3.2"; + description = "Open a file or link using the system default program."; + authors = [ "Brian Bowman <seeker14491@gmail.com>" ]; + sha256 = "1ql2snax07n3xxn4nz9r6d95rhrri66qy5s5zl9jfsdbs193hzcm"; + dependencies = mapFeatures features ([ + (crates."failure"."${deps."opener"."0.3.2"."failure"}" deps) + (crates."failure_derive"."${deps."opener"."0.3.2"."failure_derive"}" deps) + ]) + ++ (if kernel == "windows" then mapFeatures features ([ + (crates."winapi"."${deps."opener"."0.3.2"."winapi"}" deps) + ]) else []); + }; + features_.opener."0.3.2" = deps: f: updateFeatures f (rec { + failure."${deps.opener."0.3.2".failure}".default = true; + failure_derive."${deps.opener."0.3.2".failure_derive}".default = true; + opener."0.3.2".default = (f.opener."0.3.2".default or true); + winapi = fold recursiveUpdate {} [ + { "${deps.opener."0.3.2".winapi}"."shellapi" = true; } + { "${deps.opener."0.3.2".winapi}".default = true; } + ]; + }) [ + (features_.failure."${deps."opener"."0.3.2"."failure"}" deps) + (features_.failure_derive."${deps."opener"."0.3.2"."failure_derive"}" deps) + (features_.winapi."${deps."opener"."0.3.2"."winapi"}" deps) + ]; + + +# end +# openssl-0.10.20 + + crates.openssl."0.10.20" = deps: { features?(features_.openssl."0.10.20" deps {}) }: buildRustCrate { + crateName = "openssl"; + version = "0.10.20"; + description = "OpenSSL bindings"; + authors = [ "Steven Fackler <sfackler@gmail.com>" ]; + sha256 = "1y3zkq988vx48a4j0i23mr7vm1wy5w71yws2v6hyf4vb5iw3r5s5"; + dependencies = mapFeatures features ([ + (crates."bitflags"."${deps."openssl"."0.10.20"."bitflags"}" deps) + (crates."cfg_if"."${deps."openssl"."0.10.20"."cfg_if"}" deps) + (crates."foreign_types"."${deps."openssl"."0.10.20"."foreign_types"}" deps) + (crates."lazy_static"."${deps."openssl"."0.10.20"."lazy_static"}" deps) + (crates."libc"."${deps."openssl"."0.10.20"."libc"}" deps) + (crates."openssl_sys"."${deps."openssl"."0.10.20"."openssl_sys"}" deps) + ]); + features = mkFeatures (features."openssl"."0.10.20" or {}); + }; + features_.openssl."0.10.20" = deps: f: updateFeatures f (rec { + bitflags."${deps.openssl."0.10.20".bitflags}".default = true; + cfg_if."${deps.openssl."0.10.20".cfg_if}".default = true; + foreign_types."${deps.openssl."0.10.20".foreign_types}".default = true; + lazy_static."${deps.openssl."0.10.20".lazy_static}".default = true; + libc."${deps.openssl."0.10.20".libc}".default = true; + openssl."0.10.20".default = (f.openssl."0.10.20".default or true); + openssl_sys = fold recursiveUpdate {} [ + { "${deps.openssl."0.10.20".openssl_sys}"."vendored" = + (f.openssl_sys."${deps.openssl."0.10.20".openssl_sys}"."vendored" or false) || + (openssl."0.10.20"."vendored" or false) || + (f."openssl"."0.10.20"."vendored" or false); } + { "${deps.openssl."0.10.20".openssl_sys}".default = true; } + ]; + }) [ + (features_.bitflags."${deps."openssl"."0.10.20"."bitflags"}" deps) + (features_.cfg_if."${deps."openssl"."0.10.20"."cfg_if"}" deps) + (features_.foreign_types."${deps."openssl"."0.10.20"."foreign_types"}" deps) + (features_.lazy_static."${deps."openssl"."0.10.20"."lazy_static"}" deps) + (features_.libc."${deps."openssl"."0.10.20"."libc"}" deps) + (features_.openssl_sys."${deps."openssl"."0.10.20"."openssl_sys"}" deps) + ]; + + +# end +# openssl-probe-0.1.2 + + crates.openssl_probe."0.1.2" = deps: { features?(features_.openssl_probe."0.1.2" deps {}) }: buildRustCrate { + crateName = "openssl-probe"; + version = "0.1.2"; + description = "Tool for helping to find SSL certificate locations on the system for OpenSSL\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "1a89fznx26vvaxyrxdvgf6iwai5xvs6xjvpjin68fgvrslv6n15a"; + }; + features_.openssl_probe."0.1.2" = deps: f: updateFeatures f (rec { + openssl_probe."0.1.2".default = (f.openssl_probe."0.1.2".default or true); + }) []; + + +# end +# openssl-src-111.2.1+1.1.1b + + crates.openssl_src."111.2.1+1.1.1b" = deps: { features?(features_.openssl_src."111.2.1+1.1.1b" deps {}) }: buildRustCrate { + crateName = "openssl-src"; + version = "111.2.1+1.1.1b"; + description = "Source of OpenSSL and logic to build it.\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "0gfa29r16ds88a3sjcgkc2q5dkhgnxk58gly313r05xqj4zi2pxc"; + dependencies = mapFeatures features ([ + (crates."cc"."${deps."openssl_src"."111.2.1+1.1.1b"."cc"}" deps) + ]); + }; + features_.openssl_src."111.2.1+1.1.1b" = deps: f: updateFeatures f (rec { + cc."${deps.openssl_src."111.2.1+1.1.1b".cc}".default = true; + openssl_src."111.2.1+1.1.1b".default = (f.openssl_src."111.2.1+1.1.1b".default or true); + }) [ + (features_.cc."${deps."openssl_src"."111.2.1+1.1.1b"."cc"}" deps) + ]; + + +# end +# openssl-sys-0.9.43 + + crates.openssl_sys."0.9.43" = deps: { features?(features_.openssl_sys."0.9.43" deps {}) }: buildRustCrate { + crateName = "openssl-sys"; + version = "0.9.43"; + description = "FFI bindings to OpenSSL"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" "Steven Fackler <sfackler@gmail.com>" ]; + sha256 = "1ip0f94jakr85pxjhrkg9w9lgiiy1yga3ckm6c8xb13klsr7ky9y"; + build = "build/main.rs"; + dependencies = mapFeatures features ([ + (crates."libc"."${deps."openssl_sys"."0.9.43"."libc"}" deps) + ]) + ++ (if abi == "msvc" then mapFeatures features ([ +]) else []); + + buildDependencies = mapFeatures features ([ + (crates."cc"."${deps."openssl_sys"."0.9.43"."cc"}" deps) + (crates."pkg_config"."${deps."openssl_sys"."0.9.43"."pkg_config"}" deps) + (crates."rustc_version"."${deps."openssl_sys"."0.9.43"."rustc_version"}" deps) + ] + ++ (if features.openssl_sys."0.9.43".openssl-src or false then [ (crates.openssl_src."${deps."openssl_sys"."0.9.43".openssl_src}" deps) ] else [])); + features = mkFeatures (features."openssl_sys"."0.9.43" or {}); + }; + features_.openssl_sys."0.9.43" = deps: f: updateFeatures f (rec { + cc."${deps.openssl_sys."0.9.43".cc}".default = true; + libc."${deps.openssl_sys."0.9.43".libc}".default = true; + openssl_src."${deps.openssl_sys."0.9.43".openssl_src}".default = true; + openssl_sys = fold recursiveUpdate {} [ + { "0.9.43"."openssl-src" = + (f.openssl_sys."0.9.43"."openssl-src" or false) || + (f.openssl_sys."0.9.43".vendored or false) || + (openssl_sys."0.9.43"."vendored" or false); } + { "0.9.43".default = (f.openssl_sys."0.9.43".default or true); } + ]; + pkg_config."${deps.openssl_sys."0.9.43".pkg_config}".default = true; + rustc_version."${deps.openssl_sys."0.9.43".rustc_version}".default = true; + }) [ + (features_.libc."${deps."openssl_sys"."0.9.43"."libc"}" deps) + (features_.cc."${deps."openssl_sys"."0.9.43"."cc"}" deps) + (features_.openssl_src."${deps."openssl_sys"."0.9.43"."openssl_src"}" deps) + (features_.pkg_config."${deps."openssl_sys"."0.9.43"."pkg_config"}" deps) + (features_.rustc_version."${deps."openssl_sys"."0.9.43"."rustc_version"}" deps) + ]; + + +# end +# parking_lot-0.7.1 + + crates.parking_lot."0.7.1" = deps: { features?(features_.parking_lot."0.7.1" deps {}) }: buildRustCrate { + crateName = "parking_lot"; + version = "0.7.1"; + description = "More compact and efficient implementations of the standard synchronization primitives."; + authors = [ "Amanieu d'Antras <amanieu@gmail.com>" ]; + sha256 = "1qpb49xd176hqqabxdb48f1hvylfbf68rpz8yfrhw0x68ys0lkq1"; + dependencies = mapFeatures features ([ + (crates."lock_api"."${deps."parking_lot"."0.7.1"."lock_api"}" deps) + (crates."parking_lot_core"."${deps."parking_lot"."0.7.1"."parking_lot_core"}" deps) + ]); + features = mkFeatures (features."parking_lot"."0.7.1" or {}); + }; + features_.parking_lot."0.7.1" = deps: f: updateFeatures f (rec { + lock_api = fold recursiveUpdate {} [ + { "${deps.parking_lot."0.7.1".lock_api}"."nightly" = + (f.lock_api."${deps.parking_lot."0.7.1".lock_api}"."nightly" or false) || + (parking_lot."0.7.1"."nightly" or false) || + (f."parking_lot"."0.7.1"."nightly" or false); } + { "${deps.parking_lot."0.7.1".lock_api}"."owning_ref" = + (f.lock_api."${deps.parking_lot."0.7.1".lock_api}"."owning_ref" or false) || + (parking_lot."0.7.1"."owning_ref" or false) || + (f."parking_lot"."0.7.1"."owning_ref" or false); } + { "${deps.parking_lot."0.7.1".lock_api}".default = true; } + ]; + parking_lot = fold recursiveUpdate {} [ + { "0.7.1"."owning_ref" = + (f.parking_lot."0.7.1"."owning_ref" or false) || + (f.parking_lot."0.7.1".default or false) || + (parking_lot."0.7.1"."default" or false); } + { "0.7.1".default = (f.parking_lot."0.7.1".default or true); } + ]; + parking_lot_core = fold recursiveUpdate {} [ + { "${deps.parking_lot."0.7.1".parking_lot_core}"."deadlock_detection" = + (f.parking_lot_core."${deps.parking_lot."0.7.1".parking_lot_core}"."deadlock_detection" or false) || + (parking_lot."0.7.1"."deadlock_detection" or false) || + (f."parking_lot"."0.7.1"."deadlock_detection" or false); } + { "${deps.parking_lot."0.7.1".parking_lot_core}"."nightly" = + (f.parking_lot_core."${deps.parking_lot."0.7.1".parking_lot_core}"."nightly" or false) || + (parking_lot."0.7.1"."nightly" or false) || + (f."parking_lot"."0.7.1"."nightly" or false); } + { "${deps.parking_lot."0.7.1".parking_lot_core}".default = true; } + ]; + }) [ + (features_.lock_api."${deps."parking_lot"."0.7.1"."lock_api"}" deps) + (features_.parking_lot_core."${deps."parking_lot"."0.7.1"."parking_lot_core"}" deps) + ]; + + +# end +# parking_lot_core-0.4.0 + + crates.parking_lot_core."0.4.0" = deps: { features?(features_.parking_lot_core."0.4.0" deps {}) }: buildRustCrate { + crateName = "parking_lot_core"; + version = "0.4.0"; + description = "An advanced API for creating custom synchronization primitives."; + authors = [ "Amanieu d'Antras <amanieu@gmail.com>" ]; + sha256 = "1mzk5i240ddvhwnz65hhjk4cq61z235g1n8bd7al4mg6vx437c16"; + dependencies = mapFeatures features ([ + (crates."rand"."${deps."parking_lot_core"."0.4.0"."rand"}" deps) + (crates."smallvec"."${deps."parking_lot_core"."0.4.0"."smallvec"}" deps) + ]) + ++ (if (kernel == "linux" || kernel == "darwin") then mapFeatures features ([ + (crates."libc"."${deps."parking_lot_core"."0.4.0"."libc"}" deps) + ]) else []) + ++ (if kernel == "windows" then mapFeatures features ([ + (crates."winapi"."${deps."parking_lot_core"."0.4.0"."winapi"}" deps) + ]) else []); + + buildDependencies = mapFeatures features ([ + (crates."rustc_version"."${deps."parking_lot_core"."0.4.0"."rustc_version"}" deps) + ]); + features = mkFeatures (features."parking_lot_core"."0.4.0" or {}); + }; + features_.parking_lot_core."0.4.0" = deps: f: updateFeatures f (rec { + libc."${deps.parking_lot_core."0.4.0".libc}".default = true; + parking_lot_core = fold recursiveUpdate {} [ + { "0.4.0"."backtrace" = + (f.parking_lot_core."0.4.0"."backtrace" or false) || + (f.parking_lot_core."0.4.0".deadlock_detection or false) || + (parking_lot_core."0.4.0"."deadlock_detection" or false); } + { "0.4.0"."petgraph" = + (f.parking_lot_core."0.4.0"."petgraph" or false) || + (f.parking_lot_core."0.4.0".deadlock_detection or false) || + (parking_lot_core."0.4.0"."deadlock_detection" or false); } + { "0.4.0"."thread-id" = + (f.parking_lot_core."0.4.0"."thread-id" or false) || + (f.parking_lot_core."0.4.0".deadlock_detection or false) || + (parking_lot_core."0.4.0"."deadlock_detection" or false); } + { "0.4.0".default = (f.parking_lot_core."0.4.0".default or true); } + ]; + rand."${deps.parking_lot_core."0.4.0".rand}".default = true; + rustc_version."${deps.parking_lot_core."0.4.0".rustc_version}".default = true; + smallvec."${deps.parking_lot_core."0.4.0".smallvec}".default = true; + winapi = fold recursiveUpdate {} [ + { "${deps.parking_lot_core."0.4.0".winapi}"."errhandlingapi" = true; } + { "${deps.parking_lot_core."0.4.0".winapi}"."handleapi" = true; } + { "${deps.parking_lot_core."0.4.0".winapi}"."minwindef" = true; } + { "${deps.parking_lot_core."0.4.0".winapi}"."ntstatus" = true; } + { "${deps.parking_lot_core."0.4.0".winapi}"."winbase" = true; } + { "${deps.parking_lot_core."0.4.0".winapi}"."winerror" = true; } + { "${deps.parking_lot_core."0.4.0".winapi}"."winnt" = true; } + { "${deps.parking_lot_core."0.4.0".winapi}".default = true; } + ]; + }) [ + (features_.rand."${deps."parking_lot_core"."0.4.0"."rand"}" deps) + (features_.smallvec."${deps."parking_lot_core"."0.4.0"."smallvec"}" deps) + (features_.rustc_version."${deps."parking_lot_core"."0.4.0"."rustc_version"}" deps) + (features_.libc."${deps."parking_lot_core"."0.4.0"."libc"}" deps) + (features_.winapi."${deps."parking_lot_core"."0.4.0"."winapi"}" deps) + ]; + + +# end +# pkg-config-0.3.14 + + crates.pkg_config."0.3.14" = deps: { features?(features_.pkg_config."0.3.14" deps {}) }: buildRustCrate { + crateName = "pkg-config"; + version = "0.3.14"; + description = "A library to run the pkg-config system tool at build time in order to be used in\nCargo build scripts.\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "0207fsarrm412j0dh87lfcas72n8mxar7q3mgflsbsrqnb140sv6"; + }; + features_.pkg_config."0.3.14" = deps: f: updateFeatures f (rec { + pkg_config."0.3.14".default = (f.pkg_config."0.3.14".default or true); + }) []; + + +# end +# quote-0.6.12 + + crates.quote."0.6.12" = deps: { features?(features_.quote."0.6.12" deps {}) }: buildRustCrate { + crateName = "quote"; + version = "0.6.12"; + description = "Quasi-quoting macro quote!(...)"; + authors = [ "David Tolnay <dtolnay@gmail.com>" ]; + sha256 = "1ckd2d2sy0hrwrqcr47dn0n3hyh7ygpc026l8xaycccyg27mihv9"; + dependencies = mapFeatures features ([ + (crates."proc_macro2"."${deps."quote"."0.6.12"."proc_macro2"}" deps) + ]); + features = mkFeatures (features."quote"."0.6.12" or {}); + }; + features_.quote."0.6.12" = deps: f: updateFeatures f (rec { + proc_macro2 = fold recursiveUpdate {} [ + { "${deps.quote."0.6.12".proc_macro2}"."proc-macro" = + (f.proc_macro2."${deps.quote."0.6.12".proc_macro2}"."proc-macro" or false) || + (quote."0.6.12"."proc-macro" or false) || + (f."quote"."0.6.12"."proc-macro" or false); } + { "${deps.quote."0.6.12".proc_macro2}".default = (f.proc_macro2."${deps.quote."0.6.12".proc_macro2}".default or false); } + ]; + quote = fold recursiveUpdate {} [ + { "0.6.12"."proc-macro" = + (f.quote."0.6.12"."proc-macro" or false) || + (f.quote."0.6.12".default or false) || + (quote."0.6.12"."default" or false); } + { "0.6.12".default = (f.quote."0.6.12".default or true); } + ]; + }) [ + (features_.proc_macro2."${deps."quote"."0.6.12"."proc_macro2"}" deps) + ]; + + +# end +# rand-0.6.5 + + crates.rand."0.6.5" = deps: { features?(features_.rand."0.6.5" deps {}) }: buildRustCrate { + crateName = "rand"; + version = "0.6.5"; + description = "Random number generators and other randomness functionality.\n"; + authors = [ "The Rand Project Developers" "The Rust Project Developers" ]; + sha256 = "0zbck48159aj8zrwzf80sd9xxh96w4f4968nshwjpysjvflimvgb"; + build = "build.rs"; + dependencies = mapFeatures features ([ + (crates."rand_chacha"."${deps."rand"."0.6.5"."rand_chacha"}" deps) + (crates."rand_core"."${deps."rand"."0.6.5"."rand_core"}" deps) + (crates."rand_hc"."${deps."rand"."0.6.5"."rand_hc"}" deps) + (crates."rand_isaac"."${deps."rand"."0.6.5"."rand_isaac"}" deps) + (crates."rand_jitter"."${deps."rand"."0.6.5"."rand_jitter"}" deps) + (crates."rand_pcg"."${deps."rand"."0.6.5"."rand_pcg"}" deps) + (crates."rand_xorshift"."${deps."rand"."0.6.5"."rand_xorshift"}" deps) + ] + ++ (if features.rand."0.6.5".rand_os or false then [ (crates.rand_os."${deps."rand"."0.6.5".rand_os}" deps) ] else [])) + ++ (if (kernel == "linux" || kernel == "darwin") then mapFeatures features ([ + (crates."libc"."${deps."rand"."0.6.5"."libc"}" deps) + ]) else []) + ++ (if kernel == "windows" then mapFeatures features ([ + (crates."winapi"."${deps."rand"."0.6.5"."winapi"}" deps) + ]) else []); + + buildDependencies = mapFeatures features ([ + (crates."autocfg"."${deps."rand"."0.6.5"."autocfg"}" deps) + ]); + features = mkFeatures (features."rand"."0.6.5" or {}); + }; + features_.rand."0.6.5" = deps: f: updateFeatures f (rec { + autocfg."${deps.rand."0.6.5".autocfg}".default = true; + libc."${deps.rand."0.6.5".libc}".default = (f.libc."${deps.rand."0.6.5".libc}".default or false); + rand = fold recursiveUpdate {} [ + { "0.6.5"."alloc" = + (f.rand."0.6.5"."alloc" or false) || + (f.rand."0.6.5".std or false) || + (rand."0.6.5"."std" or false); } + { "0.6.5"."packed_simd" = + (f.rand."0.6.5"."packed_simd" or false) || + (f.rand."0.6.5".simd_support or false) || + (rand."0.6.5"."simd_support" or false); } + { "0.6.5"."rand_os" = + (f.rand."0.6.5"."rand_os" or false) || + (f.rand."0.6.5".std or false) || + (rand."0.6.5"."std" or false); } + { "0.6.5"."simd_support" = + (f.rand."0.6.5"."simd_support" or false) || + (f.rand."0.6.5".nightly or false) || + (rand."0.6.5"."nightly" or false); } + { "0.6.5"."std" = + (f.rand."0.6.5"."std" or false) || + (f.rand."0.6.5".default or false) || + (rand."0.6.5"."default" or false); } + { "0.6.5".default = (f.rand."0.6.5".default or true); } + ]; + rand_chacha."${deps.rand."0.6.5".rand_chacha}".default = true; + rand_core = fold recursiveUpdate {} [ + { "${deps.rand."0.6.5".rand_core}"."alloc" = + (f.rand_core."${deps.rand."0.6.5".rand_core}"."alloc" or false) || + (rand."0.6.5"."alloc" or false) || + (f."rand"."0.6.5"."alloc" or false); } + { "${deps.rand."0.6.5".rand_core}"."serde1" = + (f.rand_core."${deps.rand."0.6.5".rand_core}"."serde1" or false) || + (rand."0.6.5"."serde1" or false) || + (f."rand"."0.6.5"."serde1" or false); } + { "${deps.rand."0.6.5".rand_core}"."std" = + (f.rand_core."${deps.rand."0.6.5".rand_core}"."std" or false) || + (rand."0.6.5"."std" or false) || + (f."rand"."0.6.5"."std" or false); } + { "${deps.rand."0.6.5".rand_core}".default = true; } + ]; + rand_hc."${deps.rand."0.6.5".rand_hc}".default = true; + rand_isaac = fold recursiveUpdate {} [ + { "${deps.rand."0.6.5".rand_isaac}"."serde1" = + (f.rand_isaac."${deps.rand."0.6.5".rand_isaac}"."serde1" or false) || + (rand."0.6.5"."serde1" or false) || + (f."rand"."0.6.5"."serde1" or false); } + { "${deps.rand."0.6.5".rand_isaac}".default = true; } + ]; + rand_jitter = fold recursiveUpdate {} [ + { "${deps.rand."0.6.5".rand_jitter}"."std" = + (f.rand_jitter."${deps.rand."0.6.5".rand_jitter}"."std" or false) || + (rand."0.6.5"."std" or false) || + (f."rand"."0.6.5"."std" or false); } + { "${deps.rand."0.6.5".rand_jitter}".default = true; } + ]; + rand_os = fold recursiveUpdate {} [ + { "${deps.rand."0.6.5".rand_os}"."stdweb" = + (f.rand_os."${deps.rand."0.6.5".rand_os}"."stdweb" or false) || + (rand."0.6.5"."stdweb" or false) || + (f."rand"."0.6.5"."stdweb" or false); } + { "${deps.rand."0.6.5".rand_os}"."wasm-bindgen" = + (f.rand_os."${deps.rand."0.6.5".rand_os}"."wasm-bindgen" or false) || + (rand."0.6.5"."wasm-bindgen" or false) || + (f."rand"."0.6.5"."wasm-bindgen" or false); } + { "${deps.rand."0.6.5".rand_os}".default = true; } + ]; + rand_pcg."${deps.rand."0.6.5".rand_pcg}".default = true; + rand_xorshift = fold recursiveUpdate {} [ + { "${deps.rand."0.6.5".rand_xorshift}"."serde1" = + (f.rand_xorshift."${deps.rand."0.6.5".rand_xorshift}"."serde1" or false) || + (rand."0.6.5"."serde1" or false) || + (f."rand"."0.6.5"."serde1" or false); } + { "${deps.rand."0.6.5".rand_xorshift}".default = true; } + ]; + winapi = fold recursiveUpdate {} [ + { "${deps.rand."0.6.5".winapi}"."minwindef" = true; } + { "${deps.rand."0.6.5".winapi}"."ntsecapi" = true; } + { "${deps.rand."0.6.5".winapi}"."profileapi" = true; } + { "${deps.rand."0.6.5".winapi}"."winnt" = true; } + { "${deps.rand."0.6.5".winapi}".default = true; } + ]; + }) [ + (features_.rand_chacha."${deps."rand"."0.6.5"."rand_chacha"}" deps) + (features_.rand_core."${deps."rand"."0.6.5"."rand_core"}" deps) + (features_.rand_hc."${deps."rand"."0.6.5"."rand_hc"}" deps) + (features_.rand_isaac."${deps."rand"."0.6.5"."rand_isaac"}" deps) + (features_.rand_jitter."${deps."rand"."0.6.5"."rand_jitter"}" deps) + (features_.rand_os."${deps."rand"."0.6.5"."rand_os"}" deps) + (features_.rand_pcg."${deps."rand"."0.6.5"."rand_pcg"}" deps) + (features_.rand_xorshift."${deps."rand"."0.6.5"."rand_xorshift"}" deps) + (features_.autocfg."${deps."rand"."0.6.5"."autocfg"}" deps) + (features_.libc."${deps."rand"."0.6.5"."libc"}" deps) + (features_.winapi."${deps."rand"."0.6.5"."winapi"}" deps) + ]; + + +# end +# rand_chacha-0.1.1 + + crates.rand_chacha."0.1.1" = deps: { features?(features_.rand_chacha."0.1.1" deps {}) }: buildRustCrate { + crateName = "rand_chacha"; + version = "0.1.1"; + description = "ChaCha random number generator\n"; + authors = [ "The Rand Project Developers" "The Rust Project Developers" ]; + sha256 = "0xnxm4mjd7wjnh18zxc1yickw58axbycp35ciraplqdfwn1gffwi"; + build = "build.rs"; + dependencies = mapFeatures features ([ + (crates."rand_core"."${deps."rand_chacha"."0.1.1"."rand_core"}" deps) + ]); + + buildDependencies = mapFeatures features ([ + (crates."autocfg"."${deps."rand_chacha"."0.1.1"."autocfg"}" deps) + ]); + }; + features_.rand_chacha."0.1.1" = deps: f: updateFeatures f (rec { + autocfg."${deps.rand_chacha."0.1.1".autocfg}".default = true; + rand_chacha."0.1.1".default = (f.rand_chacha."0.1.1".default or true); + rand_core."${deps.rand_chacha."0.1.1".rand_core}".default = (f.rand_core."${deps.rand_chacha."0.1.1".rand_core}".default or false); + }) [ + (features_.rand_core."${deps."rand_chacha"."0.1.1"."rand_core"}" deps) + (features_.autocfg."${deps."rand_chacha"."0.1.1"."autocfg"}" deps) + ]; + + +# end +# rand_hc-0.1.0 + + crates.rand_hc."0.1.0" = deps: { features?(features_.rand_hc."0.1.0" deps {}) }: buildRustCrate { + crateName = "rand_hc"; + version = "0.1.0"; + description = "HC128 random number generator\n"; + authors = [ "The Rand Project Developers" ]; + sha256 = "05agb75j87yp7y1zk8yf7bpm66hc0673r3dlypn0kazynr6fdgkz"; + dependencies = mapFeatures features ([ + (crates."rand_core"."${deps."rand_hc"."0.1.0"."rand_core"}" deps) + ]); + }; + features_.rand_hc."0.1.0" = deps: f: updateFeatures f (rec { + rand_core."${deps.rand_hc."0.1.0".rand_core}".default = (f.rand_core."${deps.rand_hc."0.1.0".rand_core}".default or false); + rand_hc."0.1.0".default = (f.rand_hc."0.1.0".default or true); + }) [ + (features_.rand_core."${deps."rand_hc"."0.1.0"."rand_core"}" deps) + ]; + + +# end +# rand_isaac-0.1.1 + + crates.rand_isaac."0.1.1" = deps: { features?(features_.rand_isaac."0.1.1" deps {}) }: buildRustCrate { + crateName = "rand_isaac"; + version = "0.1.1"; + description = "ISAAC random number generator\n"; + authors = [ "The Rand Project Developers" "The Rust Project Developers" ]; + sha256 = "10hhdh5b5sa03s6b63y9bafm956jwilx41s71jbrzl63ccx8lxdq"; + dependencies = mapFeatures features ([ + (crates."rand_core"."${deps."rand_isaac"."0.1.1"."rand_core"}" deps) + ]); + features = mkFeatures (features."rand_isaac"."0.1.1" or {}); + }; + features_.rand_isaac."0.1.1" = deps: f: updateFeatures f (rec { + rand_core = fold recursiveUpdate {} [ + { "${deps.rand_isaac."0.1.1".rand_core}"."serde1" = + (f.rand_core."${deps.rand_isaac."0.1.1".rand_core}"."serde1" or false) || + (rand_isaac."0.1.1"."serde1" or false) || + (f."rand_isaac"."0.1.1"."serde1" or false); } + { "${deps.rand_isaac."0.1.1".rand_core}".default = (f.rand_core."${deps.rand_isaac."0.1.1".rand_core}".default or false); } + ]; + rand_isaac = fold recursiveUpdate {} [ + { "0.1.1"."serde" = + (f.rand_isaac."0.1.1"."serde" or false) || + (f.rand_isaac."0.1.1".serde1 or false) || + (rand_isaac."0.1.1"."serde1" or false); } + { "0.1.1"."serde_derive" = + (f.rand_isaac."0.1.1"."serde_derive" or false) || + (f.rand_isaac."0.1.1".serde1 or false) || + (rand_isaac."0.1.1"."serde1" or false); } + { "0.1.1".default = (f.rand_isaac."0.1.1".default or true); } + ]; + }) [ + (features_.rand_core."${deps."rand_isaac"."0.1.1"."rand_core"}" deps) + ]; + + +# end +# rand_jitter-0.1.3 + + crates.rand_jitter."0.1.3" = deps: { features?(features_.rand_jitter."0.1.3" deps {}) }: buildRustCrate { + crateName = "rand_jitter"; + version = "0.1.3"; + description = "Random number generator based on timing jitter"; + authors = [ "The Rand Project Developers" ]; + sha256 = "1cb4q73rmh1inlx3liy6rabapcqh6p6c1plsd2lxw6dmi67d1qc3"; + dependencies = mapFeatures features ([ + (crates."rand_core"."${deps."rand_jitter"."0.1.3"."rand_core"}" deps) + ]) + ++ (if kernel == "darwin" || kernel == "ios" then mapFeatures features ([ + (crates."libc"."${deps."rand_jitter"."0.1.3"."libc"}" deps) + ]) else []) + ++ (if kernel == "windows" then mapFeatures features ([ + (crates."winapi"."${deps."rand_jitter"."0.1.3"."winapi"}" deps) + ]) else []); + features = mkFeatures (features."rand_jitter"."0.1.3" or {}); + }; + features_.rand_jitter."0.1.3" = deps: f: updateFeatures f (rec { + libc."${deps.rand_jitter."0.1.3".libc}".default = true; + rand_core = fold recursiveUpdate {} [ + { "${deps.rand_jitter."0.1.3".rand_core}"."std" = + (f.rand_core."${deps.rand_jitter."0.1.3".rand_core}"."std" or false) || + (rand_jitter."0.1.3"."std" or false) || + (f."rand_jitter"."0.1.3"."std" or false); } + { "${deps.rand_jitter."0.1.3".rand_core}".default = true; } + ]; + rand_jitter."0.1.3".default = (f.rand_jitter."0.1.3".default or true); + winapi = fold recursiveUpdate {} [ + { "${deps.rand_jitter."0.1.3".winapi}"."profileapi" = true; } + { "${deps.rand_jitter."0.1.3".winapi}".default = true; } + ]; + }) [ + (features_.rand_core."${deps."rand_jitter"."0.1.3"."rand_core"}" deps) + (features_.libc."${deps."rand_jitter"."0.1.3"."libc"}" deps) + (features_.winapi."${deps."rand_jitter"."0.1.3"."winapi"}" deps) + ]; + + +# end +# rand_pcg-0.1.2 + + crates.rand_pcg."0.1.2" = deps: { features?(features_.rand_pcg."0.1.2" deps {}) }: buildRustCrate { + crateName = "rand_pcg"; + version = "0.1.2"; + description = "Selected PCG random number generators\n"; + authors = [ "The Rand Project Developers" ]; + sha256 = "04qgi2ai2z42li5h4aawvxbpnlqyjfnipz9d6k73mdnl6p1xq938"; + build = "build.rs"; + dependencies = mapFeatures features ([ + (crates."rand_core"."${deps."rand_pcg"."0.1.2"."rand_core"}" deps) + ]); + + buildDependencies = mapFeatures features ([ + (crates."autocfg"."${deps."rand_pcg"."0.1.2"."autocfg"}" deps) + ]); + features = mkFeatures (features."rand_pcg"."0.1.2" or {}); + }; + features_.rand_pcg."0.1.2" = deps: f: updateFeatures f (rec { + autocfg."${deps.rand_pcg."0.1.2".autocfg}".default = true; + rand_core."${deps.rand_pcg."0.1.2".rand_core}".default = true; + rand_pcg = fold recursiveUpdate {} [ + { "0.1.2"."serde" = + (f.rand_pcg."0.1.2"."serde" or false) || + (f.rand_pcg."0.1.2".serde1 or false) || + (rand_pcg."0.1.2"."serde1" or false); } + { "0.1.2"."serde_derive" = + (f.rand_pcg."0.1.2"."serde_derive" or false) || + (f.rand_pcg."0.1.2".serde1 or false) || + (rand_pcg."0.1.2"."serde1" or false); } + { "0.1.2".default = (f.rand_pcg."0.1.2".default or true); } + ]; + }) [ + (features_.rand_core."${deps."rand_pcg"."0.1.2"."rand_core"}" deps) + (features_.autocfg."${deps."rand_pcg"."0.1.2"."autocfg"}" deps) + ]; + + +# end +# rand_xorshift-0.1.1 + + crates.rand_xorshift."0.1.1" = deps: { features?(features_.rand_xorshift."0.1.1" deps {}) }: buildRustCrate { + crateName = "rand_xorshift"; + version = "0.1.1"; + description = "Xorshift random number generator\n"; + authors = [ "The Rand Project Developers" "The Rust Project Developers" ]; + sha256 = "0v365c4h4lzxwz5k5kp9m0661s0sss7ylv74if0xb4svis9sswnn"; + dependencies = mapFeatures features ([ + (crates."rand_core"."${deps."rand_xorshift"."0.1.1"."rand_core"}" deps) + ]); + features = mkFeatures (features."rand_xorshift"."0.1.1" or {}); + }; + features_.rand_xorshift."0.1.1" = deps: f: updateFeatures f (rec { + rand_core."${deps.rand_xorshift."0.1.1".rand_core}".default = (f.rand_core."${deps.rand_xorshift."0.1.1".rand_core}".default or false); + rand_xorshift = fold recursiveUpdate {} [ + { "0.1.1"."serde" = + (f.rand_xorshift."0.1.1"."serde" or false) || + (f.rand_xorshift."0.1.1".serde1 or false) || + (rand_xorshift."0.1.1"."serde1" or false); } + { "0.1.1"."serde_derive" = + (f.rand_xorshift."0.1.1"."serde_derive" or false) || + (f.rand_xorshift."0.1.1".serde1 or false) || + (rand_xorshift."0.1.1"."serde1" or false); } + { "0.1.1".default = (f.rand_xorshift."0.1.1".default or true); } + ]; + }) [ + (features_.rand_core."${deps."rand_xorshift"."0.1.1"."rand_core"}" deps) + ]; + + +# end +# rawpointer-0.1.0 + + crates.rawpointer."0.1.0" = deps: { features?(features_.rawpointer."0.1.0" deps {}) }: buildRustCrate { + crateName = "rawpointer"; + version = "0.1.0"; + description = "Extra methods for raw pointers.\n\nFor example `.post_inc()` and `.pre_dec()` (c.f. `ptr++` and `--ptr`) and\n`ptrdistance`.\n"; + authors = [ "bluss" ]; + sha256 = "0hblv2cv310ixf5f1jw4nk9w5pb95wh4dwqyjv07g2xrshbw6j04"; + }; + features_.rawpointer."0.1.0" = deps: f: updateFeatures f (rec { + rawpointer."0.1.0".default = (f.rawpointer."0.1.0".default or true); + }) []; + + +# end +# redox_syscall-0.1.54 + + crates.redox_syscall."0.1.54" = deps: { features?(features_.redox_syscall."0.1.54" deps {}) }: buildRustCrate { + crateName = "redox_syscall"; + version = "0.1.54"; + description = "A Rust library to access raw Redox system calls"; + authors = [ "Jeremy Soller <jackpot51@gmail.com>" ]; + sha256 = "1ndcp7brnvii87ndcd34fk846498r07iznphkslcy0shic9cp4rr"; + libName = "syscall"; + }; + features_.redox_syscall."0.1.54" = deps: f: updateFeatures f (rec { + redox_syscall."0.1.54".default = (f.redox_syscall."0.1.54".default or true); + }) []; + + +# end +# regex-1.1.6 + + crates.regex."1.1.6" = deps: { features?(features_.regex."1.1.6" deps {}) }: buildRustCrate { + crateName = "regex"; + version = "1.1.6"; + description = "An implementation of regular expressions for Rust. This implementation uses\nfinite automata and guarantees linear time matching on all inputs.\n"; + authors = [ "The Rust Project Developers" ]; + sha256 = "1yynvabg03m5f65qxcw70qckkfjwi9xyfpjdp6yq7pk0xf0ydc0b"; + dependencies = mapFeatures features ([ + (crates."aho_corasick"."${deps."regex"."1.1.6"."aho_corasick"}" deps) + (crates."memchr"."${deps."regex"."1.1.6"."memchr"}" deps) + (crates."regex_syntax"."${deps."regex"."1.1.6"."regex_syntax"}" deps) + (crates."thread_local"."${deps."regex"."1.1.6"."thread_local"}" deps) + (crates."utf8_ranges"."${deps."regex"."1.1.6"."utf8_ranges"}" deps) + ]); + features = mkFeatures (features."regex"."1.1.6" or {}); + }; + features_.regex."1.1.6" = deps: f: updateFeatures f (rec { + aho_corasick."${deps.regex."1.1.6".aho_corasick}".default = true; + memchr."${deps.regex."1.1.6".memchr}".default = true; + regex = fold recursiveUpdate {} [ + { "1.1.6"."pattern" = + (f.regex."1.1.6"."pattern" or false) || + (f.regex."1.1.6".unstable or false) || + (regex."1.1.6"."unstable" or false); } + { "1.1.6"."use_std" = + (f.regex."1.1.6"."use_std" or false) || + (f.regex."1.1.6".default or false) || + (regex."1.1.6"."default" or false); } + { "1.1.6".default = (f.regex."1.1.6".default or true); } + ]; + regex_syntax."${deps.regex."1.1.6".regex_syntax}".default = true; + thread_local."${deps.regex."1.1.6".thread_local}".default = true; + utf8_ranges."${deps.regex."1.1.6".utf8_ranges}".default = true; + }) [ + (features_.aho_corasick."${deps."regex"."1.1.6"."aho_corasick"}" deps) + (features_.memchr."${deps."regex"."1.1.6"."memchr"}" deps) + (features_.regex_syntax."${deps."regex"."1.1.6"."regex_syntax"}" deps) + (features_.thread_local."${deps."regex"."1.1.6"."thread_local"}" deps) + (features_.utf8_ranges."${deps."regex"."1.1.6"."utf8_ranges"}" deps) + ]; + + +# end +# regex-syntax-0.6.6 + + crates.regex_syntax."0.6.6" = deps: { features?(features_.regex_syntax."0.6.6" deps {}) }: buildRustCrate { + crateName = "regex-syntax"; + version = "0.6.6"; + description = "A regular expression parser."; + authors = [ "The Rust Project Developers" ]; + sha256 = "1cjrdc3affa3rjfaxkp91xnf9k0fsqn9z4xqc280vv39nvrl8p8b"; + dependencies = mapFeatures features ([ + (crates."ucd_util"."${deps."regex_syntax"."0.6.6"."ucd_util"}" deps) + ]); + }; + features_.regex_syntax."0.6.6" = deps: f: updateFeatures f (rec { + regex_syntax."0.6.6".default = (f.regex_syntax."0.6.6".default or true); + ucd_util."${deps.regex_syntax."0.6.6".ucd_util}".default = true; + }) [ + (features_.ucd_util."${deps."regex_syntax"."0.6.6"."ucd_util"}" deps) + ]; + + +# end +# rustc-demangle-0.1.14 + + crates.rustc_demangle."0.1.14" = deps: { features?(features_.rustc_demangle."0.1.14" deps {}) }: buildRustCrate { + crateName = "rustc-demangle"; + version = "0.1.14"; + description = "Rust compiler symbol demangling.\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "07vl0ms3a27fpry9kh9piv08w7d51i5m7bgphk7pw4jygwzdy31f"; + dependencies = mapFeatures features ([ +]); + features = mkFeatures (features."rustc_demangle"."0.1.14" or {}); + }; + features_.rustc_demangle."0.1.14" = deps: f: updateFeatures f (rec { + rustc_demangle = fold recursiveUpdate {} [ + { "0.1.14"."compiler_builtins" = + (f.rustc_demangle."0.1.14"."compiler_builtins" or false) || + (f.rustc_demangle."0.1.14".rustc-dep-of-std or false) || + (rustc_demangle."0.1.14"."rustc-dep-of-std" or false); } + { "0.1.14"."core" = + (f.rustc_demangle."0.1.14"."core" or false) || + (f.rustc_demangle."0.1.14".rustc-dep-of-std or false) || + (rustc_demangle."0.1.14"."rustc-dep-of-std" or false); } + { "0.1.14".default = (f.rustc_demangle."0.1.14".default or true); } + ]; + }) []; + + +# end +# rustc-workspace-hack-1.0.0 + + crates.rustc_workspace_hack."1.0.0" = deps: { features?(features_.rustc_workspace_hack."1.0.0" deps {}) }: buildRustCrate { + crateName = "rustc-workspace-hack"; + version = "1.0.0"; + description = "Hack for the compiler's own build system\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "0arpdp472j4lrwxbmf4z21d8kh95rbbphnzccf605pqq2rvczv3p"; + }; + features_.rustc_workspace_hack."1.0.0" = deps: f: updateFeatures f (rec { + rustc_workspace_hack."1.0.0".default = (f.rustc_workspace_hack."1.0.0".default or true); + }) []; + + +# end +# rustc_version-0.2.3 + + crates.rustc_version."0.2.3" = deps: { features?(features_.rustc_version."0.2.3" deps {}) }: buildRustCrate { + crateName = "rustc_version"; + version = "0.2.3"; + description = "A library for querying the version of a installed rustc compiler"; + authors = [ "Marvin Löbel <loebel.marvin@gmail.com>" ]; + sha256 = "0rgwzbgs3i9fqjm1p4ra3n7frafmpwl29c8lw85kv1rxn7n2zaa7"; + dependencies = mapFeatures features ([ + (crates."semver"."${deps."rustc_version"."0.2.3"."semver"}" deps) + ]); + }; + features_.rustc_version."0.2.3" = deps: f: updateFeatures f (rec { + rustc_version."0.2.3".default = (f.rustc_version."0.2.3".default or true); + semver."${deps.rustc_version."0.2.3".semver}".default = true; + }) [ + (features_.semver."${deps."rustc_version"."0.2.3"."semver"}" deps) + ]; + + +# end +# rustfix-0.4.5 + + crates.rustfix."0.4.5" = deps: { features?(features_.rustfix."0.4.5" deps {}) }: buildRustCrate { + crateName = "rustfix"; + version = "0.4.5"; + description = "Automatically apply the suggestions made by rustc"; + authors = [ "Pascal Hertleif <killercup@gmail.com>" "Oliver Schneider <oli-obk@users.noreply.github.com>" ]; + sha256 = "16nz3wbxspl6awwy3k3ym8yqiyq1jad82m2cf8mrz5h3arfp208l"; + dependencies = mapFeatures features ([ + (crates."failure"."${deps."rustfix"."0.4.5"."failure"}" deps) + (crates."log"."${deps."rustfix"."0.4.5"."log"}" deps) + (crates."serde"."${deps."rustfix"."0.4.5"."serde"}" deps) + (crates."serde_derive"."${deps."rustfix"."0.4.5"."serde_derive"}" deps) + (crates."serde_json"."${deps."rustfix"."0.4.5"."serde_json"}" deps) + ]); + }; + features_.rustfix."0.4.5" = deps: f: updateFeatures f (rec { + failure."${deps.rustfix."0.4.5".failure}".default = true; + log."${deps.rustfix."0.4.5".log}".default = true; + rustfix."0.4.5".default = (f.rustfix."0.4.5".default or true); + serde."${deps.rustfix."0.4.5".serde}".default = true; + serde_derive."${deps.rustfix."0.4.5".serde_derive}".default = true; + serde_json."${deps.rustfix."0.4.5".serde_json}".default = true; + }) [ + (features_.failure."${deps."rustfix"."0.4.5"."failure"}" deps) + (features_.log."${deps."rustfix"."0.4.5"."log"}" deps) + (features_.serde."${deps."rustfix"."0.4.5"."serde"}" deps) + (features_.serde_derive."${deps."rustfix"."0.4.5"."serde_derive"}" deps) + (features_.serde_json."${deps."rustfix"."0.4.5"."serde_json"}" deps) + ]; + + +# end +# same-file-1.0.4 + + crates.same_file."1.0.4" = deps: { features?(features_.same_file."1.0.4" deps {}) }: buildRustCrate { + crateName = "same-file"; + version = "1.0.4"; + description = "A simple crate for determining whether two file paths point to the same file.\n"; + authors = [ "Andrew Gallant <jamslam@gmail.com>" ]; + sha256 = "1zs244ssl381cqlnh2g42g3i60qip4z72i26z44d6kas3y3gy77q"; + dependencies = (if kernel == "windows" then mapFeatures features ([ + (crates."winapi_util"."${deps."same_file"."1.0.4"."winapi_util"}" deps) + ]) else []); + }; + features_.same_file."1.0.4" = deps: f: updateFeatures f (rec { + same_file."1.0.4".default = (f.same_file."1.0.4".default or true); + winapi_util."${deps.same_file."1.0.4".winapi_util}".default = true; + }) [ + (features_.winapi_util."${deps."same_file"."1.0.4"."winapi_util"}" deps) + ]; + + +# end +# schannel-0.1.15 + + crates.schannel."0.1.15" = deps: { features?(features_.schannel."0.1.15" deps {}) }: buildRustCrate { + crateName = "schannel"; + version = "0.1.15"; + description = "Schannel bindings for rust, allowing SSL/TLS (e.g. https) without openssl"; + authors = [ "Steven Fackler <sfackler@gmail.com>" "Steffen Butzer <steffen.butzer@outlook.com>" ]; + sha256 = "1x9i0z9y8n5cg23ppyglgqdlz6rwcv2a489m5qpfk6l2ib8a1jdv"; + dependencies = mapFeatures features ([ + (crates."lazy_static"."${deps."schannel"."0.1.15"."lazy_static"}" deps) + (crates."winapi"."${deps."schannel"."0.1.15"."winapi"}" deps) + ]); + }; + features_.schannel."0.1.15" = deps: f: updateFeatures f (rec { + lazy_static."${deps.schannel."0.1.15".lazy_static}".default = true; + schannel."0.1.15".default = (f.schannel."0.1.15".default or true); + winapi = fold recursiveUpdate {} [ + { "${deps.schannel."0.1.15".winapi}"."lmcons" = true; } + { "${deps.schannel."0.1.15".winapi}"."minschannel" = true; } + { "${deps.schannel."0.1.15".winapi}"."schannel" = true; } + { "${deps.schannel."0.1.15".winapi}"."securitybaseapi" = true; } + { "${deps.schannel."0.1.15".winapi}"."sspi" = true; } + { "${deps.schannel."0.1.15".winapi}"."sysinfoapi" = true; } + { "${deps.schannel."0.1.15".winapi}"."timezoneapi" = true; } + { "${deps.schannel."0.1.15".winapi}"."winbase" = true; } + { "${deps.schannel."0.1.15".winapi}"."wincrypt" = true; } + { "${deps.schannel."0.1.15".winapi}"."winerror" = true; } + { "${deps.schannel."0.1.15".winapi}".default = true; } + ]; + }) [ + (features_.lazy_static."${deps."schannel"."0.1.15"."lazy_static"}" deps) + (features_.winapi."${deps."schannel"."0.1.15"."winapi"}" deps) + ]; + + +# end +# scopeguard-0.3.3 + + crates.scopeguard."0.3.3" = deps: { features?(features_.scopeguard."0.3.3" deps {}) }: buildRustCrate { + crateName = "scopeguard"; + version = "0.3.3"; + description = "A RAII scope guard that will run a given closure when it goes out of scope,\neven if the code between panics (assuming unwinding panic).\n\nDefines the macros `defer!` and `defer_on_unwind!`; the latter only runs\nif the scope is extited through unwinding on panic.\n"; + authors = [ "bluss" ]; + sha256 = "0i1l013csrqzfz6c68pr5pi01hg5v5yahq8fsdmaxy6p8ygsjf3r"; + features = mkFeatures (features."scopeguard"."0.3.3" or {}); + }; + features_.scopeguard."0.3.3" = deps: f: updateFeatures f (rec { + scopeguard = fold recursiveUpdate {} [ + { "0.3.3"."use_std" = + (f.scopeguard."0.3.3"."use_std" or false) || + (f.scopeguard."0.3.3".default or false) || + (scopeguard."0.3.3"."default" or false); } + { "0.3.3".default = (f.scopeguard."0.3.3".default or true); } + ]; + }) []; + + +# end +# semver-0.9.0 + + crates.semver."0.9.0" = deps: { features?(features_.semver."0.9.0" deps {}) }: buildRustCrate { + crateName = "semver"; + version = "0.9.0"; + description = "Semantic version parsing and comparison.\n"; + authors = [ "Steve Klabnik <steve@steveklabnik.com>" "The Rust Project Developers" ]; + sha256 = "0azak2lb2wc36s3x15az886kck7rpnksrw14lalm157rg9sc9z63"; + dependencies = mapFeatures features ([ + (crates."semver_parser"."${deps."semver"."0.9.0"."semver_parser"}" deps) + ] + ++ (if features.semver."0.9.0".serde or false then [ (crates.serde."${deps."semver"."0.9.0".serde}" deps) ] else [])); + features = mkFeatures (features."semver"."0.9.0" or {}); + }; + features_.semver."0.9.0" = deps: f: updateFeatures f (rec { + semver = fold recursiveUpdate {} [ + { "0.9.0"."serde" = + (f.semver."0.9.0"."serde" or false) || + (f.semver."0.9.0".ci or false) || + (semver."0.9.0"."ci" or false); } + { "0.9.0".default = (f.semver."0.9.0".default or true); } + ]; + semver_parser."${deps.semver."0.9.0".semver_parser}".default = true; + serde."${deps.semver."0.9.0".serde}".default = true; + }) [ + (features_.semver_parser."${deps."semver"."0.9.0"."semver_parser"}" deps) + (features_.serde."${deps."semver"."0.9.0"."serde"}" deps) + ]; + + +# end +# semver-parser-0.7.0 + + crates.semver_parser."0.7.0" = deps: { features?(features_.semver_parser."0.7.0" deps {}) }: buildRustCrate { + crateName = "semver-parser"; + version = "0.7.0"; + description = "Parsing of the semver spec.\n"; + authors = [ "Steve Klabnik <steve@steveklabnik.com>" ]; + sha256 = "1da66c8413yakx0y15k8c055yna5lyb6fr0fw9318kdwkrk5k12h"; + }; + features_.semver_parser."0.7.0" = deps: f: updateFeatures f (rec { + semver_parser."0.7.0".default = (f.semver_parser."0.7.0".default or true); + }) []; + + +# end +# serde-1.0.90 + + crates.serde."1.0.90" = deps: { features?(features_.serde."1.0.90" deps {}) }: buildRustCrate { + crateName = "serde"; + version = "1.0.90"; + description = "A generic serialization/deserialization framework"; + authors = [ "Erick Tryzelaar <erick.tryzelaar@gmail.com>" "David Tolnay <dtolnay@gmail.com>" ]; + sha256 = "10b6n74m1dvb667vrn1db47ncb4h0mkqbg1dsamqjvv5vl5b5j56"; + build = "build.rs"; + dependencies = mapFeatures features ([ + ] + ++ (if features.serde."1.0.90".serde_derive or false then [ (crates.serde_derive."${deps."serde"."1.0.90".serde_derive}" deps) ] else [])); + features = mkFeatures (features."serde"."1.0.90" or {}); + }; + features_.serde."1.0.90" = deps: f: updateFeatures f (rec { + serde = fold recursiveUpdate {} [ + { "1.0.90"."serde_derive" = + (f.serde."1.0.90"."serde_derive" or false) || + (f.serde."1.0.90".derive or false) || + (serde."1.0.90"."derive" or false); } + { "1.0.90"."std" = + (f.serde."1.0.90"."std" or false) || + (f.serde."1.0.90".default or false) || + (serde."1.0.90"."default" or false); } + { "1.0.90"."unstable" = + (f.serde."1.0.90"."unstable" or false) || + (f.serde."1.0.90".alloc or false) || + (serde."1.0.90"."alloc" or false); } + { "1.0.90".default = (f.serde."1.0.90".default or true); } + ]; + serde_derive."${deps.serde."1.0.90".serde_derive}".default = true; + }) [ + (features_.serde_derive."${deps."serde"."1.0.90"."serde_derive"}" deps) + ]; + + +# end +# serde_derive-1.0.90 + + crates.serde_derive."1.0.90" = deps: { features?(features_.serde_derive."1.0.90" deps {}) }: buildRustCrate { + crateName = "serde_derive"; + version = "1.0.90"; + description = "Macros 1.1 implementation of #[derive(Serialize, Deserialize)]"; + authors = [ "Erick Tryzelaar <erick.tryzelaar@gmail.com>" "David Tolnay <dtolnay@gmail.com>" ]; + sha256 = "1m4xgyl8jj3mxj0wszminzc1qf2gbkj9dpl17vi95nwl6m7i157y"; + procMacro = true; + dependencies = mapFeatures features ([ + (crates."proc_macro2"."${deps."serde_derive"."1.0.90"."proc_macro2"}" deps) + (crates."quote"."${deps."serde_derive"."1.0.90"."quote"}" deps) + (crates."syn"."${deps."serde_derive"."1.0.90"."syn"}" deps) + ]); + features = mkFeatures (features."serde_derive"."1.0.90" or {}); + }; + features_.serde_derive."1.0.90" = deps: f: updateFeatures f (rec { + proc_macro2."${deps.serde_derive."1.0.90".proc_macro2}".default = true; + quote."${deps.serde_derive."1.0.90".quote}".default = true; + serde_derive."1.0.90".default = (f.serde_derive."1.0.90".default or true); + syn = fold recursiveUpdate {} [ + { "${deps.serde_derive."1.0.90".syn}"."visit" = true; } + { "${deps.serde_derive."1.0.90".syn}".default = true; } + ]; + }) [ + (features_.proc_macro2."${deps."serde_derive"."1.0.90"."proc_macro2"}" deps) + (features_.quote."${deps."serde_derive"."1.0.90"."quote"}" deps) + (features_.syn."${deps."serde_derive"."1.0.90"."syn"}" deps) + ]; + + +# end +# serde_ignored-0.0.4 + + crates.serde_ignored."0.0.4" = deps: { features?(features_.serde_ignored."0.0.4" deps {}) }: buildRustCrate { + crateName = "serde_ignored"; + version = "0.0.4"; + description = "Find out about keys that are ignored when deserializing data"; + authors = [ "David Tolnay <dtolnay@gmail.com>" ]; + sha256 = "1ljsywm58p1s645rg2l9mchc5xa6mzxjpm8ag8nc2b74yp09h4jh"; + dependencies = mapFeatures features ([ + (crates."serde"."${deps."serde_ignored"."0.0.4"."serde"}" deps) + ]); + }; + features_.serde_ignored."0.0.4" = deps: f: updateFeatures f (rec { + serde."${deps.serde_ignored."0.0.4".serde}".default = true; + serde_ignored."0.0.4".default = (f.serde_ignored."0.0.4".default or true); + }) [ + (features_.serde."${deps."serde_ignored"."0.0.4"."serde"}" deps) + ]; + + +# end +# shell-escape-0.1.4 + + crates.shell_escape."0.1.4" = deps: { features?(features_.shell_escape."0.1.4" deps {}) }: buildRustCrate { + crateName = "shell-escape"; + version = "0.1.4"; + description = "Escape characters that may have a special meaning in a shell"; + authors = [ "Steven Fackler <sfackler@gmail.com>" ]; + sha256 = "02ik28la039b8anx0sx8mbdp2yx66m64mjrjyy6x0dgpbmfxmc24"; + }; + features_.shell_escape."0.1.4" = deps: f: updateFeatures f (rec { + shell_escape."0.1.4".default = (f.shell_escape."0.1.4".default or true); + }) []; + + +# end +# sized-chunks-0.1.3 + + crates.sized_chunks."0.1.3" = deps: { features?(features_.sized_chunks."0.1.3" deps {}) }: buildRustCrate { + crateName = "sized-chunks"; + version = "0.1.3"; + description = "Efficient sized chunk datatypes"; + authors = [ "Bodil Stokke <bodil@bodil.org>" ]; + edition = "2018"; + sha256 = "0qp5yvy2kqpk5qhiq3ybwynv740j3wv97ar1kjx96hmmbman142i"; + dependencies = mapFeatures features ([ + (crates."typenum"."${deps."sized_chunks"."0.1.3"."typenum"}" deps) + ]); + }; + features_.sized_chunks."0.1.3" = deps: f: updateFeatures f (rec { + sized_chunks."0.1.3".default = (f.sized_chunks."0.1.3".default or true); + typenum."${deps.sized_chunks."0.1.3".typenum}".default = true; + }) [ + (features_.typenum."${deps."sized_chunks"."0.1.3"."typenum"}" deps) + ]; + + +# end +# socket2-0.3.8 + + crates.socket2."0.3.8" = deps: { features?(features_.socket2."0.3.8" deps {}) }: buildRustCrate { + crateName = "socket2"; + version = "0.3.8"; + description = "Utilities for handling networking sockets with a maximal amount of configuration\npossible intended.\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "1a71m20jxmf9kqqinksphc7wj1j7q672q29cpza7p9siyzyfx598"; + dependencies = (if (kernel == "linux" || kernel == "darwin") || kernel == "redox" then mapFeatures features ([ + (crates."cfg_if"."${deps."socket2"."0.3.8"."cfg_if"}" deps) + (crates."libc"."${deps."socket2"."0.3.8"."libc"}" deps) + ]) else []) + ++ (if kernel == "redox" then mapFeatures features ([ + (crates."redox_syscall"."${deps."socket2"."0.3.8"."redox_syscall"}" deps) + ]) else []) + ++ (if kernel == "windows" then mapFeatures features ([ + (crates."winapi"."${deps."socket2"."0.3.8"."winapi"}" deps) + ]) else []); + features = mkFeatures (features."socket2"."0.3.8" or {}); + }; + features_.socket2."0.3.8" = deps: f: updateFeatures f (rec { + cfg_if."${deps.socket2."0.3.8".cfg_if}".default = true; + libc."${deps.socket2."0.3.8".libc}".default = true; + redox_syscall."${deps.socket2."0.3.8".redox_syscall}".default = true; + socket2."0.3.8".default = (f.socket2."0.3.8".default or true); + winapi = fold recursiveUpdate {} [ + { "${deps.socket2."0.3.8".winapi}"."handleapi" = true; } + { "${deps.socket2."0.3.8".winapi}"."minwindef" = true; } + { "${deps.socket2."0.3.8".winapi}"."ws2def" = true; } + { "${deps.socket2."0.3.8".winapi}"."ws2ipdef" = true; } + { "${deps.socket2."0.3.8".winapi}"."ws2tcpip" = true; } + { "${deps.socket2."0.3.8".winapi}".default = true; } + ]; + }) [ + (features_.cfg_if."${deps."socket2"."0.3.8"."cfg_if"}" deps) + (features_.libc."${deps."socket2"."0.3.8"."libc"}" deps) + (features_.redox_syscall."${deps."socket2"."0.3.8"."redox_syscall"}" deps) + (features_.winapi."${deps."socket2"."0.3.8"."winapi"}" deps) + ]; + + +# end +# strsim-0.8.0 + + crates.strsim."0.8.0" = deps: { features?(features_.strsim."0.8.0" deps {}) }: buildRustCrate { + crateName = "strsim"; + version = "0.8.0"; + description = "Implementations of string similarity metrics.\nIncludes Hamming, Levenshtein, OSA, Damerau-Levenshtein, Jaro, and Jaro-Winkler.\n"; + authors = [ "Danny Guo <dannyguo91@gmail.com>" ]; + sha256 = "0d3jsdz22wgjyxdakqnvdgmwjdvkximz50d9zfk4qlalw635qcvy"; + }; + features_.strsim."0.8.0" = deps: f: updateFeatures f (rec { + strsim."0.8.0".default = (f.strsim."0.8.0".default or true); + }) []; + + +# end +# strsim-0.9.1 + + crates.strsim."0.9.1" = deps: { features?(features_.strsim."0.9.1" deps {}) }: buildRustCrate { + crateName = "strsim"; + version = "0.9.1"; + description = "Implementations of string similarity metrics.\nIncludes Hamming, Levenshtein, OSA, Damerau-Levenshtein, Jaro, and Jaro-Winkler.\n"; + authors = [ "Danny Guo <dannyguo91@gmail.com>" ]; + sha256 = "0lpi3lrq6z5slay72ir1sg1ki0bvr3qia82lzx937gpc999i6bah"; + dependencies = mapFeatures features ([ + (crates."hashbrown"."${deps."strsim"."0.9.1"."hashbrown"}" deps) + (crates."ndarray"."${deps."strsim"."0.9.1"."ndarray"}" deps) + ]); + }; + features_.strsim."0.9.1" = deps: f: updateFeatures f (rec { + hashbrown."${deps.strsim."0.9.1".hashbrown}".default = true; + ndarray."${deps.strsim."0.9.1".ndarray}".default = true; + strsim."0.9.1".default = (f.strsim."0.9.1".default or true); + }) [ + (features_.hashbrown."${deps."strsim"."0.9.1"."hashbrown"}" deps) + (features_.ndarray."${deps."strsim"."0.9.1"."ndarray"}" deps) + ]; + + +# end +# syn-0.15.32 + + crates.syn."0.15.32" = deps: { features?(features_.syn."0.15.32" deps {}) }: buildRustCrate { + crateName = "syn"; + version = "0.15.32"; + description = "Parser for Rust source code"; + authors = [ "David Tolnay <dtolnay@gmail.com>" ]; + sha256 = "1xq1mbns8zyg2ls5927wqi7i7hn2y933czbqqds648gcdqccsqb0"; + dependencies = mapFeatures features ([ + (crates."proc_macro2"."${deps."syn"."0.15.32"."proc_macro2"}" deps) + (crates."unicode_xid"."${deps."syn"."0.15.32"."unicode_xid"}" deps) + ] + ++ (if features.syn."0.15.32".quote or false then [ (crates.quote."${deps."syn"."0.15.32".quote}" deps) ] else [])); + features = mkFeatures (features."syn"."0.15.32" or {}); + }; + features_.syn."0.15.32" = deps: f: updateFeatures f (rec { + proc_macro2 = fold recursiveUpdate {} [ + { "${deps.syn."0.15.32".proc_macro2}"."proc-macro" = + (f.proc_macro2."${deps.syn."0.15.32".proc_macro2}"."proc-macro" or false) || + (syn."0.15.32"."proc-macro" or false) || + (f."syn"."0.15.32"."proc-macro" or false); } + { "${deps.syn."0.15.32".proc_macro2}".default = (f.proc_macro2."${deps.syn."0.15.32".proc_macro2}".default or false); } + ]; + quote = fold recursiveUpdate {} [ + { "${deps.syn."0.15.32".quote}"."proc-macro" = + (f.quote."${deps.syn."0.15.32".quote}"."proc-macro" or false) || + (syn."0.15.32"."proc-macro" or false) || + (f."syn"."0.15.32"."proc-macro" or false); } + { "${deps.syn."0.15.32".quote}".default = (f.quote."${deps.syn."0.15.32".quote}".default or false); } + ]; + syn = fold recursiveUpdate {} [ + { "0.15.32"."clone-impls" = + (f.syn."0.15.32"."clone-impls" or false) || + (f.syn."0.15.32".default or false) || + (syn."0.15.32"."default" or false); } + { "0.15.32"."derive" = + (f.syn."0.15.32"."derive" or false) || + (f.syn."0.15.32".default or false) || + (syn."0.15.32"."default" or false); } + { "0.15.32"."parsing" = + (f.syn."0.15.32"."parsing" or false) || + (f.syn."0.15.32".default or false) || + (syn."0.15.32"."default" or false); } + { "0.15.32"."printing" = + (f.syn."0.15.32"."printing" or false) || + (f.syn."0.15.32".default or false) || + (syn."0.15.32"."default" or false); } + { "0.15.32"."proc-macro" = + (f.syn."0.15.32"."proc-macro" or false) || + (f.syn."0.15.32".default or false) || + (syn."0.15.32"."default" or false); } + { "0.15.32"."quote" = + (f.syn."0.15.32"."quote" or false) || + (f.syn."0.15.32".printing or false) || + (syn."0.15.32"."printing" or false); } + { "0.15.32".default = (f.syn."0.15.32".default or true); } + ]; + unicode_xid."${deps.syn."0.15.32".unicode_xid}".default = true; + }) [ + (features_.proc_macro2."${deps."syn"."0.15.32"."proc_macro2"}" deps) + (features_.quote."${deps."syn"."0.15.32"."quote"}" deps) + (features_.unicode_xid."${deps."syn"."0.15.32"."unicode_xid"}" deps) + ]; + + +# end +# tar-0.4.22 + + crates.tar."0.4.22" = deps: { features?(features_.tar."0.4.22" deps {}) }: buildRustCrate { + crateName = "tar"; + version = "0.4.22"; + description = "A Rust implementation of a TAR file reader and writer. This library does not\ncurrently handle compression, but it is abstract over all I/O readers and\nwriters. Additionally, great lengths are taken to ensure that the entire\ncontents are never required to be entirely resident in memory all at once.\n"; + authors = [ "Alex Crichton <alex@alexcrichton.com>" ]; + sha256 = "1y2b5av1ckf7v7rw1p59fjddn2jwzv0xr69lgdd4l41g43c3zq9j"; + dependencies = mapFeatures features ([ + (crates."filetime"."${deps."tar"."0.4.22"."filetime"}" deps) + ]) + ++ (if kernel == "redox" then mapFeatures features ([ + (crates."redox_syscall"."${deps."tar"."0.4.22"."redox_syscall"}" deps) + ]) else []) + ++ (if (kernel == "linux" || kernel == "darwin") then mapFeatures features ([ + (crates."libc"."${deps."tar"."0.4.22"."libc"}" deps) + ]) else []); + }; + features_.tar."0.4.22" = deps: f: updateFeatures f (rec { + filetime."${deps.tar."0.4.22".filetime}".default = true; + libc."${deps.tar."0.4.22".libc}".default = true; + redox_syscall."${deps.tar."0.4.22".redox_syscall}".default = true; + tar = fold recursiveUpdate {} [ + { "0.4.22"."xattr" = + (f.tar."0.4.22"."xattr" or false) || + (f.tar."0.4.22".default or false) || + (tar."0.4.22"."default" or false); } + { "0.4.22".default = (f.tar."0.4.22".default or true); } + ]; + }) [ + (features_.filetime."${deps."tar"."0.4.22"."filetime"}" deps) + (features_.redox_syscall."${deps."tar"."0.4.22"."redox_syscall"}" deps) + (features_.libc."${deps."tar"."0.4.22"."libc"}" deps) + ]; + + +# end +# tempfile-3.0.7 + + crates.tempfile."3.0.7" = deps: { features?(features_.tempfile."3.0.7" deps {}) }: buildRustCrate { + crateName = "tempfile"; + version = "3.0.7"; + description = "A library for managing temporary files and directories.\n"; + authors = [ "Steven Allen <steven@stebalien.com>" "The Rust Project Developers" "Ashley Mannix <ashleymannix@live.com.au>" "Jason White <jasonaw0@gmail.com>" ]; + sha256 = "19h7ch8fvisxrrmabcnhlfj6b8vg34zaw8491x141p0n0727niaf"; + dependencies = mapFeatures features ([ + (crates."cfg_if"."${deps."tempfile"."3.0.7"."cfg_if"}" deps) + (crates."rand"."${deps."tempfile"."3.0.7"."rand"}" deps) + (crates."remove_dir_all"."${deps."tempfile"."3.0.7"."remove_dir_all"}" deps) + ]) + ++ (if kernel == "redox" then mapFeatures features ([ + (crates."redox_syscall"."${deps."tempfile"."3.0.7"."redox_syscall"}" deps) + ]) else []) + ++ (if (kernel == "linux" || kernel == "darwin") then mapFeatures features ([ + (crates."libc"."${deps."tempfile"."3.0.7"."libc"}" deps) + ]) else []) + ++ (if kernel == "windows" then mapFeatures features ([ + (crates."winapi"."${deps."tempfile"."3.0.7"."winapi"}" deps) + ]) else []); + }; + features_.tempfile."3.0.7" = deps: f: updateFeatures f (rec { + cfg_if."${deps.tempfile."3.0.7".cfg_if}".default = true; + libc."${deps.tempfile."3.0.7".libc}".default = true; + rand."${deps.tempfile."3.0.7".rand}".default = true; + redox_syscall."${deps.tempfile."3.0.7".redox_syscall}".default = true; + remove_dir_all."${deps.tempfile."3.0.7".remove_dir_all}".default = true; + tempfile."3.0.7".default = (f.tempfile."3.0.7".default or true); + winapi = fold recursiveUpdate {} [ + { "${deps.tempfile."3.0.7".winapi}"."fileapi" = true; } + { "${deps.tempfile."3.0.7".winapi}"."handleapi" = true; } + { "${deps.tempfile."3.0.7".winapi}"."winbase" = true; } + { "${deps.tempfile."3.0.7".winapi}".default = true; } + ]; + }) [ + (features_.cfg_if."${deps."tempfile"."3.0.7"."cfg_if"}" deps) + (features_.rand."${deps."tempfile"."3.0.7"."rand"}" deps) + (features_.remove_dir_all."${deps."tempfile"."3.0.7"."remove_dir_all"}" deps) + (features_.redox_syscall."${deps."tempfile"."3.0.7"."redox_syscall"}" deps) + (features_.libc."${deps."tempfile"."3.0.7"."libc"}" deps) + (features_.winapi."${deps."tempfile"."3.0.7"."winapi"}" deps) + ]; + + +# end +# textwrap-0.11.0 + + crates.textwrap."0.11.0" = deps: { features?(features_.textwrap."0.11.0" deps {}) }: buildRustCrate { + crateName = "textwrap"; + version = "0.11.0"; + description = "Textwrap is a small library for word wrapping, indenting, and\ndedenting strings.\n\nYou can use it to format strings (such as help and error messages) for\ndisplay in commandline applications. It is designed to be efficient\nand handle Unicode characters correctly.\n"; + authors = [ "Martin Geisler <martin@geisler.net>" ]; + sha256 = "0s25qh49n7kjayrdj4q3v0jk0jc6vy88rdw0bvgfxqlscpqpxi7d"; + dependencies = mapFeatures features ([ + (crates."unicode_width"."${deps."textwrap"."0.11.0"."unicode_width"}" deps) + ]); + }; + features_.textwrap."0.11.0" = deps: f: updateFeatures f (rec { + textwrap."0.11.0".default = (f.textwrap."0.11.0".default or true); + unicode_width."${deps.textwrap."0.11.0".unicode_width}".default = true; + }) [ + (features_.unicode_width."${deps."textwrap"."0.11.0"."unicode_width"}" deps) + ]; + + +# end +# typenum-1.10.0 + + crates.typenum."1.10.0" = deps: { features?(features_.typenum."1.10.0" deps {}) }: buildRustCrate { + crateName = "typenum"; + version = "1.10.0"; + description = "Typenum is a Rust library for type-level numbers evaluated at compile time. It currently supports bits, unsigned integers, and signed integers. It also provides a type-level array of type-level numbers, but its implementation is incomplete."; + authors = [ "Paho Lurie-Gregg <paho@paholg.com>" "Andre Bogus <bogusandre@gmail.com>" ]; + sha256 = "1v2cgg0mlzkg5prs7swysckgk2ay6bpda8m83c2sn3z77dcsx3bc"; + build = "build/main.rs"; + features = mkFeatures (features."typenum"."1.10.0" or {}); + }; + features_.typenum."1.10.0" = deps: f: updateFeatures f (rec { + typenum."1.10.0".default = (f.typenum."1.10.0".default or true); + }) []; + + +# end +# url_serde-0.2.0 + + crates.url_serde."0.2.0" = deps: { features?(features_.url_serde."0.2.0" deps {}) }: buildRustCrate { + crateName = "url_serde"; + version = "0.2.0"; + description = "Serde support for URL types"; + authors = [ "The rust-url developers" ]; + sha256 = "07ry87rw0pi1da6b53f7s3f52wx3ihxbcgjd4ldspfv5xh6wipsg"; + dependencies = mapFeatures features ([ + (crates."serde"."${deps."url_serde"."0.2.0"."serde"}" deps) + (crates."url"."${deps."url_serde"."0.2.0"."url"}" deps) + ]); + }; + features_.url_serde."0.2.0" = deps: f: updateFeatures f (rec { + serde."${deps.url_serde."0.2.0".serde}".default = true; + url."${deps.url_serde."0.2.0".url}".default = true; + url_serde."0.2.0".default = (f.url_serde."0.2.0".default or true); + }) [ + (features_.serde."${deps."url_serde"."0.2.0"."serde"}" deps) + (features_.url."${deps."url_serde"."0.2.0"."url"}" deps) + ]; + + +# end +# vcpkg-0.2.6 + + crates.vcpkg."0.2.6" = deps: { features?(features_.vcpkg."0.2.6" deps {}) }: buildRustCrate { + crateName = "vcpkg"; + version = "0.2.6"; + description = "A library to find native dependencies in a vcpkg tree at build\ntime in order to be used in Cargo build scripts.\n"; + authors = [ "Jim McGrath <jimmc2@gmail.com>" ]; + sha256 = "1ig6jqpzzl1z9vk4qywgpfr4hfbd8ny8frqsgm3r449wkc4n1i5x"; + }; + features_.vcpkg."0.2.6" = deps: f: updateFeatures f (rec { + vcpkg."0.2.6".default = (f.vcpkg."0.2.6".default or true); + }) []; + + +# end +# walkdir-2.2.7 + + crates.walkdir."2.2.7" = deps: { features?(features_.walkdir."2.2.7" deps {}) }: buildRustCrate { + crateName = "walkdir"; + version = "2.2.7"; + description = "Recursively walk a directory."; + authors = [ "Andrew Gallant <jamslam@gmail.com>" ]; + sha256 = "0wq3v28916kkla29yyi0g0xfc16apwx24py68049kriz3gjlig03"; + dependencies = mapFeatures features ([ + (crates."same_file"."${deps."walkdir"."2.2.7"."same_file"}" deps) + ]) + ++ (if kernel == "windows" then mapFeatures features ([ + (crates."winapi"."${deps."walkdir"."2.2.7"."winapi"}" deps) + (crates."winapi_util"."${deps."walkdir"."2.2.7"."winapi_util"}" deps) + ]) else []); + }; + features_.walkdir."2.2.7" = deps: f: updateFeatures f (rec { + same_file."${deps.walkdir."2.2.7".same_file}".default = true; + walkdir."2.2.7".default = (f.walkdir."2.2.7".default or true); + winapi = fold recursiveUpdate {} [ + { "${deps.walkdir."2.2.7".winapi}"."std" = true; } + { "${deps.walkdir."2.2.7".winapi}"."winnt" = true; } + { "${deps.walkdir."2.2.7".winapi}".default = true; } + ]; + winapi_util."${deps.walkdir."2.2.7".winapi_util}".default = true; + }) [ + (features_.same_file."${deps."walkdir"."2.2.7"."same_file"}" deps) + (features_.winapi."${deps."walkdir"."2.2.7"."winapi"}" deps) + (features_.winapi_util."${deps."walkdir"."2.2.7"."winapi_util"}" deps) + ]; + + +# end +# winapi-0.2.8 + + crates.winapi."0.2.8" = deps: { features?(features_.winapi."0.2.8" deps {}) }: buildRustCrate { + crateName = "winapi"; + version = "0.2.8"; + description = "Types and constants for WinAPI bindings. See README for list of crates providing function bindings."; + authors = [ "Peter Atashian <retep998@gmail.com>" ]; + sha256 = "0a45b58ywf12vb7gvj6h3j264nydynmzyqz8d8rqxsj6icqv82as"; + }; + features_.winapi."0.2.8" = deps: f: updateFeatures f (rec { + winapi."0.2.8".default = (f.winapi."0.2.8".default or true); + }) []; + + +# end +# winapi-0.3.7 + + crates.winapi."0.3.7" = deps: { features?(features_.winapi."0.3.7" deps {}) }: buildRustCrate { + crateName = "winapi"; + version = "0.3.7"; + description = "Raw FFI bindings for all of Windows API."; + authors = [ "Peter Atashian <retep998@gmail.com>" ]; + sha256 = "1k51gfkp0zqw7nj07y443mscs46icmdhld442s2073niap0kkdr8"; + build = "build.rs"; + dependencies = (if kernel == "i686-pc-windows-gnu" then mapFeatures features ([ + (crates."winapi_i686_pc_windows_gnu"."${deps."winapi"."0.3.7"."winapi_i686_pc_windows_gnu"}" deps) + ]) else []) + ++ (if kernel == "x86_64-pc-windows-gnu" then mapFeatures features ([ + (crates."winapi_x86_64_pc_windows_gnu"."${deps."winapi"."0.3.7"."winapi_x86_64_pc_windows_gnu"}" deps) + ]) else []); + features = mkFeatures (features."winapi"."0.3.7" or {}); + }; + features_.winapi."0.3.7" = deps: f: updateFeatures f (rec { + winapi = fold recursiveUpdate {} [ + { "0.3.7"."impl-debug" = + (f.winapi."0.3.7"."impl-debug" or false) || + (f.winapi."0.3.7".debug or false) || + (winapi."0.3.7"."debug" or false); } + { "0.3.7".default = (f.winapi."0.3.7".default or true); } + ]; + winapi_i686_pc_windows_gnu."${deps.winapi."0.3.7".winapi_i686_pc_windows_gnu}".default = true; + winapi_x86_64_pc_windows_gnu."${deps.winapi."0.3.7".winapi_x86_64_pc_windows_gnu}".default = true; + }) [ + (features_.winapi_i686_pc_windows_gnu."${deps."winapi"."0.3.7"."winapi_i686_pc_windows_gnu"}" deps) + (features_.winapi_x86_64_pc_windows_gnu."${deps."winapi"."0.3.7"."winapi_x86_64_pc_windows_gnu"}" deps) + ]; + + +# end +# winapi-build-0.1.1 + + crates.winapi_build."0.1.1" = deps: { features?(features_.winapi_build."0.1.1" deps {}) }: buildRustCrate { + crateName = "winapi-build"; + version = "0.1.1"; + description = "Common code for build.rs in WinAPI -sys crates."; + authors = [ "Peter Atashian <retep998@gmail.com>" ]; + sha256 = "1lxlpi87rkhxcwp2ykf1ldw3p108hwm24nywf3jfrvmff4rjhqga"; + libName = "build"; + }; + features_.winapi_build."0.1.1" = deps: f: updateFeatures f (rec { + winapi_build."0.1.1".default = (f.winapi_build."0.1.1".default or true); + }) []; + + +# end +# adler32-1.0.3 + + crates.adler32."1.0.3" = deps: { features?(features_.adler32."1.0.3" deps {}) }: buildRustCrate { + crateName = "adler32"; + version = "1.0.3"; + description = "Minimal Adler32 implementation for Rust."; + authors = [ "Remi Rampin <remirampin@gmail.com>" ]; + sha256 = "1z3mvjgw02mbqk98kizzibrca01d5wfkpazsrp3vkkv3i56pn6fb"; + }; + features_.adler32."1.0.3" = deps: f: updateFeatures f (rec { + adler32."1.0.3".default = (f.adler32."1.0.3".default or true); + }) []; + + +# end } diff --git a/nixpkgs/pkgs/build-support/rust/default-crate-overrides.nix b/nixpkgs/pkgs/build-support/rust/default-crate-overrides.nix index 46b70ce6bace..c0b1797f73f5 100644 --- a/nixpkgs/pkgs/build-support/rust/default-crate-overrides.nix +++ b/nixpkgs/pkgs/build-support/rust/default-crate-overrides.nix @@ -12,16 +12,17 @@ in cargo = attrs: { buildInputs = [ openssl zlib curl ] - ++ stdenv.lib.optionals stdenv.isDarwin [ CoreFoundation libiconv ]; - # TODO: buildRustCrate seems to use incorrect default inference - crateBin = [ { name = "cargo"; path = "src/bin/cargo.rs"; } ]; + ++ stdenv.lib.optionals stdenv.isDarwin [ CoreFoundation Security libiconv ]; }; cargo-vendor = attrs: { - buildInputs = [ openssl zlib curl ]; - # TODO: this defaults to cargo_vendor; needs to be cargo-vendor to - # be considered a cargo subcommand. - crateBin = [ { name = "cargo-vendor"; path = "src/main.rs"; } ]; + buildInputs = [ openssl zlib curl ] + ++ stdenv.lib.optionals stdenv.isDarwin [ Security ]; + }; + + libz-sys = attrs: { + buildInputs = [ pkgconfig zlib ]; + extraLinkFlags = ["-L${zlib.out}/lib"]; }; curl-sys = attrs: { diff --git a/nixpkgs/pkgs/build-support/source-from-head-fun.nix b/nixpkgs/pkgs/build-support/source-from-head-fun.nix index c6d6cfcc95b6..938df1efd18a 100644 --- a/nixpkgs/pkgs/build-support/source-from-head-fun.nix +++ b/nixpkgs/pkgs/build-support/source-from-head-fun.nix @@ -6,7 +6,7 @@ app --publish to create source snapshots - The documentation is availible at http://github.com/MarcWeber/nix-repository-manager/raw/master/README + The documentation is availible at https://github.com/MarcWeber/nix-repository-manager/raw/master/README */ { config }: diff --git a/nixpkgs/pkgs/build-support/trivial-builders.nix b/nixpkgs/pkgs/build-support/trivial-builders.nix index 592af3fe4f20..e498417adf01 100644 --- a/nixpkgs/pkgs/build-support/trivial-builders.nix +++ b/nixpkgs/pkgs/build-support/trivial-builders.nix @@ -18,8 +18,8 @@ rec { * stdenv with no compiler environment. `runCommandCC` * * Examples: - * runCommand "name" {envVariable = true;} ''echo hello'' - * runCommandNoCC "name" {envVariable = true;} ''echo hello'' # equivalent to prior + * runCommand "name" {envVariable = true;} ''echo hello > $out'' + * runCommandNoCC "name" {envVariable = true;} ''echo hello > $out'' # equivalent to prior * runCommandCC "name" {} ''gcc -o myfile myfile.c; cp myfile $out''; */ runCommand = runCommandNoCC; diff --git a/nixpkgs/pkgs/build-support/writers/default.nix b/nixpkgs/pkgs/build-support/writers/default.nix index 281d2b19bc65..29a4bbad703e 100644 --- a/nixpkgs/pkgs/build-support/writers/default.nix +++ b/nixpkgs/pkgs/build-support/writers/default.nix @@ -94,8 +94,8 @@ rec { ]} gcc \ ${optionalString (libraries != []) - "$(pkgs.pkgconfig}/bin/pkg-config --cflags --libs ${ - concatMapStringsSep " " (lib: escapeShellArg (builtins.parseDrvName lib.name).name) (libraries) + "$(pkg-config --cflags --libs ${ + concatMapStringsSep " " (pkg: "$(find ${escapeShellArg pkg}/lib/pkgsconfig -name \*.pc -exec basename {} \;)") libraries })" } \ -O \ diff --git a/nixpkgs/pkgs/data/fonts/inconsolata/default.nix b/nixpkgs/pkgs/data/fonts/inconsolata/default.nix index e1544787b726..7eded5deab48 100644 --- a/nixpkgs/pkgs/data/fonts/inconsolata/default.nix +++ b/nixpkgs/pkgs/data/fonts/inconsolata/default.nix @@ -10,7 +10,7 @@ stdenv.mkDerivation rec { ''; meta = with stdenv.lib; { - homepage = http://www.levien.com/type/myfonts/inconsolata.html; + homepage = https://www.levien.com/type/myfonts/inconsolata.html; description = "A monospace font for both screen and print"; maintainers = with maintainers; [ mikoim raskin rycee ]; license = licenses.ofl; diff --git a/nixpkgs/pkgs/data/fonts/lalezar-fonts/default.nix b/nixpkgs/pkgs/data/fonts/lalezar-fonts/default.nix new file mode 100644 index 000000000000..c9915d7a3e63 --- /dev/null +++ b/nixpkgs/pkgs/data/fonts/lalezar-fonts/default.nix @@ -0,0 +1,26 @@ +{ stdenv, fetchFromGitHub }: + +stdenv.mkDerivation rec { + pname = "lalezar-fonts"; + version = "unstable-2017-02-28"; + + src = fetchFromGitHub { + owner = "BornaIz"; + repo = "Lalezar"; + rev = "238701c4241f207e92515f845a199be9131c1109"; + sha256 = "1j3zg9qw4ahw52i0i2c69gv5gjc1f4zsdla58kd9visk03qgk77p"; + }; + + installPhase = '' + mkdir -p $out/share/fonts/lalezar-fonts + cp -v $( find . -name '*.ttf') $out/share/fonts/lalezar-fonts + ''; + + meta = with stdenv.lib; { + homepage = https://github.com/BornaIz/Lalezar; + description = "A multi-script display typeface for popular culture"; + license = licenses.ofl; + platforms = platforms.all; + maintainers = [ maintainers.linarcx ]; + }; +} diff --git a/nixpkgs/pkgs/data/fonts/montserrat/default.nix b/nixpkgs/pkgs/data/fonts/montserrat/default.nix index 7d7be9a41a61..37afa063c3e1 100644 --- a/nixpkgs/pkgs/data/fonts/montserrat/default.nix +++ b/nixpkgs/pkgs/data/fonts/montserrat/default.nix @@ -9,7 +9,7 @@ let in fetchzip { name = "montserrat-${version}"; - url = "http://marvid.fr/~eeva/mirror/Montserrat.tar.gz"; + url = "https://marvid.fr/~eeva/mirror/Montserrat.tar.gz"; postFetch = '' tar -xzf $downloadedFile --strip-components=1 @@ -21,7 +21,7 @@ in fetchzip { meta = with stdenv.lib; { description = "A geometric sans serif font with extended latin support (Regular, Alternates, Subrayada)"; - homepage = "http://www.fontspace.com/julieta-ulanovsky/montserrat"; + homepage = "https://www.fontspace.com/julieta-ulanovsky/montserrat"; license = licenses.ofl; platforms = platforms.all; maintainers = with maintainers; [ scolobb ]; diff --git a/nixpkgs/pkgs/data/fonts/ricty/default.nix b/nixpkgs/pkgs/data/fonts/ricty/default.nix index fabaa8d491c8..d26adfc0f9fd 100644 --- a/nixpkgs/pkgs/data/fonts/ricty/default.nix +++ b/nixpkgs/pkgs/data/fonts/ricty/default.nix @@ -5,7 +5,7 @@ stdenv.mkDerivation rec { version = "4.1.1"; src = fetchurl { - url = "http://www.rs.tus.ac.jp/yyusa/ricty/ricty_generator-${version}.sh"; + url = "https://www.rs.tus.ac.jp/yyusa/ricty/ricty_generator-${version}.sh"; sha256 = "03fngb8f5hl7ifigdm5yljhs4z2x80cq8y8kna86d07ghknhzgw6"; }; @@ -29,7 +29,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "A high-quality Japanese font based on Inconsolata and Migu 1M"; - homepage = http://www.rs.tus.ac.jp/yyusa/ricty.html; + homepage = https://www.rs.tus.ac.jp/yyusa/ricty.html; license = licenses.unfree; platforms = platforms.unix; maintainers = [ maintainers.mikoim ]; diff --git a/nixpkgs/pkgs/data/fonts/sarasa-gothic/default.nix b/nixpkgs/pkgs/data/fonts/sarasa-gothic/default.nix index b8cc43c254a5..17ee7cc2a9ea 100644 --- a/nixpkgs/pkgs/data/fonts/sarasa-gothic/default.nix +++ b/nixpkgs/pkgs/data/fonts/sarasa-gothic/default.nix @@ -1,8 +1,8 @@ { stdenv, fetchurl, p7zip }: let - version = "0.6.0"; - sha256 = "08g3kzplp3v8kvni1vzl73fgh03xgfl8pwqyj7vwjihjdr1xfjyz"; + version = "0.8.0"; + sha256 = "0zafvzrh4180hmz351f1rvs29n8mfxf0qv6mdl7psf1f066dizs6"; in fetchurl rec { inherit sha256; diff --git a/nixpkgs/pkgs/data/fonts/siji/default.nix b/nixpkgs/pkgs/data/fonts/siji/default.nix index 99f0d913b857..a5a4be73c275 100644 --- a/nixpkgs/pkgs/data/fonts/siji/default.nix +++ b/nixpkgs/pkgs/data/fonts/siji/default.nix @@ -8,11 +8,13 @@ in fetchzip { url = https://github.com/stark/siji/archive/95369afac3e661cb6d3329ade5219992c88688c1.zip; postFetch = '' - mkdir -p $out/share/fonts - unzip -j $downloadedFile \*.pcf -d $out/share/fonts/pcf + unzip -j $downloadedFile + + install -D *.pcf -t $out/share/fonts/pcf + install -D *.bdf -t $out/share/fonts/bdf ''; - sha256 = "1799hs7zd8w7qyja4mii9ggmrm786az7ldsqwx9mbi51b56ym640"; + sha256 = "1ymcbirdbkqaf0xs2y00l0wachb4yxh1fgqm5avqwvccs0lsfj1d"; meta = { homepage = https://github.com/stark/siji; diff --git a/nixpkgs/pkgs/data/icons/papirus-icon-theme/default.nix b/nixpkgs/pkgs/data/icons/papirus-icon-theme/default.nix index ac0f578a1c7e..60653c75bea8 100644 --- a/nixpkgs/pkgs/data/icons/papirus-icon-theme/default.nix +++ b/nixpkgs/pkgs/data/icons/papirus-icon-theme/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { name = "papirus-icon-theme-${version}"; - version = "20190302"; + version = "20190331"; src = fetchFromGitHub { owner = "PapirusDevelopmentTeam"; repo = "papirus-icon-theme"; rev = version; - sha256 = "10dhjwcb9jr7nr29jxl5nj09fzlj4w25hzssw1hgm4hbp72v4z05"; + sha256 = "0kprnax26qwnxjcd0rrgdbj00835byaamkabjxi2z8lh0k47ap85"; }; nativeBuildInputs = [ gtk3 ]; diff --git a/nixpkgs/pkgs/data/icons/zafiro-icons/default.nix b/nixpkgs/pkgs/data/icons/zafiro-icons/default.nix index 455147d7aa7b..942f79ca6e45 100644 --- a/nixpkgs/pkgs/data/icons/zafiro-icons/default.nix +++ b/nixpkgs/pkgs/data/icons/zafiro-icons/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "zafiro-icons"; - version = "0.8.7"; + version = "0.9"; src = fetchFromGitHub { owner = "zayronxio"; repo = pname; rev = "v${version}"; - sha256 = "09zdaly9g11k6p36xdd7skgl78s6j78hn3gy1jnpsxh0136md89h"; + sha256 = "0zmnhih4gz8bidyzf1wimy85z7zx9i29mv1zirmykpqj819g7mx9"; }; nativeBuildInputs = [ gtk3 ]; diff --git a/nixpkgs/pkgs/data/themes/materia-theme/default.nix b/nixpkgs/pkgs/data/themes/materia-theme/default.nix index ba0958896c5c..46b44d3ff90c 100644 --- a/nixpkgs/pkgs/data/themes/materia-theme/default.nix +++ b/nixpkgs/pkgs/data/themes/materia-theme/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, gnome3, libxml2, gtk-engine-murrine, gdk_pixbuf, librsvg, bc }: +{ stdenv, fetchFromGitHub, gnome3, glib, libxml2, gtk-engine-murrine, gdk_pixbuf, librsvg, bc }: stdenv.mkDerivation rec { pname = "materia-theme"; @@ -11,7 +11,7 @@ stdenv.mkDerivation rec { sha256 = "1fpipwvwxjiriqhysqgx51rnax73hyd5jkyxhc2g3y73s5r2xq82"; }; - nativeBuildInputs = [ gnome3.glib libxml2 bc ]; + nativeBuildInputs = [ glib libxml2 bc ]; buildInputs = [ gnome3.gnome-themes-extra gdk_pixbuf librsvg ]; diff --git a/nixpkgs/pkgs/data/themes/nordic-polar/default.nix b/nixpkgs/pkgs/data/themes/nordic-polar/default.nix index af1ccd0a5829..c4265875390e 100644 --- a/nixpkgs/pkgs/data/themes/nordic-polar/default.nix +++ b/nixpkgs/pkgs/data/themes/nordic-polar/default.nix @@ -2,16 +2,16 @@ stdenv.mkDerivation rec { name = "nordic-polar-${version}"; - version = "1.4.0"; + version = "1.5.0"; srcs = [ (fetchurl { - url = "https://github.com/EliverLara/Nordic-Polar/releases/download/v${version}/Nordic-Polar.tar.xz"; - sha256 = "0sw4m1njnxal1kkiipsvfg9ndzxsf9rxfba5vhwswyzk388264xa"; + url = "https://github.com/EliverLara/Nordic-Polar/releases/download/v.${version}/Nordic-Polar.tar.xz"; + sha256 = "0ddccxvyf929045x6fm8xyx6rvb0d6wh6pylycwgqqm4vxbdwnly"; }) (fetchurl { - url = "https://github.com/EliverLara/Nordic-Polar/releases/download/v${version}/Nordic-Polar-standard-buttons.tar.xz"; - sha256 = "0ix0x0pnhfd1lrfj7a7n8xfg8vvzg7m0dzrsj8gzpav6wvwlypiy"; + url = "https://github.com/EliverLara/Nordic-Polar/releases/download/v.${version}/Nordic-Polar-standard-buttons.tar.xz"; + sha256 = "0q0qfy0aw80rds6isx3pjrqx4zrq2crxrm29nrmyzh4gr7n17li6"; }) ]; diff --git a/nixpkgs/pkgs/data/themes/nordic/default.nix b/nixpkgs/pkgs/data/themes/nordic/default.nix index 93df3c723dd5..1f0e110488b7 100644 --- a/nixpkgs/pkgs/data/themes/nordic/default.nix +++ b/nixpkgs/pkgs/data/themes/nordic/default.nix @@ -2,24 +2,24 @@ stdenv.mkDerivation rec { name = "nordic-${version}"; - version = "1.5.4"; + version = "1.6.5"; srcs = [ (fetchurl { url = "https://github.com/EliverLara/Nordic/releases/download/v${version}/Nordic.tar.xz"; - sha256 = "0m00hwr6ms9fzlpl97d972wvgq5l0m11mpn213248a8sqbh2zz9g"; + sha256 = "163g1kh92fhgbwi91053xs39bpdd032w2v67c3jf8lf4cgvkwggp"; }) (fetchurl { url = "https://github.com/EliverLara/Nordic/releases/download/v${version}/Nordic-blue.tar.xz"; - sha256 = "05k1m9f0q4mfaqp2as3ymjsqmyz0bs5cd576srd5v952dzxmmbm2"; + sha256 = "05qq1v8sil8s51aa78q2najcqdnkpgdzc8dckrx47wy36cfxbxwz"; }) (fetchurl { url = "https://github.com/EliverLara/Nordic/releases/download/v${version}/Nordic-standard-buttons.tar.xz"; - sha256 = "1qps13fpp8y83c25c51w7kyds266gmks8c7kjp23iybij2lkny1m"; + sha256 = "17r450xxd8v8125a4bwd0yj3f3vnwcad2f19a0vgmk63s9grvkg0"; }) (fetchurl { url = "https://github.com/EliverLara/Nordic/releases/download/v${version}/Nordic-blue-standard-buttons.tar.xz"; - sha256 = "1c0j6qsxa6zahrl9ad0q6pczgbmm8qn9qsd7k41yk2ndh9iqzr5y"; + sha256 = "0894naw0wkl2h9l27qz9h1k02dfgfqyb02icmgadg0cb44j3zlpb"; }) ]; diff --git a/nixpkgs/pkgs/data/themes/plata/default.nix b/nixpkgs/pkgs/data/themes/plata/default.nix index de15034590d2..b43a6e35ab25 100644 --- a/nixpkgs/pkgs/data/themes/plata/default.nix +++ b/nixpkgs/pkgs/data/themes/plata/default.nix @@ -18,13 +18,13 @@ stdenv.mkDerivation rec { name = "plata-theme-${version}"; - version = "0.6.0"; + version = "0.7.6"; src = fetchFromGitLab { owner = "tista500"; repo = "plata-theme"; rev = version; - sha256 = "182i2wbviwpdvmkmayyqggjx6fvlpf4vsmhsyi6nlg9m4n1djxp8"; + sha256 = "1jllsl2h3zdvlp3k2dy3h4jyccrzzymwbqz43jhnm6mxxabxzijg"; }; preferLocalBuild = true; diff --git a/nixpkgs/pkgs/desktops/enlightenment/terminology.nix b/nixpkgs/pkgs/desktops/enlightenment/terminology.nix index f11f21f5b955..5c8aba4d882f 100644 --- a/nixpkgs/pkgs/desktops/enlightenment/terminology.nix +++ b/nixpkgs/pkgs/desktops/enlightenment/terminology.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "terminology-${version}"; - version = "1.3.2"; + version = "1.4.0"; src = fetchurl { url = "http://download.enlightenment.org/rel/apps/terminology/${name}.tar.xz"; - sha256 = "1kclxzadmk272s9spa7n704pcb1c611ixxrq88w5zk22va0i25xm"; + sha256 = "0q1y7fadj42n23aspx9y8hm4w4xlc316wc3415wnf75ibsx08ngd"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/desktops/gnome-3/apps/polari/default.nix b/nixpkgs/pkgs/desktops/gnome-3/apps/polari/default.nix index d48c59b093dc..1497302219af 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/apps/polari/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/apps/polari/default.nix @@ -5,13 +5,13 @@ let pname = "polari"; - version = "3.32.0"; + version = "3.32.1"; in stdenv.mkDerivation rec { name = "${pname}-${version}"; src = fetchurl { url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; - sha256 = "1jq1xvk9a05x37g9w349f5q069cvg5lfbhxj88gpbnf4fyndnr70"; + sha256 = "0z2dxj1hd798jn79y9a7lkb77lm8l7y5fsqh9g6lbr7pnmg559yk"; }; propagatedUserEnvPkgs = [ telepathy-idle telepathy-logger ]; diff --git a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-control-center/default.nix b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-control-center/default.nix index 57924dce5b0d..cfd20044c6c6 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-control-center/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-control-center/default.nix @@ -9,7 +9,7 @@ , gtk3, glib, glib-networking, gsettings-desktop-schemas , gnome-desktop, gnome-settings-daemon, gnome-online-accounts , vino, gnome-bluetooth, tracker, adwaita-icon-theme -, udisks2, gsound, libhandy, cups }: +, udisks2, gsound, libhandy, cups, mutter }: stdenv.mkDerivation rec { pname = "gnome-control-center"; @@ -40,6 +40,7 @@ stdenv.mkDerivation rec { (substituteAll { src = ./paths.patch; gcm = gnome-color-manager; + gnome_desktop = gnome-desktop; inherit glibc libgnomekbd tzdata; inherit cups networkmanagerapplet; }) @@ -60,6 +61,8 @@ stdenv.mkDerivation rec { # Thumbnailers (for setting user profile pictures) --prefix XDG_DATA_DIRS : "${gdk_pixbuf}/share" --prefix XDG_DATA_DIRS : "${librsvg}/share" + # WM keyboard shortcuts + --prefix XDG_DATA_DIRS : "${mutter}/share" ) for i in $out/share/applications/*; do substituteInPlace $i --replace "Exec=gnome-control-center" "Exec=$out/bin/gnome-control-center" diff --git a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-control-center/paths.patch b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-control-center/paths.patch index 589461d80405..97d8f0bee683 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-control-center/paths.patch +++ b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-control-center/paths.patch @@ -54,6 +54,19 @@ index 96b25140c..1ad704d4a 100644 typedef struct _TzDB TzDB; typedef struct _TzLocation TzLocation; +diff --git a/panels/info/cc-info-overview-panel.c b/panels/info/cc-info-overview-panel.c +index 4541986db..da7826bfe 100644 +--- a/panels/info/cc-info-overview-panel.c ++++ b/panels/info/cc-info-overview-panel.c +@@ -187,7 +187,7 @@ load_gnome_version (char **version, + gsize length; + g_autoptr(VersionData) data = NULL; + +- if (!g_file_get_contents (DATADIR "/gnome/gnome-version.xml", ++ if (!g_file_get_contents ("@gnome_desktop@/share/gnome/gnome-version.xml", + &contents, + &length, + &error)) diff --git a/panels/network/connection-editor/net-connection-editor.c b/panels/network/connection-editor/net-connection-editor.c index 9390a3308..d30b4a68e 100644 --- a/panels/network/connection-editor/net-connection-editor.c diff --git a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-shell-extensions/default.nix b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-shell-extensions/default.nix index 5e4182afb107..21281f15a5c1 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-shell-extensions/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-shell-extensions/default.nix @@ -3,11 +3,11 @@ stdenv.mkDerivation rec { name = "gnome-shell-extensions-${version}"; - version = "3.32.0"; + version = "3.32.1"; src = fetchurl { url = "mirror://gnome/sources/gnome-shell-extensions/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; - sha256 = "0wzrivhp6vs4754yldza38gkhkhah35rdncb3c3hxhhyv9fr3pl5"; + sha256 = "07libf6z24n42hpdsq163w0j8xyrav0lxqrwxrvq5kbz8zxv5ch2"; }; passthru = { diff --git a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-shell/default.nix b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-shell/default.nix index fb92d55c7994..337ea4d13686 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-shell/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-shell/default.nix @@ -14,11 +14,11 @@ let in stdenv.mkDerivation rec { name = "gnome-shell-${version}"; - version = "3.32.0"; + version = "3.32.1"; src = fetchurl { url = "mirror://gnome/sources/gnome-shell/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; - sha256 = "1djkswsv3fhb3lf2w77bbl6z2kvji29cfxbwh5gqvyykwwx87y92"; + sha256 = "1pb00af3w4wivdhcvdy59z2xlxasg90bcm5a9ck0p5lf97adwx08"; }; LANG = "en_US.UTF-8"; diff --git a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-software/default.nix b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-software/default.nix index 0cd8267f5210..f71df437d113 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-software/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-software/default.nix @@ -5,11 +5,11 @@ stdenv.mkDerivation rec { name = "gnome-software-${version}"; - version = "3.32.0"; + version = "3.32.1"; src = fetchurl { url = "mirror://gnome/sources/gnome-software/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; - sha256 = "19hrvkyavrfrhs19ii4ky5bpzsijiyq2vcxb5s4xk13xv8ys2151"; + sha256 = "0xxhvyqfwlcyvlqc36rpcili00dpwy8b5c5klaqccn5qrc87rl38"; }; patches = [ diff --git a/nixpkgs/pkgs/desktops/gnome-3/core/grilo-plugins/default.nix b/nixpkgs/pkgs/desktops/gnome-3/core/grilo-plugins/default.nix index 02e0c29fdaa7..2e8c3c4a0030 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/core/grilo-plugins/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/core/grilo-plugins/default.nix @@ -1,24 +1,67 @@ -{ stdenv, fetchurl, meson, ninja, pkgconfig, gettext, sqlite, librest -, gnome3, libxml2, gupnp, gssdp, lua5, liboauth, gupnp-av, libgdata, libmediaart -, gmime, json-glib, avahi, tracker, dleyna-server, itstool, totem-pl-parser }: +{ stdenv +, fetchurl +, meson +, ninja +, pkgconfig +, gettext +, gperf +, sqlite +, librest +, libarchive +, libsoup +, gnome3 +, libxml2 +, lua5_3 +, liboauth +, libgdata +, libmediaart +, grilo +, gnome-online-accounts +, gmime +, json-glib +, avahi +, tracker +, dleyna-server +, itstool +, totem-pl-parser +}: -let +stdenv.mkDerivation rec { pname = "grilo-plugins"; version = "0.3.8"; -in stdenv.mkDerivation rec { - name = "${pname}-${version}"; src = fetchurl { - url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; sha256 = "0nync07gah3jkpb5ph5d3gwbygmabnih2m3hfz7lkvjl2l5pgpac"; }; - nativeBuildInputs = [ meson ninja pkgconfig gettext itstool ]; + nativeBuildInputs = [ + meson + ninja + pkgconfig + gettext + itstool + gperf # for lua-factory + ]; + buildInputs = [ - gnome3.grilo libxml2 gupnp gssdp libgdata - lua5 liboauth gupnp-av sqlite gnome3.gnome-online-accounts - totem-pl-parser librest gmime json-glib - avahi libmediaart tracker dleyna-server + grilo + libxml2 + libgdata + lua5_3 + liboauth + sqlite + gnome-online-accounts + totem-pl-parser + librest + libarchive + libsoup + gmime + json-glib + avahi + libmediaart + tracker + dleyna-server ]; passthru = { diff --git a/nixpkgs/pkgs/desktops/gnome-3/core/mutter/default.nix b/nixpkgs/pkgs/desktops/gnome-3/core/mutter/default.nix index 4d3e72e7ff00..2c305f002fd5 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/core/mutter/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/core/mutter/default.nix @@ -10,12 +10,12 @@ }: stdenv.mkDerivation rec { - name = "mutter-${version}"; - version = "3.32.0"; + pname = "mutter"; + version = "3.32.1"; src = fetchurl { - url = "mirror://gnome/sources/mutter/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; - sha256 = "068zir5c1awmzb31gx94zjykv6c3xb1p5pch7860y3xlihha4s3n"; + url = "mirror://gnome/sources/mutter/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; + sha256 = "1q74lrb08vy0ynxbssqyxvbzf9252xgf9l6jxr9g4q0gmvpq402j"; }; mesonFlags = [ diff --git a/nixpkgs/pkgs/desktops/gnome-3/core/rygel/add-option-for-installation-sysconfdir.patch b/nixpkgs/pkgs/desktops/gnome-3/core/rygel/add-option-for-installation-sysconfdir.patch new file mode 100644 index 000000000000..4259f93eb24c --- /dev/null +++ b/nixpkgs/pkgs/desktops/gnome-3/core/rygel/add-option-for-installation-sysconfdir.patch @@ -0,0 +1,38 @@ +diff --git a/meson.build b/meson.build +index 209d4187..58580980 100644 +--- a/meson.build ++++ b/meson.build +@@ -20,7 +20,11 @@ if not get_option('uninstalled') + rygel_datadir = join_paths(get_option('prefix'), get_option('datadir'), 'rygel') + rygel_libexecdir = join_paths(get_option('prefix'), get_option('libexecdir'), + 'rygel') +- rygel_sysconfdir = join_paths(get_option('prefix'), get_option('sysconfdir')) ++ if get_option('sysconfdir_install') != '' ++ rygel_sysconfdir = join_paths(get_option('prefix'), get_option('sysconfdir_install')) ++ else ++ rygel_sysconfdir = join_paths(get_option('prefix'), get_option('sysconfdir')) ++ endif + rygel_plugindir = join_paths(rygel_libdir, 'rygel-2.6', 'plugins') + rygel_enginedir = join_paths(rygel_libdir, 'rygel-2.6', 'engines') + rygel_presetdir = join_paths(rygel_datadir, 'rygel', 'presets') +@@ -55,7 +59,7 @@ conf.set_quoted('DATA_DIR', rygel_datadir) + conf.set_quoted('PLUGIN_DIR', rygel_plugindir) + conf.set_quoted('BIG_ICON_DIR', rygel_bigicondir) + conf.set_quoted('SMALL_ICON_DIR', rygel_smallicondir) +-conf.set_quoted('SYS_CONFIG_DIR', rygel_sysconfdir) ++conf.set_quoted('SYS_CONFIG_DIR', get_option('sysconfdir')) + conf.set_quoted('LOCALEDIR', join_paths(get_option('prefix'), get_option('localedir'))) + conf.set_quoted('MX_EXTRACT_PATH', join_paths(rygel_libexecdir, 'mx-extract')) + conf.set_quoted('DESKTOP_DIR', join_paths(get_option('prefix'), get_option('datadir'), 'applications')) +diff --git a/meson_options.txt b/meson_options.txt +index f09cac58..ff11c548 100644 +--- a/meson_options.txt ++++ b/meson_options.txt +@@ -1,6 +1,7 @@ + option('uninstalled', type: 'boolean', value: 'false', description: 'Run Rygel from build directory only') + option('api-docs', type: 'boolean', value: 'false', description: 'Build the API documentation') + option('systemd-user-units-dir', type : 'string', value : 'auto', description : 'Where to install the systemd user unit (use special values "auto" or "none", or pass a path') ++option('sysconfdir_install', type: 'string', value: '', description: 'sysconfdir to use during installation') + option('plugins', type : 'array', choices : ['external', 'gst-launch', 'lms', 'media-export', 'mpris', 'playbin', 'ruih', 'tracker']) + option('engines', type : 'array', choices : ['simple', 'gstreamer']) + option('examples', type : 'boolean', value : 'true') diff --git a/nixpkgs/pkgs/desktops/gnome-3/core/rygel/default.nix b/nixpkgs/pkgs/desktops/gnome-3/core/rygel/default.nix index 5d60500b3636..5e701e488f26 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/core/rygel/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/core/rygel/default.nix @@ -1,41 +1,93 @@ -{ stdenv, fetchurl, pkgconfig, vala, gettext, libxml2, gobject-introspection, gtk-doc, wrapGAppsHook, glib, gssdp, gupnp, gupnp-av, gupnp-dlna, gst_all_1, libgee, libsoup, gtk3, libmediaart, sqlite, systemd, tracker, shared-mime-info, gnome3 }: +{ stdenv +, fetchurl +, meson +, ninja +, pkgconfig +, vala +, gettext +, libxml2 +, gobject-introspection +, wrapGAppsHook +, python3 +, glib +, gssdp +, gupnp +, gupnp-av +, gupnp-dlna +, gst_all_1 +, libgee +, libsoup +, gtk3 +, libmediaart +, sqlite +, systemd +, tracker +, shared-mime-info +, gnome3 +}: -let +stdenv.mkDerivation rec { pname = "rygel"; - version = "0.36.2"; -in stdenv.mkDerivation rec { - name = "${pname}-${version}"; + version = "0.38.0"; # TODO: split out lib - outputs = [ "out" "dev" "devdoc" ]; + outputs = [ "out" "dev" ]; src = fetchurl { - url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; - sha256 = "0i12z6bzfzgcjidhxa2jsvpm4hqpab0s032z13jy2vbifrncfcnk"; + url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; + sha256 = "03ky18hwcz362lbhqm1zm0ax2a075r69xms5cznchn9p9w8z5axc"; }; nativeBuildInputs = [ - pkgconfig vala gettext libxml2 gobject-introspection gtk-doc wrapGAppsHook + meson + ninja + pkgconfig + vala + gettext + libxml2 + gobject-introspection + wrapGAppsHook + python3 ]; + buildInputs = [ - glib gssdp gupnp gupnp-av gupnp-dlna libgee libsoup gtk3 libmediaart sqlite systemd tracker shared-mime-info + glib + gssdp + gupnp + gupnp-av + gupnp-dlna + libgee + libsoup + gtk3 + libmediaart + sqlite + systemd + tracker + shared-mime-info ] ++ (with gst_all_1; [ - gstreamer gst-plugins-base gst-plugins-good gst-plugins-bad gst-plugins-ugly + gstreamer + gst-plugins-base + gst-plugins-good + gst-plugins-bad + gst-plugins-ugly ]); - configureFlags = [ - "--with-systemduserunitdir=$(out)/lib/systemd/user" - "--enable-apidocs" + mesonFlags = [ + "-Dsystemd-user-units-dir=${placeholder "out"}/lib/systemd/user" + "-Dapi-docs=false" "--sysconfdir=/etc" - ]; - - installFlags = [ - "sysconfdir=$(out)/etc" + "-Dsysconfdir_install=${placeholder "out"}/etc" ]; doCheck = true; - enableParallelBuilding = true; + patches = [ + ./add-option-for-installation-sysconfdir.patch + ]; + + postPatch = '' + patchShebangs data/xml/process-xml.py + ''; passthru = { updateScript = gnome3.updateScript { diff --git a/nixpkgs/pkgs/desktops/gnome-3/extensions/icon-hider/default.nix b/nixpkgs/pkgs/desktops/gnome-3/extensions/icon-hider/default.nix index f5069b8753b5..d08e6f3a57df 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/extensions/icon-hider/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/extensions/icon-hider/default.nix @@ -1,14 +1,14 @@ -{ stdenv, fetchFromGitHub }: +{ stdenv, fetchFromGitHub, gnome3 }: stdenv.mkDerivation rec { name = "gnome-shell-extension-icon-hider-${version}"; - version = "21"; + version = "23"; src = fetchFromGitHub { owner = "ikalnytskyi"; repo = "gnome-shell-extension-icon-hider"; rev = "v${version}"; - sha256 = "0l0jb0ishaq00d4kdfvv5p7pj7b45dz57y3j2ihqr695bzb6b9hr"; + sha256 = "18c8zkdrmdbghqqz7b450vhgpykgz25mgigwn2nggcb2lxmvm9ks"; }; uuid = "icon-hider@kalnitsky.org"; @@ -21,9 +21,8 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "Icon Hider is a GNOME Shell extension for managing status area items"; license = licenses.bsd3; - broken = true; # not compatable with latest GNOME maintainers = with maintainers; [ jonafato ]; - platforms = platforms.linux; + platforms = gnome3.gnome-shell.meta.platforms; homepage = https://github.com/ikalnytskyi/gnome-shell-extension-icon-hider; }; } diff --git a/nixpkgs/pkgs/desktops/gnome-3/extensions/sound-output-device-chooser/default.nix b/nixpkgs/pkgs/desktops/gnome-3/extensions/sound-output-device-chooser/default.nix index a3a351e4a65c..33c127f449b8 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/extensions/sound-output-device-chooser/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/extensions/sound-output-device-chooser/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "gnome-shell-extension-sound-output-device-chooser"; - version = "unstable-2019-03-10"; + version = "unstable-2019-04-01"; src = fetchFromGitHub { owner = "kgshank"; repo = "gse-sound-output-device-chooser"; - rev = "26c66da6795104802f3240bd9f5741f64367c8e7"; - sha256 = "153cdd0pip4nbpc2a9y1v3y7qivafv3wk296zqdamcjrd0p94nqz"; + rev = "37098909a50bafe2f2538819f988cb2327ed7c60"; + sha256 = "09sbby8zi9xn21lbdry57bp1vwgd5c73anvqpw9css3x2ryda5li"; }; dontBuild = true; diff --git a/nixpkgs/pkgs/desktops/gnome-3/extensions/window-corner-preview/default.nix b/nixpkgs/pkgs/desktops/gnome-3/extensions/window-corner-preview/default.nix index b0e45c2b7bf7..7d5de1062b16 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/extensions/window-corner-preview/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/extensions/window-corner-preview/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "gnome-shell-extension-window-corner-preview"; - version = "unstable-2019-02-27"; + version = "unstable-2019-04-03"; src = fetchFromGitHub { owner = "medenagan"; repo = "window-corner-preview"; - rev = "9c1e97c7f7ecd530abac572050f6ec89c1ac7571"; - sha256 = "12yx3zfnqkpy9g8mhniw02q61axgb14aqiyj6pdfcdmd6hrgsmz6"; + rev = "a95bb1389d94474efab7509aac592fb58fff6006"; + sha256 = "03v18j9l0fb64xrg3swf1vcgl0kpgwjlp8ddn068bpvghrsvgfah"; }; dontBuild = true; diff --git a/nixpkgs/pkgs/desktops/gnome-3/misc/pomodoro/default.nix b/nixpkgs/pkgs/desktops/gnome-3/misc/pomodoro/default.nix index 9829d5813e9f..fcbceab24eab 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/misc/pomodoro/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/misc/pomodoro/default.nix @@ -1,49 +1,73 @@ -{ stdenv, fetchFromGitHub, fetchpatch, autoconf-archive, appstream-glib, intltool, pkgconfig, libtool, wrapGAppsHook, - dbus-glib, libcanberra, gst_all_1, vala, gnome3, gtk3, libxml2, autoreconfHook, - glib, gobject-introspection, libpeas +{ stdenv +, fetchFromGitHub +, autoconf-archive +, appstream-glib +, pkgconfig +, wrapGAppsHook +, libcanberra +, gst_all_1 +, vala +, gtk3 +, gom +, sqlite +, libxml2 +, autoreconfHook +, glib +, gobject-introspection +, libpeas +, gnome-shell +, gsettings-desktop-schemas +, adwaita-icon-theme +, gettext }: stdenv.mkDerivation rec { - version = "0.13.4"; - name = "gnome-shell-pomodoro-${version}"; + pname = "gnome-shell-pomodoro"; + version = "0.15.1"; src = fetchFromGitHub { owner = "codito"; repo = "gnome-pomodoro"; - rev = "${version}"; - sha256 = "0fiql99nhj168wbfhvzrhfcm4c4569gikd2zaf10vzszdqjahrl1"; + rev = version; + sha256 = "0nmgd122gsfka0p50mila88iwrzckq2r36a3h20lswn5qkn321i1"; }; - patches = [ - # build with Vala ≥ 0.42 - (fetchpatch { - url = https://github.com/codito/gnome-pomodoro/commit/36778823ca5bd94b2aa948e5d8718f84d99d9af0.patch; - sha256 = "0a9x0p5wny3an9xawam9nhpffw5m4kgwj5jvv0g6c2lwlfzrx2rh"; - }) - ]; - nativeBuildInputs = [ - autoreconfHook vala autoconf-archive libtool intltool appstream-glib - wrapGAppsHook pkgconfig libxml2 + appstream-glib + autoconf-archive + autoreconfHook + gettext + gobject-introspection + libxml2 + pkgconfig + vala + wrapGAppsHook ]; buildInputs = [ - glib gobject-introspection libpeas - dbus-glib libcanberra gst_all_1.gstreamer - gst_all_1.gst-plugins-base gst_all_1.gst-plugins-good - gnome3.gsettings-desktop-schemas - gnome3.gnome-shell gtk3 gnome3.adwaita-icon-theme + adwaita-icon-theme + glib + gnome-shell + gom + gsettings-desktop-schemas + gst_all_1.gst-plugins-base + gst_all_1.gst-plugins-good + gst_all_1.gstreamer + gtk3 + libcanberra + libpeas + sqlite ]; meta = with stdenv.lib; { - homepage = http://gnomepomodoro.org/; - description = "A time management utility for GNOME based on the pomodoro technique"; + homepage = https://gnomepomodoro.org/; + description = "Time management utility for GNOME based on the pomodoro technique"; longDescription = '' This GNOME utility helps to manage time according to Pomodoro Technique. It intends to improve productivity and focus by taking short breaks. ''; - maintainers = with maintainers; [ ]; - license = licenses.gpl3; + maintainers = with maintainers; [ worldofpeace ]; + license = licenses.gpl3Plus; platforms = platforms.linux; }; } diff --git a/nixpkgs/pkgs/desktops/lxqt/default.nix b/nixpkgs/pkgs/desktops/lxqt/default.nix index db21a72cb9b1..6b03c2a6c9e8 100644 --- a/nixpkgs/pkgs/desktops/lxqt/default.nix +++ b/nixpkgs/pkgs/desktops/lxqt/default.nix @@ -42,6 +42,7 @@ let qps = callPackage ./qps { }; screengrab = callPackage ./screengrab { }; qlipper = callPackage ./qlipper { }; + lxqt-archiver = callPackage ./lxqt-archiver { }; preRequisitePackages = [ pkgs.gvfs # virtual file systems support for PCManFM-QT @@ -89,6 +90,7 @@ let compton-conf obconf-qt lximage-qt + lxqt-archiver ### QtDesktop project qps diff --git a/nixpkgs/pkgs/desktops/lxqt/lxqt-archiver/default.nix b/nixpkgs/pkgs/desktops/lxqt/lxqt-archiver/default.nix new file mode 100644 index 000000000000..75ec5aa06edc --- /dev/null +++ b/nixpkgs/pkgs/desktops/lxqt/lxqt-archiver/default.nix @@ -0,0 +1,40 @@ +{ stdenv, fetchFromGitHub, cmake, pkgconfig, lxqt-build-tools, json-glib, libfm-qt, qtbase, qttools, qtx11extras }: + +stdenv.mkDerivation rec { + name = "${pname}-${version}"; + pname = "lxqt-archiver"; + version = "0.0.96"; + + src = fetchFromGitHub { + owner = "lxqt"; + repo = pname; + rev = version; + sha256 = "1vc9pzxrhznp65gdkzj3fzzivfqy712mwcxp3r25ar59d54alfpj"; + }; + + nativeBuildInputs = [ + cmake + pkgconfig + lxqt-build-tools + ]; + + buildInputs = [ + json-glib + libfm-qt + qtbase + qttools + qtx11extras + ]; + + cmakeFlags = [ "-DPULL_TRANSLATIONS=NO" ]; + + hardeningDisable = [ "format" ]; + + meta = with stdenv.lib; { + description = "Archive tool for the LXQt desktop environment"; + homepage = https://github.com/lxqt/lxqt-archiver/; + license = licenses.gpl2; + platforms = with platforms; unix; + maintainers = with maintainers; [ jchw ]; + }; +} diff --git a/nixpkgs/pkgs/desktops/mate/atril/default.nix b/nixpkgs/pkgs/desktops/mate/atril/default.nix index 4bad52dfb676..5ef080ef50be 100644 --- a/nixpkgs/pkgs/desktops/mate/atril/default.nix +++ b/nixpkgs/pkgs/desktops/mate/atril/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "atril-${version}"; - version = "1.20.3"; + version = "1.22.1"; src = fetchurl { - url = "https://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "00vrqyfk370fdhlfv3m6n0l6hnx30hrsrcg1xja03957cgvcvnvr"; + url = "https://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "0i2wgsksgwhrmajj1lay3iym4dcyj8cdd813yh5mrfz4rkv49190"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/desktops/mate/caja-dropbox/default.nix b/nixpkgs/pkgs/desktops/mate/caja-dropbox/default.nix index f84fe2fef2eb..411308c488c7 100644 --- a/nixpkgs/pkgs/desktops/mate/caja-dropbox/default.nix +++ b/nixpkgs/pkgs/desktops/mate/caja-dropbox/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, pkgconfig, gtk3, mate, pythonPackages }: +{ stdenv, fetchurl, pkgconfig, gtk3, mate, python3Packages }: stdenv.mkDerivation rec { name = "caja-dropbox-${version}"; - version = "1.20.0"; + version = "1.22.1"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0xjqcfi5n6hsfyw77blplkn30as0slkfzngxid1n6z7jz5yjq7vj"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "18cnd3yw2ingvl38mhmfbl5k0kfg8pzcf2649j00i6v90cwiril5"; }; nativeBuildInputs = [ @@ -16,9 +16,9 @@ stdenv.mkDerivation rec { buildInputs = [ gtk3 mate.caja - pythonPackages.python - pythonPackages.pygtk - pythonPackages.docutils + python3Packages.python + python3Packages.pygtk + python3Packages.docutils ]; configureFlags = [ "--with-caja-extension-dir=$$out/lib/caja/extensions-2.0" ]; diff --git a/nixpkgs/pkgs/desktops/mate/caja-extensions/default.nix b/nixpkgs/pkgs/desktops/mate/caja-extensions/default.nix index ec1e8324e231..108e8fe1e0b1 100644 --- a/nixpkgs/pkgs/desktops/mate/caja-extensions/default.nix +++ b/nixpkgs/pkgs/desktops/mate/caja-extensions/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, pkgconfig, intltool, gtk3, dbus-glib, gupnp, mate, imagemagick, wrapGAppsHook }: +{ stdenv, fetchurl, pkgconfig, intltool, gtk3, gupnp, mate, imagemagick, wrapGAppsHook }: stdenv.mkDerivation rec { name = "caja-extensions-${version}"; - version = "1.20.2"; + version = "1.22.0"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "14w1xd33ggn6wdzqvcmj8rqc68w4k094lai6mqrgmv1zljifydqz"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "1h866jmdd3qpjzi7wjj11krwiaadnlf21844g1zqfb4jgrzj773p"; }; nativeBuildInputs = [ @@ -17,7 +17,6 @@ stdenv.mkDerivation rec { buildInputs = [ gtk3 - dbus-glib gupnp mate.caja mate.mate-desktop diff --git a/nixpkgs/pkgs/desktops/mate/caja/default.nix b/nixpkgs/pkgs/desktops/mate/caja/default.nix index a497c48694f8..fcb903f703e7 100644 --- a/nixpkgs/pkgs/desktops/mate/caja/default.nix +++ b/nixpkgs/pkgs/desktops/mate/caja/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "caja-${version}"; - version = "1.20.3"; + version = "1.22.1"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "1wlrhcvhqving3pphbz50xnbp7z57mlkf7m36lfh8mim62kfmmd0"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "10b7yjimblymp1fpsrl4jb2k7kbhla2izsj3njfmg2n6fv9fy9iv"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/desktops/mate/default.nix b/nixpkgs/pkgs/desktops/mate/default.nix index 7441a8f73ae7..3cab936b92b1 100644 --- a/nixpkgs/pkgs/desktops/mate/default.nix +++ b/nixpkgs/pkgs/desktops/mate/default.nix @@ -4,9 +4,6 @@ let callPackage = newScope self; self = rec { - - getRelease = version: - pkgs.stdenv.lib.concatStringsSep "." (pkgs.stdenv.lib.take 2 (pkgs.stdenv.lib.splitString "." version)); atril = callPackage ./atril { }; caja = callPackage ./caja { }; @@ -75,7 +72,6 @@ let mate-applets mate-backgrounds mate-calc - mate-icon-theme-faenza mate-indicator-applet mate-media mate-netbook diff --git a/nixpkgs/pkgs/desktops/mate/engrampa/default.nix b/nixpkgs/pkgs/desktops/mate/engrampa/default.nix index d00c4f0f0513..9e41969a5681 100644 --- a/nixpkgs/pkgs/desktops/mate/engrampa/default.nix +++ b/nixpkgs/pkgs/desktops/mate/engrampa/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "engrampa-${version}"; - version = "1.20.2"; + version = "1.22.1"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0fj957dfagw6p7mq5545h9j2w3hv18yqnkpypnr719r4g13d3f2v"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "06z38vfs15f5crrrgvcsqfb557fhpq1mqkj5fd9wb0hvi77hasrk"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/desktops/mate/eom/default.nix b/nixpkgs/pkgs/desktops/mate/eom/default.nix index 658eef090584..793ca66583b4 100644 --- a/nixpkgs/pkgs/desktops/mate/eom/default.nix +++ b/nixpkgs/pkgs/desktops/mate/eom/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, pkgconfig, intltool, itstool, dbus-glib, exempi, lcms2, libexif, libjpeg, librsvg, libxml2, libpeas, shared-mime-info, gnome3, gtk3, mate, hicolor-icon-theme, wrapGAppsHook }: +{ stdenv, fetchurl, pkgconfig, intltool, itstool, exempi, lcms2, libexif, libjpeg, librsvg, libxml2, libpeas, shared-mime-info, gnome3, gtk3, mate, hicolor-icon-theme, wrapGAppsHook }: stdenv.mkDerivation rec { name = "eom-${version}"; - version = "1.20.2"; + version = "1.22.1"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0440sfbidizn860w5avgwld08qc2fslrm0nx2659651cf3r7rw05"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "03lpxqvyaqhz4wmi07nxcyn5q73ym3dzm41cdid53f2dp9lk1mv4"; }; nativeBuildInputs = [ @@ -17,7 +17,6 @@ stdenv.mkDerivation rec { ]; buildInputs = [ - dbus-glib exempi lcms2 libexif diff --git a/nixpkgs/pkgs/desktops/mate/libmatekbd/default.nix b/nixpkgs/pkgs/desktops/mate/libmatekbd/default.nix index 395d69c28e61..553fadc568a7 100644 --- a/nixpkgs/pkgs/desktops/mate/libmatekbd/default.nix +++ b/nixpkgs/pkgs/desktops/mate/libmatekbd/default.nix @@ -1,22 +1,14 @@ -{ stdenv, fetchurl, fetchpatch, pkgconfig, intltool, gtk3, mate, libxklavier }: +{ stdenv, fetchurl, fetchpatch, pkgconfig, intltool, gtk3, libxklavier }: stdenv.mkDerivation rec { name = "libmatekbd-${version}"; - version = "1.20.2"; + version = "1.22.0"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "1l1zbphs4snswf4bkrwkk6gsmb44bdhymcfgaaspzbrcmw3y7hr1"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "1dsr7618c92mhwabwhgxqsfp7gnf9zrz2z790jc5g085dxhg13y8"; }; - patches = [ - # Fix build with glib 2.60 (TODO: remove after 1.22.0 update) - (fetchpatch { - url = https://github.com/mate-desktop/libmatekbd/commit/dc04e969dd61a2b1f82beae2d3c8ad105447812d.patch; - sha256 = "1ps6mbj6hrm9djn4riya049w2cb0dknghysny8pafmvpkaqvckrb"; - }) - ]; - nativeBuildInputs = [ pkgconfig intltool ]; buildInputs = [ gtk3 libxklavier ]; diff --git a/nixpkgs/pkgs/desktops/mate/libmatemixer/default.nix b/nixpkgs/pkgs/desktops/mate/libmatemixer/default.nix index 0a0b353bb93d..bf2a91971dd9 100644 --- a/nixpkgs/pkgs/desktops/mate/libmatemixer/default.nix +++ b/nixpkgs/pkgs/desktops/mate/libmatemixer/default.nix @@ -1,4 +1,4 @@ -{ config, stdenv, fetchurl, pkgconfig, intltool, glib, mate +{ config, stdenv, fetchurl, pkgconfig, intltool, glib , alsaSupport ? stdenv.isLinux, alsaLib , pulseaudioSupport ? config.pulseaudio or true, libpulseaudio , ossSupport ? false @@ -6,11 +6,11 @@ stdenv.mkDerivation rec { name = "libmatemixer-${version}"; - version = "1.20.1"; + version = "1.22.0"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "00p67mi0flsbgn15qpwq60rzf917s5islbmhirbvz6npcvv0d493"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "1v0gpr55gj4mj8hzxbhgzrmhaxvs2inxhsmirvjw39sc7iplvrh9"; }; nativeBuildInputs = [ pkgconfig intltool ]; diff --git a/nixpkgs/pkgs/desktops/mate/libmateweather/default.nix b/nixpkgs/pkgs/desktops/mate/libmateweather/default.nix index 9805b8d91b5a..664b85fc287b 100644 --- a/nixpkgs/pkgs/desktops/mate/libmateweather/default.nix +++ b/nixpkgs/pkgs/desktops/mate/libmateweather/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, pkgconfig, intltool, gtk3, libsoup, tzdata, mate }: +{ stdenv, fetchurl, pkgconfig, intltool, gtk3, libsoup, tzdata }: stdenv.mkDerivation rec { name = "libmateweather-${version}"; - version = "1.20.2"; + version = "1.22.0"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "1ksp1xn13m94sjnnrx2dyv7hlbgjbnbahwdyaq35r2419b366hxv"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "1ribgcwl4ncfbcf9bkcbxrgc7yzajdnxg12837psngymkqswlp6a"; }; nativeBuildInputs = [ pkgconfig intltool ]; diff --git a/nixpkgs/pkgs/desktops/mate/marco/default.nix b/nixpkgs/pkgs/desktops/mate/marco/default.nix index 8a707c78a510..c9dfb37d7676 100644 --- a/nixpkgs/pkgs/desktops/mate/marco/default.nix +++ b/nixpkgs/pkgs/desktops/mate/marco/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, pkgconfig, intltool, itstool, libxml2, libcanberra-gtk3, libgtop, gnome2, gnome3, gtk3, mate, wrapGAppsHook }: +{ stdenv, fetchurl, pkgconfig, intltool, itstool, libxml2, libcanberra-gtk3, libgtop, libstartup_notification, gnome3, gtk3, wrapGAppsHook }: stdenv.mkDerivation rec { name = "marco-${version}"; - version = "1.20.3"; + version = "1.22.0"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "192nlr4ylisxisk0ljabm8v0a5sapdncj4gbw39q2fpr938ifs32"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "1i1pi1z9mrb6564mxcwb93jqpdppfv58c2viwmicsixis62hv5wx"; }; nativeBuildInputs = [ @@ -20,7 +20,7 @@ stdenv.mkDerivation rec { libxml2 libcanberra-gtk3 libgtop - gnome2.startup_notification + libstartup_notification gtk3 gnome3.zenity ]; diff --git a/nixpkgs/pkgs/desktops/mate/mate-applets/default.nix b/nixpkgs/pkgs/desktops/mate/mate-applets/default.nix index 8720c8ec0509..7fbd192ac2ca 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-applets/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-applets/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, pkgconfig, intltool, itstool, gnome3, gtk3, gtksourceview3, libwnck3, libgtop, libxml2, libnotify, polkit, upower, wirelesstools, mate, hicolor-icon-theme, wrapGAppsHook }: +{ stdenv, fetchurl, pkgconfig, intltool, itstool, gnome3, glib, gtk3, gtksourceview3, libwnck3, libgtop, libxml2, libnotify, polkit, upower, wirelesstools, mate, hicolor-icon-theme, wrapGAppsHook }: stdenv.mkDerivation rec { name = "mate-applets-${version}"; - version = "1.20.3"; + version = "1.22.1"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0y5501wliipxf43p2q9917r3ird7azlrbcwnj2q2q2zy00hvvk5f"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "19sjm2180ir8a264rz8m528qaqjpl3q3cq095ab0sbkp2igksrfx"; }; nativeBuildInputs = [ @@ -34,7 +34,7 @@ stdenv.mkDerivation rec { configureFlags = [ "--enable-suid=no" ]; - NIX_CFLAGS_COMPILE = "-I${gnome3.glib.dev}/include/gio-unix-2.0"; + NIX_CFLAGS_COMPILE = "-I${glib.dev}/include/gio-unix-2.0"; meta = with stdenv.lib; { description = "Applets for use with the MATE panel"; diff --git a/nixpkgs/pkgs/desktops/mate/mate-backgrounds/default.nix b/nixpkgs/pkgs/desktops/mate/mate-backgrounds/default.nix index a3b595021630..f5c151d01f7f 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-backgrounds/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-backgrounds/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, intltool, mate }: +{ stdenv, fetchurl, intltool }: stdenv.mkDerivation rec { name = "mate-backgrounds-${version}"; - version = "1.20.0"; + version = "1.22.0"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0pcnjcw00y8hf2bwfrb5sbk2511cbg4fr8vgvgqswcwjp9y15cjp"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "1j9ch04qi2q4mdcvb92w667ra9hpfdf2bfpi1dpw0nbph7r6qvj9"; }; nativeBuildInputs = [ intltool ]; diff --git a/nixpkgs/pkgs/desktops/mate/mate-calc/default.nix b/nixpkgs/pkgs/desktops/mate/mate-calc/default.nix index 0e4f97b8519b..8c4f69f3fb59 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-calc/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-calc/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, pkgconfig, intltool, itstool, gtk3, libxml2, mate, wrapGAppsHook }: +{ stdenv, fetchurl, pkgconfig, intltool, itstool, gtk3, libxml2, wrapGAppsHook }: stdenv.mkDerivation rec { name = "mate-calc-${version}"; - version = "1.20.3"; + version = "1.22.0"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0nv0q2c93rv36dhid7vf0w0rb6zdwyqaibfsmc7flj00qgsn3r5a"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "1njk6v7z3969ikvcrabr1lw5f5572vb14w064zm3mydj8cc5inlr"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/desktops/mate/mate-common/default.nix b/nixpkgs/pkgs/desktops/mate/mate-common/default.nix index b50860b8993a..3b154fd9812a 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-common/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-common/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, mate }: +{ stdenv, fetchurl }: stdenv.mkDerivation rec { name = "mate-common-${version}"; - version = "1.20.0"; + version = "1.22.0"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0h8s2qhc6f5flslx05cd3xxg243c67vv03spjiag14p8kqqrqvb1"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "11lwckndizawbq993ws8lqp59vsc873zri0m8s1i5zyc4qx9f69z"; }; meta = { diff --git a/nixpkgs/pkgs/desktops/mate/mate-control-center/default.nix b/nixpkgs/pkgs/desktops/mate/mate-control-center/default.nix index d24198f5d7f4..c1bedc972df3 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-control-center/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-control-center/default.nix @@ -5,11 +5,11 @@ stdenv.mkDerivation rec { name = "mate-control-center-${version}"; - version = "1.20.4"; + version = "1.22.1"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "1rjxndikj0w516nlvyzcss31l9qjwkzvns7ygasnjbl02bgml9a4"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "0w9w3wkxksbhzyd96y1x6yxb0q5lkp16y8i42564b6njvwqch5a0"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/desktops/mate/mate-desktop/default.nix b/nixpkgs/pkgs/desktops/mate/mate-desktop/default.nix index fd8bd77785b1..0bfcab586635 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-desktop/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-desktop/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, pkgconfig, intltool, gnome3, gtk3, mate, wrapGAppsHook }: +{ stdenv, fetchurl, pkgconfig, intltool, isocodes, gnome3, gtk3, wrapGAppsHook }: stdenv.mkDerivation rec { name = "mate-desktop-${version}"; - version = "1.20.4"; + version = "1.22.1"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "073hn68f57ahif0znbx850x6ncsq50m7jg0sy1mllxjjqf3b1fxr"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "1hr4r69855csqrcaqpbcyplsy4cwjfz7gabps2pzkh5132jycfr0"; }; nativeBuildInputs = [ @@ -18,6 +18,7 @@ stdenv.mkDerivation rec { buildInputs = [ gnome3.dconf gtk3 + isocodes ]; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/desktops/mate/mate-icon-theme-faenza/default.nix b/nixpkgs/pkgs/desktops/mate/mate-icon-theme-faenza/default.nix index 17b8f8d44c54..ba0cbd526f96 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-icon-theme-faenza/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-icon-theme-faenza/default.nix @@ -5,7 +5,7 @@ stdenv.mkDerivation rec { version = "1.20.0"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; sha256 = "000vr9cnbl2qlysf2gyg1lsjirqdzmwrnh6d3hyrsfc0r2vh4wna"; }; diff --git a/nixpkgs/pkgs/desktops/mate/mate-icon-theme/default.nix b/nixpkgs/pkgs/desktops/mate/mate-icon-theme/default.nix index 57dad2185cdd..8f0f15fac2dd 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-icon-theme/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-icon-theme/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, pkgconfig, intltool, iconnamingutils, librsvg, hicolor-icon-theme, gtk3, mate }: +{ stdenv, fetchurl, pkgconfig, intltool, iconnamingutils, librsvg, hicolor-icon-theme, gtk3 }: stdenv.mkDerivation rec { name = "mate-icon-theme-${version}"; - version = "1.20.3"; + version = "1.22.1"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "10l58mjc2a69pm7srxvlav2b8b7nbzyvwjrlrk79a3gr6dd1mbk4"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "1pn1xbmr4w4mi45nwk1qh18z9rlngmkhp9bw671yn4k6sii8fi3k"; }; nativeBuildInputs = [ pkgconfig intltool iconnamingutils ]; diff --git a/nixpkgs/pkgs/desktops/mate/mate-indicator-applet/default.nix b/nixpkgs/pkgs/desktops/mate/mate-indicator-applet/default.nix index c099d070221e..623e7f240964 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-indicator-applet/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-indicator-applet/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "mate-indicator-applet-${version}"; - version = "1.20.1"; + version = "1.22.0"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0gxis834w8h33xmrx335jjad2xaqpkamirl0x4j7wsk4zs25jkyd"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "0zad81qvcin4m329hfxhv4a5j8gf4gj8944mvjrdgdh71bzan2x1"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/desktops/mate/mate-media/default.nix b/nixpkgs/pkgs/desktops/mate/mate-media/default.nix index 461f8d7f889f..59b93a890eb1 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-media/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-media/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "mate-media-${version}"; - version = "1.20.2"; + version = "1.22.1"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "06fka82smrphzj4dz9dw1566kmdscxvxl0rchj9qxg7aidy0rmnv"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "13g1n2ddgr1yxgl4fsqj3sgb9344b756kam9v3sq6vh0bxlr4yf2"; }; buildInputs = [ @@ -14,6 +14,7 @@ stdenv.mkDerivation rec { libcanberra-gtk3 gtk3 mate.libmatemixer + mate.mate-panel mate.mate-desktop ]; diff --git a/nixpkgs/pkgs/desktops/mate/mate-menus/default.nix b/nixpkgs/pkgs/desktops/mate/mate-menus/default.nix index 9a0db6107e1a..bb09b0a4849d 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-menus/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-menus/default.nix @@ -1,17 +1,17 @@ -{ stdenv, fetchurl, pkgconfig, intltool, glib, gobject-introspection, python, mate }: +{ stdenv, fetchurl, pkgconfig, intltool, glib, gobject-introspection, python3 }: stdenv.mkDerivation rec { name = "mate-menus-${version}"; - version = "1.20.2"; + version = "1.22.0"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "18y4nka38dqqxycxpf7ig4vmrk4i05xqqjk4fxr1ghkj60xxyxz2"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "1lkakbf2f1815c146z4xp5f0h4lim6jzr02681wbvzalc6k97v5c"; }; nativeBuildInputs = [ pkgconfig intltool gobject-introspection ]; - buildInputs = [ glib python ]; + buildInputs = [ glib python3 ]; makeFlags = [ "INTROSPECTION_GIRDIR=$(out)/share/gir-1.0/" diff --git a/nixpkgs/pkgs/desktops/mate/mate-netbook/default.nix b/nixpkgs/pkgs/desktops/mate/mate-netbook/default.nix index 0a0e83c07abf..049e0a4bb69a 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-netbook/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-netbook/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "mate-netbook-${version}"; - version = "1.20.1"; + version = "1.22.1"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "1ils0lav6j779kgz2py6zdalcfifpnp01clspbnkhb3v1ha1ncsq"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "00n162bskbvxhy4k2w14f9zwlsg3wgi43228ssx7sc2p95psmm64"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/desktops/mate/mate-notification-daemon/default.nix b/nixpkgs/pkgs/desktops/mate/mate-notification-daemon/default.nix index 91ed947c97cc..63996f4256b6 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-notification-daemon/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-notification-daemon/default.nix @@ -1,13 +1,13 @@ -{ stdenv, fetchurl, pkgconfig, intltool, dbus-glib, libcanberra-gtk3, - libnotify, libwnck3, gnome3, gtk3, mate, wrapGAppsHook }: +{ stdenv, fetchurl, pkgconfig, intltool, glib, libcanberra-gtk3, + libnotify, libwnck3, gnome3, gtk3, wrapGAppsHook }: stdenv.mkDerivation rec { name = "mate-notification-daemon-${version}"; - version = "1.20.2"; + version = "1.22.0"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0a60f67yjvlffrnviqgc64jz5l280f30h8br7wz2x415if5dmjyn"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "06z3xczhz5diy4kk7b8lrzljrnql6fz0n1jyy916cf8pnnanpg0j"; }; nativeBuildInputs = [ @@ -17,13 +17,14 @@ stdenv.mkDerivation rec { ]; buildInputs = [ - dbus-glib libcanberra-gtk3 libnotify libwnck3 gtk3 ]; + NIX_CFLAGS_COMPILE = "-I${glib.dev}/include/gio-unix-2.0"; + meta = with stdenv.lib; { description = "Notification daemon for MATE"; homepage = https://github.com/mate-desktop/mate-notification-daemon; diff --git a/nixpkgs/pkgs/desktops/mate/mate-panel/default.nix b/nixpkgs/pkgs/desktops/mate/mate-panel/default.nix index 64b6b333f5a3..bbb49c203472 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-panel/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-panel/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, pkgconfig, intltool, itstool, glib, dbus-glib, libwnck3, librsvg, libxml2, gnome3, gtk3, mate, hicolor-icon-theme, gobject-introspection, wrapGAppsHook }: +{ stdenv, fetchurl, pkgconfig, intltool, itstool, glib, libwnck3, librsvg, libxml2, gnome3, gtk3, mate, hicolor-icon-theme, gobject-introspection, wrapGAppsHook }: stdenv.mkDerivation rec { name = "mate-panel-${version}"; - version = "1.20.4"; + version = "1.22.1"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "02pdrwgl3plgv6l6nc45nsnmjppkxs4ybggwibd6mm777i9nb44d"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "0pb9dpgsfjp6gsldg4ad2jz23xdvjfarmz4cjwkpakygkq5i6dma"; }; nativeBuildInputs = [ @@ -19,7 +19,6 @@ stdenv.mkDerivation rec { buildInputs = [ glib - dbus-glib libwnck3 librsvg libxml2 diff --git a/nixpkgs/pkgs/desktops/mate/mate-polkit/default.nix b/nixpkgs/pkgs/desktops/mate/mate-polkit/default.nix index 74792aa471f7..f5b6d62dd590 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-polkit/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-polkit/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, pkgconfig, intltool, gtk3, gobject-introspection, libappindicator-gtk3, libindicator-gtk3, polkit, mate }: +{ stdenv, fetchurl, pkgconfig, intltool, gtk3, gobject-introspection, libappindicator-gtk3, libindicator-gtk3, polkit }: stdenv.mkDerivation rec { name = "mate-polkit-${version}"; - version = "1.20.2"; + version = "1.22.0"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0zajisavrxiynmp4qg7zamvkpnhy9nra01czwn21h6hm2yakbayr"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "02r8n71xflhvw2hsf6g4svdahzyg3r4n6xamasyzqfhyn0mqmjy0"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/desktops/mate/mate-power-manager/default.nix b/nixpkgs/pkgs/desktops/mate/mate-power-manager/default.nix index 8ed54b558ae9..7f4d1c850151 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-power-manager/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-power-manager/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "mate-power-manager-${version}"; - version = "1.20.3"; + version = "1.22.1"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "17x47j5dkxxsq63bv2jwf3xgnddyy2dya4y14ryivq8q3jh5yhr5"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "06vs2w44l1s25j0mifkid02yncw0nvdxw8r4pp2jm18kxan4frms"; }; buildInputs = [ diff --git a/nixpkgs/pkgs/desktops/mate/mate-screensaver/default.nix b/nixpkgs/pkgs/desktops/mate/mate-screensaver/default.nix index 2e59b16d9a3d..53a89bec4c1e 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-screensaver/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-screensaver/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "mate-screensaver-${version}"; - version = "1.20.3"; + version = "1.22.1"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0kmaj4psg7261h02dzarga6k5cb7n709d60xbfrhywnf5fb9787i"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "0c4qq5szsbfrz8hgkmlby2k7f1qs8kgqf2shd63z0pc8p6f47vvc"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/desktops/mate/mate-sensors-applet/default.nix b/nixpkgs/pkgs/desktops/mate/mate-sensors-applet/default.nix index 86cbd3a86d25..c5ad4628c54f 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-sensors-applet/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-sensors-applet/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, pkgconfig, intltool, itstool, gtk3, libxml2, libxslt, libatasmart, libnotify, dbus-glib, lm_sensors, mate, hicolor-icon-theme, wrapGAppsHook }: +{ stdenv, fetchurl, pkgconfig, intltool, itstool, gtk3, libxml2, libxslt, libatasmart, libnotify, lm_sensors, mate, hicolor-icon-theme, wrapGAppsHook }: stdenv.mkDerivation rec { name = "mate-sensors-applet-${version}"; - version = "1.20.3"; + version = "1.22.1"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0s98qy3jkri9zh5xqffprqd00cqspaq9av0mcrcakjkl8wyfh2g6"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "0rv19jxxviqqwk2wlhxlm98jsxa26scvs7ilp2i6plhn3ap2alq3"; }; nativeBuildInputs = [ @@ -23,7 +23,6 @@ stdenv.mkDerivation rec { libxslt libatasmart libnotify - dbus-glib lm_sensors mate.mate-panel hicolor-icon-theme diff --git a/nixpkgs/pkgs/desktops/mate/mate-session-manager/default.nix b/nixpkgs/pkgs/desktops/mate/mate-session-manager/default.nix index 31f447861fc8..6fc18b887261 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-session-manager/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-session-manager/default.nix @@ -5,11 +5,11 @@ stdenv.mkDerivation rec { name = "mate-session-manager-${version}"; - version = "1.20.2"; + version = "1.22.0"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "05qq07b568qf6zyy459wajhfpbx1wfrinw3hsbky7abdjfn529dy"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "1kpfmgay01gm74paaxccs3lim4jfb4hsm7i85jfdypr51985pwyj"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/desktops/mate/mate-settings-daemon/default.nix b/nixpkgs/pkgs/desktops/mate/mate-settings-daemon/default.nix index 3c47e50b9c82..00d967a2d5cf 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-settings-daemon/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-settings-daemon/default.nix @@ -1,14 +1,15 @@ -{ stdenv, fetchurl, pkgconfig, intltool, dbus-glib, libxklavier, libcanberra-gtk3, libnotify, nss, polkit, gnome3, gtk3, mate, wrapGAppsHook -, pulseaudioSupport ? stdenv.config.pulseaudio or true, libpulseaudio -}: +{ stdenv, fetchurl, pkgconfig, intltool, glib, dbus-glib, libxklavier, + libcanberra-gtk3, libnotify, nss, polkit, gnome3, gtk3, mate, + pulseaudioSupport ? stdenv.config.pulseaudio or true, libpulseaudio, + wrapGAppsHook }: stdenv.mkDerivation rec { name = "mate-settings-daemon-${version}"; - version = "1.20.4"; + version = "1.22.0"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "10xlg2gb7fypnn5cnr14kbpjy5jdfz98ji615scz61zf5lljksxh"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "0yr5v6b9hdk20j29smbw1k4fkyg82i5vlflmgly0vi5whgc74gym"; }; nativeBuildInputs = [ @@ -33,6 +34,8 @@ stdenv.mkDerivation rec { configureFlags = stdenv.lib.optional pulseaudioSupport "--enable-pulse"; + NIX_CFLAGS_COMPILE = "-I${glib.dev}/include/gio-unix-2.0"; + meta = with stdenv.lib; { description = "MATE settings daemon"; homepage = https://github.com/mate-desktop/mate-settings-daemon; diff --git a/nixpkgs/pkgs/desktops/mate/mate-system-monitor/default.nix b/nixpkgs/pkgs/desktops/mate/mate-system-monitor/default.nix index ff6faafca36a..9c496bfae60f 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-system-monitor/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-system-monitor/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, pkgconfig, intltool, itstool, gtkmm3, libxml2, libgtop, libwnck3, librsvg, systemd, mate, wrapGAppsHook }: +{ stdenv, fetchurl, pkgconfig, intltool, itstool, gtkmm3, libxml2, libgtop, libwnck3, librsvg, systemd, wrapGAppsHook }: stdenv.mkDerivation rec { name = "mate-system-monitor-${version}"; - version = "1.20.2"; + version = "1.22.0"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0f6sh23axzmcmyv0d837gbc0dixf1afh8951zrzp1y53rdgpa9qn"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "0rs0n5ivmvi355fp3ymcp1jj2sz9viw31475aw7zh7s1l7dn969x"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/desktops/mate/mate-terminal/default.nix b/nixpkgs/pkgs/desktops/mate/mate-terminal/default.nix index cce31bc6d35e..2339ad8fb1b3 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-terminal/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-terminal/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "mate-terminal-${version}"; - version = "1.20.2"; + version = "1.22.1"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0fqyi0az4ax1gyk5gymd7ssq2crdcd7slmqljc1b1pa283ql7p3q"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "08210ry5lrivsgzqpdaxrchhpj0n5s1q0x4pxmwdpnksjpcj11mn"; }; buildInputs = [ diff --git a/nixpkgs/pkgs/desktops/mate/mate-themes/default.nix b/nixpkgs/pkgs/desktops/mate/mate-themes/default.nix index 8f9b1f155d01..a5ed677a98d3 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-themes/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-themes/default.nix @@ -3,11 +3,11 @@ stdenv.mkDerivation rec { name = "mate-themes-${version}"; - version = "3.22.18"; + version = "3.22.19"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/themes/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0538bw8qismp16ymxbjk0ww7yjw1ch5v3f3d4vib3770xvgmmcfm"; + url = "http://pub.mate-desktop.org/releases/themes/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "1ycb8b8r0s8d1h1477135mynr53s5781gdb2ap8xlvj2g58492wq"; }; nativeBuildInputs = [ pkgconfig intltool gtk3 ]; diff --git a/nixpkgs/pkgs/desktops/mate/mate-user-guide/default.nix b/nixpkgs/pkgs/desktops/mate/mate-user-guide/default.nix index 4c1e2ca2c74d..4d624479bbf6 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-user-guide/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-user-guide/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, intltool, itstool, libxml2, yelp, mate }: +{ stdenv, fetchurl, intltool, itstool, libxml2, yelp }: stdenv.mkDerivation rec { name = "mate-user-guide-${version}"; - version = "1.20.2"; + version = "1.22.1"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0cbi625xd7nsifvxbixsb29kj2zj14sn0sl61wkcvasz7whg7w6r"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "02zlfdhrvamd299pbf5s19pr90y8yah84g12shwihlxff7d3hxvs"; }; nativeBuildInputs = [ itstool intltool libxml2 ]; diff --git a/nixpkgs/pkgs/desktops/mate/mate-user-share/default.nix b/nixpkgs/pkgs/desktops/mate/mate-user-share/default.nix index 9baa3f24e038..dffdde42ad1c 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-user-share/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-user-share/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, pkgconfig, intltool, itstool, gtk3, dbus-glib, libnotify, libxml2, libcanberra-gtk3, mod_dnssd, apacheHttpd, hicolor-icon-theme, mate, wrapGAppsHook }: +{ stdenv, fetchurl, pkgconfig, intltool, itstool, gtk3, libnotify, libxml2, libcanberra-gtk3, mod_dnssd, apacheHttpd, hicolor-icon-theme, mate, wrapGAppsHook }: stdenv.mkDerivation rec { name = "mate-user-share-${version}"; - version = "1.20.1"; + version = "1.22.0"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0v5xilk978zl5443vlxf25z8z1g5sw9xl5sq76gvrmdlz2parfrn"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "14bhr6fv6gj3ka3sf13q64ck4svx8f4x8kzbppxv0jygpjp48w7h"; }; nativeBuildInputs = [ @@ -18,7 +18,6 @@ stdenv.mkDerivation rec { buildInputs = [ gtk3 - dbus-glib libnotify libcanberra-gtk3 libxml2 diff --git a/nixpkgs/pkgs/desktops/mate/mate-utils/default.nix b/nixpkgs/pkgs/desktops/mate/mate-utils/default.nix index 465c1f73e98a..606a473cfaac 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-utils/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-utils/default.nix @@ -1,18 +1,19 @@ -{ stdenv, fetchurl, pkgconfig, intltool, itstool, gtk3, libxml2, libgtop, libcanberra-gtk3, mate, hicolor-icon-theme, wrapGAppsHook }: +{ stdenv, fetchurl, pkgconfig, intltool, itstool, gtk3, libxml2, libgtop, libcanberra-gtk3, inkscape, mate, hicolor-icon-theme, wrapGAppsHook }: stdenv.mkDerivation rec { name = "mate-utils-${version}"; - version = "1.20.2"; + version = "1.22.1"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0w7hw192jzhad8jab8mjms4x6k2xijvb3rhlbxb6z5n5880xgfqf"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "0768y6x33ljc9dxjlfmvplsn4lrxj5xhjddbyab9h6pqav8527rg"; }; nativeBuildInputs = [ pkgconfig intltool itstool + inkscape wrapGAppsHook ]; diff --git a/nixpkgs/pkgs/desktops/mate/mozo/default.nix b/nixpkgs/pkgs/desktops/mate/mozo/default.nix index f2419f7f5e80..36f8fecb1925 100644 --- a/nixpkgs/pkgs/desktops/mate/mozo/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mozo/default.nix @@ -1,20 +1,20 @@ -{ stdenv, python, fetchurl, pkgconfig, intltool, mate, gtk3, glib, wrapGAppsHook, gobject-introspection }: +{ stdenv, python3, fetchurl, pkgconfig, intltool, mate, gtk3, glib, wrapGAppsHook, gobject-introspection }: -python.pkgs.buildPythonApplication rec { +python3.pkgs.buildPythonApplication rec { pname = "mozo"; - version = "1.20.2"; + version = "1.22.1"; format = "other"; doCheck = false; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${pname}-${version}.tar.xz"; - sha256 = "1q4hqhigimxav2a8xxyd53lq8q80szsphcv37y2jhm6g6wvdmvhd"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; + sha256 = "0yffp7p3b6ynpf7ck21klym7h09l35amnyahm71dxbv2kzj6hlqh"; }; nativeBuildInputs = [ pkgconfig intltool gobject-introspection wrapGAppsHook ]; - propagatedBuildInputs = [ mate.mate-menus python.pkgs.pygobject3 ]; + propagatedBuildInputs = [ mate.mate-menus python3.pkgs.pygobject3 ]; buildInputs = [ gtk3 glib ]; diff --git a/nixpkgs/pkgs/desktops/mate/pluma/default.nix b/nixpkgs/pkgs/desktops/mate/pluma/default.nix index 23d366dfa1cb..171d8971c4e8 100644 --- a/nixpkgs/pkgs/desktops/mate/pluma/default.nix +++ b/nixpkgs/pkgs/desktops/mate/pluma/default.nix @@ -1,12 +1,12 @@ -{ stdenv, fetchurl, pkgconfig, intltool, itstool, isocodes, enchant, libxml2, python, gnome3, gtksourceview3, libpeas, mate, wrapGAppsHook }: +{ stdenv, fetchurl, pkgconfig, intltool, itstool, isocodes, enchant, libxml2, python3, gnome3, gtksourceview3, libpeas, mate, wrapGAppsHook }: stdenv.mkDerivation rec { name = "pluma-${version}"; - version = "1.20.4"; + version = "1.22.1"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "0qdbm5y6q8lbabd81mg3rnls5bdvbmfii82f6syqw1cw6381mzgz"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "14d5c5fg31d7br9h1y3gdcr53j4sxlgybf326jvdcw8mgy91k3dg"; }; nativeBuildInputs = [ @@ -20,7 +20,7 @@ stdenv.mkDerivation rec { buildInputs = [ enchant libxml2 - python + python3 gtksourceview3 libpeas gnome3.adwaita-icon-theme diff --git a/nixpkgs/pkgs/desktops/mate/python-caja/default.nix b/nixpkgs/pkgs/desktops/mate/python-caja/default.nix index 0470086f865f..c3b39e85925d 100644 --- a/nixpkgs/pkgs/desktops/mate/python-caja/default.nix +++ b/nixpkgs/pkgs/desktops/mate/python-caja/default.nix @@ -1,25 +1,25 @@ -{ stdenv, fetchurl, pkgconfig, intltool, gtk3, mate, pythonPackages }: +{ stdenv, fetchurl, pkgconfig, intltool, gtk3, mate, python3Packages }: stdenv.mkDerivation rec { name = "python-caja-${version}"; - version = "1.20.2"; + version = "1.22.0"; src = fetchurl { - url = "http://pub.mate-desktop.org/releases/${mate.getRelease version}/${name}.tar.xz"; - sha256 = "16r8mz1b44qgs19d14zadwzshzrdc5sdwgjp9f9av3fa6g09yd7b"; + url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + sha256 = "1zwdjvxci72j0181nlfq6912lw3aq8j3746brlp7wlzn22qp7b0k"; }; nativeBuildInputs = [ pkgconfig intltool - pythonPackages.wrapPython + python3Packages.wrapPython ]; buildInputs = [ gtk3 mate.caja - pythonPackages.python - pythonPackages.pygobject3 + python3Packages.python + python3Packages.pygobject3 ]; configureFlags = [ "--with-cajadir=$$out/lib/caja/extensions-2.0" ]; diff --git a/nixpkgs/pkgs/desktops/mate/update.sh b/nixpkgs/pkgs/desktops/mate/update.sh index 736bcbd34fc6..fe294ae4985a 100755 --- a/nixpkgs/pkgs/desktops/mate/update.sh +++ b/nixpkgs/pkgs/desktops/mate/update.sh @@ -7,7 +7,7 @@ cd "$(dirname "${BASH_SOURCE[0]}")" root=../../.. export NIXPKGS_ALLOW_UNFREE=1 -mate_version=1.20 +mate_version=1.22 theme_version=3.22 materepo=https://pub.mate-desktop.org/releases/${mate_version} themerepo=https://pub.mate-desktop.org/releases/themes/${theme_version} @@ -19,6 +19,7 @@ version() { update_package() { local p=$1 echo $p + echo "# $p" >> git-commits.txt local repo if [ "$p" = "mate-themes" ]; then @@ -30,7 +31,14 @@ update_package() { local p_version_old=$(version mate.$p) local p_versions=$(curl -sS ${repo}/ | sed -rne "s/.*\"$p-([0-9]+\\.[0-9]+\\.[0-9]+)\\.tar\\.xz.*/\\1/p") local p_version=$(echo $p_versions | sed -e 's/ /\n/g' | sort -t. -k 1,1n -k 2,2n -k 3,3n | tail -n1) - + + if [[ -z "$p_version" ]]; then + echo "unavailable $p" + echo "# $p not found" >> git-commits.txt + echo + return + fi + if [[ "$p_version" = "$p_version_old" ]]; then echo "nothing to do, $p $p_version is current" echo @@ -44,6 +52,8 @@ update_package() { local path=${prefetch[1]} echo "$p: $p_version_old -> $p_version" (cd "$root" && update-source-version mate.$p "$p_version" "$hash") + echo " git add pkgs/desktops/mate/$p" >> git-commits.txt + echo " git commit -m \"mate.$p: $p_version_old -> $p_version\"" >> git-commits.txt echo } diff --git a/nixpkgs/pkgs/desktops/maxx/default.nix b/nixpkgs/pkgs/desktops/maxx/default.nix index 6f515068418e..5edebb39be41 100644 --- a/nixpkgs/pkgs/desktops/maxx/default.nix +++ b/nixpkgs/pkgs/desktops/maxx/default.nix @@ -1,43 +1,34 @@ -{ stdenv, fetchurl, makeWrapper, autoPatchelfHook -, libredirect, gcc-unwrapped, bash, gtk-engine-murrine, gtk_engines, librsvg +{ stdenv, fetchurl, makeWrapper, autoPatchelfHook, gnused +, gcc, bash, gtk-engine-murrine, gtk_engines, librsvg , libX11, libXext, libXi, libXau, libXrender, libXft, libXmu, libSM, libXcomposite, libXfixes, libXpm , libXinerama, libXdamage, libICE, libXtst, libXaw, fontconfig, pango, cairo, glib, libxml2, atk, gtk2 -, gdk_pixbuf, libGL, ncurses +, gdk_pixbuf, libGL, ncurses5 -, xclock, xsettingsd }: +, dmidecode, pciutils, usbutils +}: -let - version = "Indy-1.1.0"; - - runtime_deps = [ - xclock xsettingsd - ]; -in stdenv.mkDerivation { - name = "MaXX-${version}"; +stdenv.mkDerivation rec { + pname = "MaXX"; + version = "2.0.1"; + codename = "Indigo"; srcs = [ (fetchurl { - url = "http://maxxdesktop.arcadedaydream.com/Indy-Releases/Installers/MaXX-${version}-NO-ARCH.tar.gz"; - sha256 = "1d23j08wwrrn5cp7csv70pcz9jppcn0xb1894wkp0caaliy7g31y"; - }) - (fetchurl { - url = "http://maxxdesktop.arcadedaydream.com/Indy-Releases/Installers/MaXX-${version}-x86_64.tar.gz"; - sha256 = "156p2lra184wyvibrihisd7cr1ivqaygsf0zfm26a12gx23b7708"; + url = "http://maxxdesktop.arcadedaydream.com/${codename}-Releases/Installers/MaXX-${codename}-${version}-x86_64.tar.gz"; + sha256 = "17hd3j8773kmvvhyf657in6zmhnw4mbvyn4r6dfip5bdaz66pj01"; }) ]; - nativeBuildInputs = [ makeWrapper autoPatchelfHook ]; + nativeBuildInputs = [ makeWrapper autoPatchelfHook gnused ]; buildInputs = [ stdenv.cc.cc libX11 libXext libXi libXau libXrender libXft libXmu libSM libXcomposite libXfixes libXpm libXinerama libXdamage libICE libXtst libXaw fontconfig pango cairo glib libxml2 atk gtk2 - gdk_pixbuf libGL ncurses + gdk_pixbuf libGL ncurses5 ]; buildPhase = '' - while IFS= read -r -d ''$'\0' i; do - substituteInPlace "$i" --replace /opt/MaXX $out/opt/MaXX - done < <(find "." -type f -exec grep -Iq /opt/MaXX {} \; -and -print0) + sed -i "s/\(LD_LIBRARY_PATH=.*\)$/\1:\$LD_LIBRARY_PATH/p" etc/system.desktopenv substituteInPlace bin/adminterm \ --replace /bin/bash ${bash}/bin/bash @@ -55,33 +46,25 @@ in stdenv.mkDerivation { wrapProgram $maxx/etc/skel/Xsession.dt \ --prefix GTK_PATH : "${gtk-engine-murrine}/lib/gtk-2.0:${gtk_engines}/lib/gtk-2.0" \ - --prefix GDK_PIXBUF_MODULE_FILE : "$(echo ${librsvg.out}/lib/gdk-pixbuf-2.0/*/loaders.cache)" \ - --prefix PATH : ${stdenv.lib.makeBinPath runtime_deps} + --prefix GDK_PIXBUF_MODULE_FILE : "$(echo ${librsvg.out}/lib/gdk-pixbuf-2.0/*/loaders.cache)" while IFS= read -r -d ''$'\0' i; do if isExecutable "$i"; then wrapProgram "$i" \ - --set LD_PRELOAD "${libredirect}/lib/libredirect.so" \ - --set NIX_REDIRECTS /opt/MaXX=$maxx \ - --prefix PATH : $maxx/sbin + --prefix PATH : ${gcc}/bin fi done < <(find "$maxx" -type f -print0) - cp ${gcc-unwrapped}/bin/cpp ${gcc-unwrapped}/libexec/gcc/*/*/cc1 $maxx/sbin - for i in $maxx/sbin/cpp $maxx/sbin/cc1 - do - wrapProgram "$i" \ - --set LD_PRELOAD "${libredirect}/lib/libredirect.so" \ - --set NIX_REDIRECTS /opt/MaXX=$maxx - done + wrapProgram $maxx/bin/hinv \ + --prefix PATH : ${stdenv.lib.makeBinPath [ dmidecode pciutils usbutils ]} ''; meta = with stdenv.lib; { description = "A replica of IRIX Interactive Desktop"; - homepage = http://www.maxxinteractive.com; + homepage = https://www.facebook.com/maxxdesktop/; license = { fullName = "The MaXX Interactive Desktop for Linux License Agreement"; - url = http://www.maxxinteractive.com/site/?page_id=97; + url = http://maxxdesktop.arcadedaydream.com/Indigo-Releases/docs/license.html; free = false; # redistribution is only allowed to *some* hardware, etc. }; maintainers = [ maintainers.gnidorah ]; diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/elementary-music/default.nix b/nixpkgs/pkgs/desktops/pantheon/apps/elementary-music/default.nix index 7b374236a120..78ddeb6e6d90 100644 --- a/nixpkgs/pkgs/desktops/pantheon/apps/elementary-music/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/apps/elementary-music/default.nix @@ -7,7 +7,7 @@ stdenv.mkDerivation rec { pname = "music"; - version = "5.0.3"; + version = "5.0.4"; name = "elementary-${pname}-${version}"; @@ -15,7 +15,7 @@ stdenv.mkDerivation rec { owner = "elementary"; repo = pname; rev = version; - sha256 = "0qbny4484kr7lihg54rbhfwah9k43iivk3rivfvn7i5w66vn5spl"; + sha256 = "02qjsf9xnfh043xbls9mll2r1wcdvclw60x8wysv12rhbm90gwvp"; }; passthru = { diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/elementary-photos/default.nix b/nixpkgs/pkgs/desktops/pantheon/apps/elementary-photos/default.nix index 644841354e5b..493e7a97805b 100644 --- a/nixpkgs/pkgs/desktops/pantheon/apps/elementary-photos/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/apps/elementary-photos/default.nix @@ -5,7 +5,7 @@ stdenv.mkDerivation rec { pname = "photos"; - version = "2.6.2"; + version = "2.6.3"; name = "elementary-${pname}-${version}"; @@ -13,7 +13,7 @@ stdenv.mkDerivation rec { owner = "elementary"; repo = pname; rev = version; - sha256 = "166a1jb85n67z6ffm5i0xzap407rv0r511lzh0gidkap1qy6pnmi"; + sha256 = "1s0ww5g26wj0gd1drj8gxs74gvg2c9fdj4ixpifj8jh8yafdmrvg"; }; passthru = { @@ -67,11 +67,9 @@ stdenv.mkDerivation rec { ]; patches = [ - # Fix build against gexiv2 0.12 - (fetchpatch { - url = "https://github.com/elementary/photos/commit/86df00ced674abb2ee430ea24422079cfabb314c.patch"; - sha256 = "0836fzja93w36jf7ldqypsmnqn46mwsl93q41m104zn8qm0wrkmy"; - }) + # https://github.com/elementary/photos/pull/505 + # Unrelated line got dropped in https://github.com/elementary/photos/pull/498 + ./fix-missing-line.patch ]; postPatch = '' diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/elementary-photos/fix-missing-line.patch b/nixpkgs/pkgs/desktops/pantheon/apps/elementary-photos/fix-missing-line.patch new file mode 100644 index 000000000000..ae859d9dff92 --- /dev/null +++ b/nixpkgs/pkgs/desktops/pantheon/apps/elementary-photos/fix-missing-line.patch @@ -0,0 +1,21 @@ +From 88ee69b57f94efa2779595d1544109fed6a3211c Mon Sep 17 00:00:00 2001 +From: Fabio Valentini <decathorpe@gmail.com> +Date: Tue, 16 Apr 2019 16:12:47 +0200 +Subject: [PATCH] photos/PhotoMetadata: fix issue introduced with PR #498 + +--- + src/photos/PhotoMetadata.vala | 1 + + 1 file changed, 1 insertion(+) + +diff --git a/src/photos/PhotoMetadata.vala b/src/photos/PhotoMetadata.vala +index f0a4a73b..34dcbb55 100644 +--- a/src/photos/PhotoMetadata.vala ++++ b/src/photos/PhotoMetadata.vala +@@ -197,6 +197,7 @@ public class PhotoMetadata : MediaMetadata { + #else + exiv2.from_app1_segment (buffer, length); + #endif ++ exif = Exif.Data.new_from_data (buffer, length); + source_name = "<app1 segment %d bytes>".printf (length); + } + diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/a11y/default.nix b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/a11y/default.nix index 2ee925209838..1883e2294797 100644 --- a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/a11y/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/a11y/default.nix @@ -33,7 +33,7 @@ stdenv.mkDerivation rec { switchboard ]; - PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "lib/switchboard"; + PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "${placeholder ''out''}/lib/switchboard"; meta = with stdenv.lib; { description = "Switchboard Universal Access Plug"; diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/about/default.nix b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/about/default.nix index bdba8328fe93..d217ad20d2ed 100644 --- a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/about/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/about/default.nix @@ -41,7 +41,7 @@ stdenv.mkDerivation rec { ./remove-update-button.patch ]; - PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "lib/switchboard"; + PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "${placeholder ''out''}/lib/switchboard"; meta = with stdenv.lib; { description = "Switchboard About Plug"; diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/applications/default.nix b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/applications/default.nix index 0cdb732ca731..ed4dc0c84473 100644 --- a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/applications/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/applications/default.nix @@ -33,7 +33,7 @@ stdenv.mkDerivation rec { switchboard ]; - PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "lib/switchboard"; + PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "${placeholder ''out''}/lib/switchboard"; meta = with stdenv.lib; { description = "Switchboard Applications Plug"; diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/bluetooth/default.nix b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/bluetooth/default.nix index f67b5bc77633..db653a7f8ffe 100644 --- a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/bluetooth/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/bluetooth/default.nix @@ -34,7 +34,7 @@ stdenv.mkDerivation rec { switchboard ]; - PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "lib/switchboard"; + PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "${placeholder ''out''}/lib/switchboard"; meta = with stdenv.lib; { description = "Switchboard Bluetooth Plug"; diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/datetime/default.nix b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/datetime/default.nix index 95a350372b95..8c00ff4728e8 100644 --- a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/datetime/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/datetime/default.nix @@ -43,7 +43,7 @@ stdenv.mkDerivation rec { ./clock-format.patch ]; - PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "lib/switchboard"; + PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "${placeholder ''out''}/lib/switchboard"; meta = with stdenv.lib; { description = "Switchboard Date & Time Plug"; diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/display/default.nix b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/display/default.nix index 643bce5fe742..21cb26aaa39c 100644 --- a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/display/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/display/default.nix @@ -33,7 +33,7 @@ stdenv.mkDerivation rec { switchboard ]; - PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "lib/switchboard"; + PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "${placeholder ''out''}/lib/switchboard"; meta = with stdenv.lib; { description = "Switchboard Displays Plug"; diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/keyboard/default.nix b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/keyboard/default.nix index c87723007bb5..50256b8f77d7 100644 --- a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/keyboard/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/keyboard/default.nix @@ -43,7 +43,7 @@ stdenv.mkDerivation rec { }) ]; - PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "lib/switchboard"; + PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "${placeholder ''out''}/lib/switchboard"; meta = with stdenv.lib; { description = "Switchboard Keyboard Plug"; diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/mouse-touchpad/default.nix b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/mouse-touchpad/default.nix index 6c4bdeb7fb71..eaf15fdb8155 100644 --- a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/mouse-touchpad/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/mouse-touchpad/default.nix @@ -1,17 +1,25 @@ -{ stdenv, fetchFromGitHub, pantheon, fetchpatch, meson, ninja -, pkgconfig, vala, libgee, granite, gtk3, switchboard, gobject-introspection }: +{ stdenv, fetchFromGitHub, pantheon, fetchpatch, meson, ninja, pkgconfig, vala +, libgee, granite, gtk3, switchboard, elementary-settings-daemon, gobject-introspection }: stdenv.mkDerivation rec { pname = "switchboard-plug-mouse-touchpad"; - version = "2.1.4"; + version = "2.2.0"; src = fetchFromGitHub { owner = "elementary"; repo = pname; rev = version; - sha256 = "1zh5472ab01bckrc1py5bqqsal9i9pbgx6i8ap2d4yzhc8sirjrf"; + sha256 = "0mr25p7j5hl8zmvz5i3g30s4xbdhk6d22lw2akch3si40il9q5fv"; }; + patches = [ + ./hardcode-settings-daemon-gsettings.patch + ]; + + postPatch = '' + substituteInPlace src/Views/General.vala --subst-var-by GSD_GSETTINGS ${elementary-settings-daemon}/share/gsettings-schemas/${elementary-settings-daemon.name}/glib-2.0/schemas + ''; + passthru = { updateScript = pantheon.updateScript { repoName = pname; @@ -33,7 +41,7 @@ stdenv.mkDerivation rec { switchboard ]; - PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "lib/switchboard"; + PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "${placeholder ''out''}/lib/switchboard"; meta = with stdenv.lib; { description = "Switchboard Mouse & Touchpad Plug"; diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/mouse-touchpad/hardcode-settings-daemon-gsettings.patch b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/mouse-touchpad/hardcode-settings-daemon-gsettings.patch new file mode 100644 index 000000000000..b904fe153094 --- /dev/null +++ b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/mouse-touchpad/hardcode-settings-daemon-gsettings.patch @@ -0,0 +1,63 @@ +diff --git a/src/Views/General.vala b/src/Views/General.vala +index 4b19b73..dfa3d4d 100644 +--- a/src/Views/General.vala ++++ b/src/Views/General.vala +@@ -138,32 +138,34 @@ public class MouseTouchpad.GeneralView : Gtk.Grid { + attach (pointer_speed_scale, 3, 8); + attach (pointer_speed_help, 1, 9, 3); + +- var xsettings_schema = SettingsSchemaSource.get_default ().lookup ("org.gnome.settings-daemon.plugins.xsettings", false); +- if (xsettings_schema != null) { +- var primary_paste_switch = new Gtk.Switch (); +- primary_paste_switch.halign = Gtk.Align.START; +- +- var primary_paste_help = new Gtk.Label (_("Middle or three-finger clicking on an input will paste any selected text")); +- primary_paste_help.margin_bottom = 18; +- primary_paste_help.wrap = true; +- primary_paste_help.xalign = 0; +- primary_paste_help.get_style_context ().add_class (Gtk.STYLE_CLASS_DIM_LABEL); +- +- attach (new SettingLabel (_("Middle click paste:")), 0, 4); +- attach (primary_paste_switch, 1, 4); +- attach (primary_paste_help, 1, 5, 3); +- +- var xsettings = new GLib.Settings ("org.gnome.settings-daemon.plugins.xsettings"); +- primary_paste_switch.notify["active"].connect (() => on_primary_paste_switch_changed (primary_paste_switch, xsettings)); +- +- var current_value = xsettings.get_value ("overrides").lookup_value ("Gtk/EnablePrimaryPaste", VariantType.INT32); +- if (current_value != null) { +- primary_paste_switch.active = current_value.get_int32 () == 1; +- } ++ var primary_paste_switch = new Gtk.Switch (); ++ primary_paste_switch.halign = Gtk.Align.START; ++ ++ var primary_paste_help = new Gtk.Label (_("Middle or three-finger clicking on an input will paste any selected text")); ++ primary_paste_help.margin_bottom = 18; ++ primary_paste_help.wrap = true; ++ primary_paste_help.xalign = 0; ++ primary_paste_help.get_style_context ().add_class (Gtk.STYLE_CLASS_DIM_LABEL); ++ ++ attach (new SettingLabel (_("Middle click paste:")), 0, 4); ++ attach (primary_paste_switch, 1, 4); ++ attach (primary_paste_help, 1, 5, 3); ++ ++ SettingsSchemaSource daemon_schema_source = new SettingsSchemaSource.from_directory ("@GSD_GSETTINGS@", null, true); ++ SettingsSchema xsettings_schema = daemon_schema_source.lookup ("org.gnome.settings-daemon.plugins.xsettings", false); ++ ++ var xsettings = new GLib.Settings.full (xsettings_schema, null, null); ++ primary_paste_switch.notify["active"].connect (() => on_primary_paste_switch_changed (primary_paste_switch, xsettings)); ++ ++ var current_value = xsettings.get_value ("overrides").lookup_value ("Gtk/EnablePrimaryPaste", VariantType.INT32); ++ if (current_value != null) { ++ primary_paste_switch.active = current_value.get_int32 () == 1; + } + +- var daemon_settings = new GLib.Settings ("org.gnome.settings-daemon.peripherals.mouse"); +- daemon_settings.bind ("locate-pointer", reveal_pointer_switch, "active", GLib.SettingsBindFlags.DEFAULT); ++ SettingsSchema daemon_mouse_schema = daemon_schema_source.lookup ("org.gnome.settings-daemon.peripherals.mouse", false); ++ ++ var daemon_mouse_settings = new GLib.Settings.full (daemon_mouse_schema, null, null); ++ daemon_mouse_settings.bind ("locate-pointer", reveal_pointer_switch, "active", GLib.SettingsBindFlags.DEFAULT); + + var a11y_mouse_settings = new GLib.Settings ("org.gnome.desktop.a11y.mouse"); + a11y_mouse_settings.bind ("secondary-click-enabled", hold_switch, "active", GLib.SettingsBindFlags.DEFAULT); diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/network/default.nix b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/network/default.nix index 3943cad48fd3..95b558901d20 100644 --- a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/network/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/network/default.nix @@ -43,7 +43,7 @@ stdenv.mkDerivation rec { ]; - PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "lib/switchboard"; + PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "${placeholder ''out''}/lib/switchboard"; meta = with stdenv.lib; { description = "Switchboard Networking Plug"; diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/notifications/default.nix b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/notifications/default.nix index 6bb5d285a34f..a6fb86bb828c 100644 --- a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/notifications/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/notifications/default.nix @@ -33,7 +33,7 @@ stdenv.mkDerivation rec { switchboard ]; - PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "lib/switchboard"; + PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "${placeholder ''out''}/lib/switchboard"; meta = with stdenv.lib; { description = "Switchboard Notifications Plug"; diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/pantheon-shell/default.nix b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/pantheon-shell/default.nix index c661e4f21112..6c29282652c8 100644 --- a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/pantheon-shell/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/pantheon-shell/default.nix @@ -51,7 +51,7 @@ stdenv.mkDerivation rec { ''; - PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "lib/switchboard"; + PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "${placeholder ''out''}/lib/switchboard"; meta = with stdenv.lib; { description = "Switchboard Desktop Plug"; diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/power/default.nix b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/power/default.nix index ab1fd98795a1..0178f23f5e1a 100644 --- a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/power/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/power/default.nix @@ -49,10 +49,10 @@ stdenv.mkDerivation rec { substituteInPlace src/MainView.vala --subst-var-by GSD_GSETTINGS_PATH ${elementary-settings-daemon}/share/gsettings-schemas/${elementary-settings-daemon.name}/glib-2.0/schemas ''; - PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "lib/switchboard"; - PKG_CONFIG_DBUS_1_SYSTEM_BUS_SERVICES_DIR = "share/dbus-1/system-services"; - PKG_CONFIG_DBUS_1_SYSCONFDIR = "etc"; - PKG_CONFIG_POLKIT_GOBJECT_1_POLICYDIR = "share/polkit-1/actions"; + PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "${placeholder ''out''}/lib/switchboard"; + PKG_CONFIG_DBUS_1_SYSTEM_BUS_SERVICES_DIR = "${placeholder ''out''}/share/dbus-1/system-services"; + PKG_CONFIG_DBUS_1_SYSCONFDIR = "${placeholder ''out''}/etc"; + PKG_CONFIG_POLKIT_GOBJECT_1_POLICYDIR = "${placeholder ''out''}/share/polkit-1/actions"; meta = with stdenv.lib; { description = "Switchboard Power Plug"; diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/printers/default.nix b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/printers/default.nix index bb9e576a4c49..11bd70894edd 100644 --- a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/printers/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/printers/default.nix @@ -34,7 +34,7 @@ stdenv.mkDerivation rec { switchboard ]; - PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "lib/switchboard"; + PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "${placeholder ''out''}/lib/switchboard"; meta = with stdenv.lib; { description = "Switchboard Printers Plug"; diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/security-privacy/default.nix b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/security-privacy/default.nix index 089777e399ae..ff59768e363f 100644 --- a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/security-privacy/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/security-privacy/default.nix @@ -37,7 +37,7 @@ stdenv.mkDerivation rec { zeitgeist ]; - PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "lib/switchboard"; + PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "${placeholder ''out''}/lib/switchboard"; patches = [ ./hardcode-gsettings.patch diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/sharing/default.nix b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/sharing/default.nix index a2c047ffcb41..9660daa7715d 100644 --- a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/sharing/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/sharing/default.nix @@ -33,7 +33,7 @@ stdenv.mkDerivation rec { switchboard ]; - PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "lib/switchboard"; + PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "${placeholder ''out''}/lib/switchboard"; meta = with stdenv.lib; { description = "Switchboard Sharing Plug"; diff --git a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/sound/default.nix b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/sound/default.nix index c0f005cba2f9..ad379f37471a 100644 --- a/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/sound/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/apps/switchboard-plugs/sound/default.nix @@ -37,7 +37,7 @@ stdenv.mkDerivation rec { switchboard ]; - PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "lib/switchboard"; + PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "${placeholder ''out''}/lib/switchboard"; meta = with stdenv.lib; { description = "Switchboard Sound Plug"; diff --git a/nixpkgs/pkgs/desktops/pantheon/artwork/elementary-gtk-theme/default.nix b/nixpkgs/pkgs/desktops/pantheon/artwork/elementary-gtk-theme/default.nix index fe04d2b698bd..0e0fa1069580 100644 --- a/nixpkgs/pkgs/desktops/pantheon/artwork/elementary-gtk-theme/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/artwork/elementary-gtk-theme/default.nix @@ -2,7 +2,7 @@ stdenv.mkDerivation rec { pname = "stylesheet"; - version = "5.2.2"; + version = "5.2.3"; name = "elementary-gtk-theme-${version}"; @@ -10,7 +10,7 @@ stdenv.mkDerivation rec { owner = "elementary"; repo = pname; rev = version; - sha256 = "10b44dz7ndrckvq25pdxav59rzjcjbcpxb64z4nz62rfshvnrn8q"; + sha256 = "1ghb6zl9yszsrxdkg8va1b29kyazbny2kncr9fdw6v2rbjnp7zhr"; }; passthru = { diff --git a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/applications-menu/default.nix b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/applications-menu/default.nix index e4f7df107ba8..2461ea4875ec 100644 --- a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/applications-menu/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/applications-menu/default.nix @@ -51,8 +51,8 @@ stdenv.mkDerivation rec { zeitgeist ]; - PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "lib/wingpanel"; - PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "lib/switchboard"; + PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "${placeholder ''out''}/lib/wingpanel"; + PKG_CONFIG_SWITCHBOARD_2_0_PLUGSDIR = "${placeholder ''out''}/lib/switchboard"; patches = [ (substituteAll { diff --git a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/bluetooth/default.nix b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/bluetooth/default.nix index 16f3ae2e28e0..8db8eb5d5e0e 100644 --- a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/bluetooth/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/bluetooth/default.nix @@ -39,7 +39,7 @@ stdenv.mkDerivation rec { wingpanel ]; - PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "lib/wingpanel"; + PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "${placeholder ''out''}/lib/wingpanel"; postPatch = '' chmod +x meson/post_install.py diff --git a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/datetime/default.nix b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/datetime/default.nix index 5fa95c75ccc9..297e99fe47b1 100644 --- a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/datetime/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/datetime/default.nix @@ -55,7 +55,7 @@ stdenv.mkDerivation rec { }) ]; - PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "lib/wingpanel"; + PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "${placeholder ''out''}/lib/wingpanel"; postPatch = '' chmod +x meson/post_install.py diff --git a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/keyboard/default.nix b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/keyboard/default.nix index be5cd93eb0d6..f34ca29a98bb 100644 --- a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/keyboard/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/keyboard/default.nix @@ -45,7 +45,7 @@ stdenv.mkDerivation rec { }) ]; - PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "lib/wingpanel"; + PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "${placeholder ''out''}/lib/wingpanel"; meta = with stdenv.lib; { description = "Keyboard Indicator for Wingpanel"; diff --git a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/network/default.nix b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/network/default.nix index 245e12d45919..2ac05fdc6d82 100644 --- a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/network/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/network/default.nix @@ -38,7 +38,7 @@ stdenv.mkDerivation rec { wingpanel ]; - PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "lib/wingpanel"; + PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "${placeholder ''out''}/lib/wingpanel"; meta = with stdenv.lib; { description = "Network Indicator for Wingpanel"; diff --git a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/nightlight/default.nix b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/nightlight/default.nix index 08b0710977fa..8e450726976b 100644 --- a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/nightlight/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/nightlight/default.nix @@ -37,7 +37,7 @@ stdenv.mkDerivation rec { wingpanel ]; - PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "lib/wingpanel"; + PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "${placeholder ''out''}/lib/wingpanel"; meta = with stdenv.lib; { description = "Night Light Indicator for Wingpanel"; diff --git a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/notifications/default.nix b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/notifications/default.nix index aff7d9891adf..6a7fcfbb4e03 100644 --- a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/notifications/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/notifications/default.nix @@ -36,7 +36,7 @@ stdenv.mkDerivation rec { wingpanel ]; - PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "lib/wingpanel"; + PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "${placeholder ''out''}/lib/wingpanel"; meta = with stdenv.lib; { description = "Notifications Indicator for Wingpanel"; diff --git a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/power/default.nix b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/power/default.nix index d7627c511001..45a4e543b662 100644 --- a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/power/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/power/default.nix @@ -40,7 +40,7 @@ stdenv.mkDerivation rec { wingpanel ]; - PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "lib/wingpanel"; + PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "${placeholder ''out''}/lib/wingpanel"; postPatch = '' chmod +x meson/post_install.py diff --git a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/session/default.nix b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/session/default.nix index 8227ca6f57ef..a46e001e7a0e 100644 --- a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/session/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/session/default.nix @@ -37,7 +37,7 @@ stdenv.mkDerivation rec { wingpanel ]; - PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "lib/wingpanel"; + PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "${placeholder ''out''}/lib/wingpanel"; meta = with stdenv.lib; { description = "Session Indicator for Wingpanel"; diff --git a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/sound/default.nix b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/sound/default.nix index 65a4b1abd2c2..fc40c2faf5ef 100644 --- a/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/sound/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/desktop/wingpanel-indicators/sound/default.nix @@ -42,7 +42,7 @@ stdenv.mkDerivation rec { wingpanel ]; - PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "lib/wingpanel"; + PKG_CONFIG_WINGPANEL_2_0_INDICATORSDIR = "${placeholder ''out''}/lib/wingpanel"; postPatch = '' chmod +x meson/post_install.py diff --git a/nixpkgs/pkgs/desktops/xfce/applications/gigolo.nix b/nixpkgs/pkgs/desktops/xfce/applications/gigolo.nix index b29a50af3654..d0fc55e77e82 100644 --- a/nixpkgs/pkgs/desktops/xfce/applications/gigolo.nix +++ b/nixpkgs/pkgs/desktops/xfce/applications/gigolo.nix @@ -15,7 +15,7 @@ stdenv.mkDerivation rec { buildInputs = [ python gettext intltool gtk gvfs]; meta = { - homepage = "http://goodies.xfce.org/projects/applications/${p_name}"; + homepage = "https://goodies.xfce.org/projects/applications/${p_name}"; description = "A frontend to easily manage connections to remote filesystems"; platforms = stdenv.lib.platforms.linux; }; diff --git a/nixpkgs/pkgs/desktops/xfce/applications/parole.nix b/nixpkgs/pkgs/desktops/xfce/applications/parole.nix index 3951c9deedc6..ac0180c20fe8 100644 --- a/nixpkgs/pkgs/desktops/xfce/applications/parole.nix +++ b/nixpkgs/pkgs/desktops/xfce/applications/parole.nix @@ -31,7 +31,7 @@ stdenv.mkDerivation rec { ''; meta = { - homepage = "http://goodies.xfce.org/projects/applications/${p_name}"; + homepage = "https://goodies.xfce.org/projects/applications/${p_name}"; description = "Modern simple media player"; platforms = stdenv.lib.platforms.linux; }; diff --git a/nixpkgs/pkgs/desktops/xfce/applications/ristretto.nix b/nixpkgs/pkgs/desktops/xfce/applications/ristretto.nix index f849fbd20a73..3c0e8040c3b2 100644 --- a/nixpkgs/pkgs/desktops/xfce/applications/ristretto.nix +++ b/nixpkgs/pkgs/desktops/xfce/applications/ristretto.nix @@ -25,7 +25,7 @@ stdenv.mkDerivation rec { ''; meta = { - homepage = "http://goodies.xfce.org/projects/applications/${p_name}"; + homepage = "https://goodies.xfce.org/projects/applications/${p_name}"; description = "A fast and lightweight picture-viewer for the Xfce desktop environment"; license = stdenv.lib.licenses.gpl2Plus; platforms = stdenv.lib.platforms.linux; diff --git a/nixpkgs/pkgs/desktops/xfce/applications/xfce4-taskmanager.nix b/nixpkgs/pkgs/desktops/xfce/applications/xfce4-taskmanager.nix index 2de4f34fcf6f..96f71e004a87 100644 --- a/nixpkgs/pkgs/desktops/xfce/applications/xfce4-taskmanager.nix +++ b/nixpkgs/pkgs/desktops/xfce/applications/xfce4-taskmanager.nix @@ -15,7 +15,7 @@ stdenv.mkDerivation rec { buildInputs = [ intltool gtk libwnck ]; meta = { - homepage = "http://goodies.xfce.org/projects/applications/${p_name}"; + homepage = "https://goodies.xfce.org/projects/applications/${p_name}"; description = "Easy to use task manager for Xfce"; platforms = stdenv.lib.platforms.linux; }; diff --git a/nixpkgs/pkgs/development/arduino/platformio/core.nix b/nixpkgs/pkgs/development/arduino/platformio/core.nix index a4d5b3382426..0866311ad894 100644 --- a/nixpkgs/pkgs/development/arduino/platformio/core.nix +++ b/nixpkgs/pkgs/development/arduino/platformio/core.nix @@ -10,6 +10,8 @@ let "commands/test_ci.py::test_ci_boards" "commands/test_ci.py::test_ci_project_conf" "commands/test_ci.py::test_ci_lib_and_board" + "commands/test_ci.py::test_ci_build_dir" + "commands/test_ci.py::test_ci_keep_build_dir" "commands/test_init.py::test_init_enable_auto_uploading" "commands/test_init.py::test_init_custom_framework" "commands/test_init.py::test_init_incorrect_board" @@ -44,14 +46,14 @@ let in buildPythonApplication rec { pname = "platformio"; - version = "3.6.4"; + version = "3.6.6"; # pypi tarballs don't contain tests - https://github.com/platformio/platformio-core/issues/1964 src = fetchFromGitHub { owner = "platformio"; repo = "platformio-core"; rev = "v${version}"; - sha256 = "1c1y099xvpdh35n8fln642psa4xsaaqly2i2jgkvhrb9yl77x5aj"; + sha256 = "1qwd6684y2xagl375sv8fm6a535hcdqx296hknjlbvsgc1jc514a"; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/beam-modules/mix-bootstrap b/nixpkgs/pkgs/development/beam-modules/mix-bootstrap index 6c9a20c6de2f..d7a912ee1ed7 100755 --- a/nixpkgs/pkgs/development/beam-modules/mix-bootstrap +++ b/nixpkgs/pkgs/development/beam-modules/mix-bootstrap @@ -40,7 +40,7 @@ main(Args) -> -spec fixup_app_name(file:name()) -> string(). fixup_app_name(Path) -> BaseName = filename:basename(Path), - case string:tokens(BaseName, "-") of + case string:split(BaseName, "-") of [Name, _Version] -> Name; Name -> Name end. diff --git a/nixpkgs/pkgs/development/compilers/adoptopenjdk-bin/sources.json b/nixpkgs/pkgs/development/compilers/adoptopenjdk-bin/sources.json index 0ed3d8c0049e..32ace2a817a0 100644 --- a/nixpkgs/pkgs/development/compilers/adoptopenjdk-bin/sources.json +++ b/nixpkgs/pkgs/development/compilers/adoptopenjdk-bin/sources.json @@ -5,27 +5,27 @@ "hotspot": { "aarch64": { "build": "7", - "sha256": "95b14e954f96185d02afda1a3ab146011076a4d97b457c9333556bd5d9263c41", - "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.2%2B7/OpenJDK11U-jdk_aarch64_linux_hotspot_11.0.2_7.tar.gz", - "version": "11.0.2" + "sha256": "ac367f3261fb53508c07f7eeb767b11ab53681b7613b81b6b7030c4db00b1aa8", + "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.3%2B7/OpenJDK11U-jdk_aarch64_linux_hotspot_11.0.3_7.tar.gz", + "version": "11.0.3" }, "packageType": "jdk", "vmType": "hotspot", "x86_64": { - "build": "9", - "sha256": "d02089d834f7702ac1a9776d8d0d13ee174d0656cf036c6b68b9ffb71a6f610e", - "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.2%2B9/OpenJDK11U-jdk_x64_linux_hotspot_11.0.2_9.tar.gz", - "version": "11.0.2" + "build": "7", + "sha256": "23cded2b43261016f0f246c85c8948d4a9b7f2d44988f75dad69723a7a526094", + "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.3%2B7/OpenJDK11U-jdk_x64_linux_hotspot_11.0.3_7.tar.gz", + "version": "11.0.3" } }, "openj9": { "packageType": "jdk", "vmType": "openj9", "x86_64": { - "build": "9", - "sha256": "02de51ebe86897081f7998dd2f256e33fb8b15c70cf26715020795326cc50511", - "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.2%2B9/OpenJDK11U-jdk_x64_linux_openj9_11.0.2_9_openj9-0.12.0.tar.gz", - "version": "11.0.2" + "build": "7", + "sha256": "7012edd56fc958070bc4747073de14ea08eb43081eb6ea19bdbf4763186e2d17", + "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.3%2B7_openj9-0.14.0/OpenJDK11U-jdk_x64_linux_openj9_11.0.3_7_openj9-0.14.0.tar.gz", + "version": "11.0.3" } } }, @@ -33,27 +33,27 @@ "hotspot": { "aarch64": { "build": "7", - "sha256": "b101c86948742a5a580f94596654ef7d200f629cfc1ffdded10fb6a0cbe34c34", - "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.2%2B7/OpenJDK11U-jre_aarch64_linux_hotspot_11.0.2_7.tar.gz", - "version": "11.0.2" + "sha256": "4af5b7d6678d03f2207029a7c610d81b1f016462c1dfcd8153a227b1df973a42", + "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.3%2B7/OpenJDK11U-jre_aarch64_linux_hotspot_11.0.3_7.tar.gz", + "version": "11.0.3" }, "packageType": "jre", "vmType": "hotspot", "x86_64": { - "build": "9", - "sha256": "e762e4cd50cebd1c63dee2cf0d5737016e9e057520b67761df5ad2dc7bbc7d54", - "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.2%2B9/OpenJDK11U-jre_x64_linux_hotspot_11.0.2_9.tar.gz", - "version": "11.0.2" + "build": "7", + "sha256": "d2df8bc799b09c8375f79bf646747afac3d933bb1f65de71d6c78e7466ff8fe4", + "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.3%2B7/OpenJDK11U-jre_x64_linux_hotspot_11.0.3_7.tar.gz", + "version": "11.0.3" } }, "openj9": { "packageType": "jre", "vmType": "openj9", "x86_64": { - "build": "9", - "sha256": "9c6283485a9fa07c1dca882e6427d785c9f4a99d2e49e91ccefbc6147da27343", - "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.2%2B9/OpenJDK11U-jre_x64_linux_openj9_11.0.2_9_openj9-0.12.0.tar.gz", - "version": "11.0.2" + "build": "7", + "sha256": "14c660294832c7b2deb2845d96dce83df677e204b4f0f1fee0052764c4a56720", + "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.3%2B7_openj9-0.14.0/OpenJDK11U-jre_x64_linux_openj9_11.0.3_7_openj9-0.14.0.tar.gz", + "version": "11.0.3" } } } @@ -64,20 +64,20 @@ "packageType": "jdk", "vmType": "hotspot", "x86_64": { - "build": "9", - "sha256": "fffd4ed283e5cd443760a8ec8af215c8ca4d33ec5050c24c1277ba64b5b5e81a", - "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.2%2B9/OpenJDK11U-jdk_x64_mac_hotspot_11.0.2_9.tar.gz", - "version": "11.0.2" + "build": "7", + "sha256": "5ca2a24f1827bd7c110db99854693bf418f51ee3093c31332db5cd605278faad", + "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.3%2B7/OpenJDK11U-jdk_x64_mac_hotspot_11.0.3_7.tar.gz", + "version": "11.0.3" } }, "openj9": { "packageType": "jdk", "vmType": "openj9", "x86_64": { - "build": "9", - "sha256": "0589fea4f9012299267dd3c533417a37540a3db61ae86f411bda67195b3636f4", - "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.2%2B9/OpenJDK11U-jdk_x64_mac_openj9_11.0.2_9_openj9-0.12.0.tar.gz", - "version": "11.0.2" + "build": "7", + "sha256": "01045a99ff23bda354f82c0fd3fa6e8222e4a5acce7494e82495f47b30bc5e18", + "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.3%2B7_openj9-0.14.0/OpenJDK11U-jdk_x64_mac_openj9_11.0.3_7_openj9-0.14.0.tar.gz", + "version": "11.0.3" } } }, @@ -86,20 +86,20 @@ "packageType": "jre", "vmType": "hotspot", "x86_64": { - "build": "9", - "sha256": "7e70784f7833751b63cee9e197230877a4059b178a24858261f834ea39b29fd5", - "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.2%2B9/OpenJDK11U-jre_x64_mac_hotspot_11.0.2_9.tar.gz", - "version": "11.0.2" + "build": "7", + "sha256": "9523b97288ff5d50e404565d346ed8ea8f19dd155092951af88d4be6b8414776", + "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.3%2B7/OpenJDK11U-jre_x64_mac_hotspot_11.0.3_7.tar.gz", + "version": "11.0.3" } }, "openj9": { "packageType": "jre", "vmType": "openj9", "x86_64": { - "build": "9", - "sha256": "40d70bf570b2098b381b77ae62dfddfb8cf6fc500ed539d82b78405593a9c9e5", - "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.2%2B9/OpenJDK11U-jre_x64_mac_openj9_11.0.2_9_openj9-0.12.0.tar.gz", - "version": "11.0.2" + "build": "7", + "sha256": "150c4065a57ec368b692276e8e3320b183ee17b402b7db07e676dff5837f0c52", + "url": "https://github.com/AdoptOpenJDK/openjdk11-binaries/releases/download/jdk-11.0.3%2B7_openj9-0.14.0/OpenJDK11U-jre_x64_mac_openj9_11.0.3_7_openj9-0.14.0.tar.gz", + "version": "11.0.3" } } } diff --git a/nixpkgs/pkgs/development/compilers/aliceml/default.nix b/nixpkgs/pkgs/development/compilers/aliceml/default.nix index 15c51af005f3..08d0005c99eb 100644 --- a/nixpkgs/pkgs/development/compilers/aliceml/default.nix +++ b/nixpkgs/pkgs/development/compilers/aliceml/default.nix @@ -49,7 +49,7 @@ stdenv.mkDerivation { extended with rich support for concurrent, distributed, and constraint programming. ''; - homepage = http://www.ps.uni-saarland.de/alice/; + homepage = https://www.ps.uni-saarland.de/alice/; license = stdenv.lib.licenses.mit; maintainers = [ stdenv.lib.maintainers.doublec ]; broken = true; diff --git a/nixpkgs/pkgs/development/compilers/binaryen/default.nix b/nixpkgs/pkgs/development/compilers/binaryen/default.nix index fc75fe92c730..48661ba407c9 100644 --- a/nixpkgs/pkgs/development/compilers/binaryen/default.nix +++ b/nixpkgs/pkgs/development/compilers/binaryen/default.nix @@ -1,4 +1,4 @@ -{ stdenv, cmake, fetchFromGitHub, emscriptenRev ? null }: +{ stdenv, cmake, python, fetchFromGitHub, emscriptenRev ? null }: let defaultVersion = "45"; @@ -6,7 +6,7 @@ let # Map from git revs to SHA256 hashes sha256s = { "version_45" = "1wgzfzjjzkiaz0rf2lnwrcvlcsjvjhyvbyh58jxhqq43vi34zyjc"; - "1.37.36" = "1wgzfzjjzkiaz0rf2lnwrcvlcsjvjhyvbyh58jxhqq43vi34zyjc"; + "1.38.28" = "172s7y5f38736ic8ri3mnbdqcrkadd40a26cxcfwbscc53phl11v"; }; in @@ -29,7 +29,7 @@ stdenv.mkDerivation rec { inherit rev; }; - nativeBuildInputs = [ cmake ]; + nativeBuildInputs = [ cmake python ]; meta = with stdenv.lib; { homepage = https://github.com/WebAssembly/binaryen; diff --git a/nixpkgs/pkgs/development/compilers/elm/packages/elm-format.nix b/nixpkgs/pkgs/development/compilers/elm/packages/elm-format.nix index d773736bba56..aaae9fa4ba9c 100644 --- a/nixpkgs/pkgs/development/compilers/elm/packages/elm-format.nix +++ b/nixpkgs/pkgs/development/compilers/elm/packages/elm-format.nix @@ -8,7 +8,7 @@ mkDerivation { pname = "elm-format"; version = "0.8.1"; src = fetchgit { - url = "http://github.com/avh4/elm-format"; + url = "https://github.com/avh4/elm-format"; sha256 = "0p1dy1m6illsl7i04zsv5jqw7i4znv7pfpdfm53zy0k7mq0fk09j"; rev = "89694e858664329e3cbdaeb71b15c4456fd739ff"; }; @@ -30,7 +30,7 @@ mkDerivation { split tasty tasty-golden tasty-hunit tasty-quickcheck text ]; doHaddock = false; - homepage = "http://elm-lang.org"; + homepage = "https://elm-lang.org"; description = "A source code formatter for Elm"; license = stdenv.lib.licenses.bsd3; } diff --git a/nixpkgs/pkgs/development/compilers/elm/packages/elm.nix b/nixpkgs/pkgs/development/compilers/elm/packages/elm.nix index 67e63ea2b8e8..4edd9bebbc6b 100644 --- a/nixpkgs/pkgs/development/compilers/elm/packages/elm.nix +++ b/nixpkgs/pkgs/development/compilers/elm/packages/elm.nix @@ -25,7 +25,7 @@ mkDerivation { snap-server template-haskell text time unordered-containers utf8-string vector zip-archive ]; - homepage = "http://elm-lang.org"; + homepage = "https://elm-lang.org"; description = "The `elm` command line interface"; license = stdenv.lib.licenses.bsd3; } diff --git a/nixpkgs/pkgs/development/compilers/emscripten/default.nix b/nixpkgs/pkgs/development/compilers/emscripten/default.nix index e03039cb5e14..d0af770a2a49 100644 --- a/nixpkgs/pkgs/development/compilers/emscripten/default.nix +++ b/nixpkgs/pkgs/development/compilers/emscripten/default.nix @@ -12,9 +12,9 @@ stdenv.mkDerivation { name = "emscripten-${rev}"; src = fetchFromGitHub { - owner = "kripken"; + owner = "emscripten-core"; repo = "emscripten"; - sha256 = "02p0cp86vd1mydlpq544xbydggpnrq9dhbxx7h08j235frjm5cdc"; + sha256 = "1j3f0hpy05qskaiyv75l7wv4n0nzxhrh9b296zchx3f6f9h2rghq"; inherit rev; }; @@ -61,7 +61,7 @@ stdenv.mkDerivation { ''; meta = with stdenv.lib; { - homepage = https://github.com/kripken/emscripten; + homepage = https://github.com/emscripten-core/emscripten; description = "An LLVM-to-JavaScript Compiler"; platforms = platforms.all; maintainers = with maintainers; [ qknight matthewbauer ]; diff --git a/nixpkgs/pkgs/development/compilers/emscripten/fastcomp/emscripten-fastcomp.nix b/nixpkgs/pkgs/development/compilers/emscripten/fastcomp/emscripten-fastcomp.nix index 06acebd2ba4a..91a42f53d282 100644 --- a/nixpkgs/pkgs/development/compilers/emscripten/fastcomp/emscripten-fastcomp.nix +++ b/nixpkgs/pkgs/development/compilers/emscripten/fastcomp/emscripten-fastcomp.nix @@ -8,16 +8,16 @@ stdenv.mkDerivation rec { name = "emscripten-fastcomp-${rev}"; src = fetchFromGitHub { - owner = "kripken"; + owner = "emscripten-core"; repo = "emscripten-fastcomp"; - sha256 = "04j698gmp686b5lricjakm5hyh2z2kh28m1ffkghmkyz4zkzmx98"; + sha256 = "0bd0l5k2fa4k0nax2cpxi003pqffqivx4z4m2j5xdha1a12sid8i"; inherit rev; }; srcFL = fetchFromGitHub { - owner = "kripken"; + owner = "emscripten-core"; repo = "emscripten-fastcomp-clang"; - sha256 = "1ici51mmpgg80xk3y8f376nbbfak6rz27qdy98l8lxkrymklp5g5"; + sha256 = "1iw2qplhp489qzw0rma73sab7asnm27g4m95sr36c6kq9cq6agri"; inherit rev; }; @@ -46,7 +46,7 @@ stdenv.mkDerivation rec { }; meta = with stdenv.lib; { - homepage = https://github.com/kripken/emscripten-fastcomp; + homepage = https://github.com/emscripten-core/emscripten-fastcomp; description = "Emscripten LLVM"; platforms = platforms.all; maintainers = with maintainers; [ qknight matthewbauer ]; diff --git a/nixpkgs/pkgs/development/compilers/fasm/bin.nix b/nixpkgs/pkgs/development/compilers/fasm/bin.nix index cedaf3ef8591..1efa100e61df 100644 --- a/nixpkgs/pkgs/development/compilers/fasm/bin.nix +++ b/nixpkgs/pkgs/development/compilers/fasm/bin.nix @@ -3,11 +3,11 @@ stdenvNoCC.mkDerivation rec { name = "fasm-bin-${version}"; - version = "1.73.09"; + version = "1.73.10"; src = fetchurl { url = "https://flatassembler.net/fasm-${version}.tgz"; - sha256 = "197bcj9aa5wpkvrlaafc1smxjss0fwdspq5fwhwgyy9cc7z5g0ym"; + sha256 = "1lk8vlr0vg7h8lhiav99paa5a1mi0r2m8agxjmczhhavqhx44c32"; }; installPhase = '' diff --git a/nixpkgs/pkgs/development/compilers/gcc/4.8/default.nix b/nixpkgs/pkgs/development/compilers/gcc/4.8/default.nix index b36529ecb3cf..b9a24183cde2 100644 --- a/nixpkgs/pkgs/development/compilers/gcc/4.8/default.nix +++ b/nixpkgs/pkgs/development/compilers/gcc/4.8/default.nix @@ -83,7 +83,7 @@ let version = "4.8.5"; # Antlr (optional) allows the Java `gjdoc' tool to be built. We want a # binary distribution here to allow the whole chain to be bootstrapped. javaAntlr = fetchurl { - url = http://www.antlr.org/download/antlr-4.4-complete.jar; + url = https://www.antlr.org/download/antlr-4.4-complete.jar; sha256 = "02lda2imivsvsis8rnzmbrbp8rh1kb8vmq4i67pqhkwz7lf8y6dz"; }; diff --git a/nixpkgs/pkgs/development/compilers/gcc/4.9/default.nix b/nixpkgs/pkgs/development/compilers/gcc/4.9/default.nix index ee00a3aee1e1..36ad5b46b791 100644 --- a/nixpkgs/pkgs/development/compilers/gcc/4.9/default.nix +++ b/nixpkgs/pkgs/development/compilers/gcc/4.9/default.nix @@ -88,7 +88,7 @@ let version = "4.9.4"; # Antlr (optional) allows the Java `gjdoc' tool to be built. We want a # binary distribution here to allow the whole chain to be bootstrapped. javaAntlr = fetchurl { - url = http://www.antlr.org/download/antlr-4.4-complete.jar; + url = https://www.antlr.org/download/antlr-4.4-complete.jar; sha256 = "02lda2imivsvsis8rnzmbrbp8rh1kb8vmq4i67pqhkwz7lf8y6dz"; }; diff --git a/nixpkgs/pkgs/development/compilers/gcc/5/default.nix b/nixpkgs/pkgs/development/compilers/gcc/5/default.nix index 15f95ef205eb..2d7ac9497bbb 100644 --- a/nixpkgs/pkgs/development/compilers/gcc/5/default.nix +++ b/nixpkgs/pkgs/development/compilers/gcc/5/default.nix @@ -75,7 +75,7 @@ let version = "5.5.0"; # Antlr (optional) allows the Java `gjdoc' tool to be built. We want a # binary distribution here to allow the whole chain to be bootstrapped. javaAntlr = fetchurl { - url = http://www.antlr.org/download/antlr-4.4-complete.jar; + url = https://www.antlr.org/download/antlr-4.4-complete.jar; sha256 = "02lda2imivsvsis8rnzmbrbp8rh1kb8vmq4i67pqhkwz7lf8y6dz"; }; diff --git a/nixpkgs/pkgs/development/compilers/gcc/6/default.nix b/nixpkgs/pkgs/development/compilers/gcc/6/default.nix index 6fd1995354f6..7644f4d3f623 100644 --- a/nixpkgs/pkgs/development/compilers/gcc/6/default.nix +++ b/nixpkgs/pkgs/development/compilers/gcc/6/default.nix @@ -72,7 +72,7 @@ let version = "6.5.0"; # Antlr (optional) allows the Java `gjdoc' tool to be built. We want a # binary distribution here to allow the whole chain to be bootstrapped. javaAntlr = fetchurl { - url = http://www.antlr.org/download/antlr-4.4-complete.jar; + url = https://www.antlr.org/download/antlr-4.4-complete.jar; sha256 = "02lda2imivsvsis8rnzmbrbp8rh1kb8vmq4i67pqhkwz7lf8y6dz"; }; diff --git a/nixpkgs/pkgs/development/compilers/ghcjs-ng/ghcjs-base.nix b/nixpkgs/pkgs/development/compilers/ghcjs-ng/ghcjs-base.nix index d03843aa336a..7c10ce6572f8 100644 --- a/nixpkgs/pkgs/development/compilers/ghcjs-ng/ghcjs-base.nix +++ b/nixpkgs/pkgs/development/compilers/ghcjs-ng/ghcjs-base.nix @@ -23,7 +23,7 @@ mkDerivation { primitive QuickCheck quickcheck-unicode random test-framework test-framework-hunit test-framework-quickcheck2 text ]; - homepage = "http://github.com/ghcjs/ghcjs-base"; + homepage = "https://github.com/ghcjs/ghcjs-base"; description = "base library for GHCJS"; license = stdenv.lib.licenses.mit; } diff --git a/nixpkgs/pkgs/development/compilers/julia/allow_nix_mtime.patch b/nixpkgs/pkgs/development/compilers/julia/allow_nix_mtime.patch new file mode 100644 index 000000000000..e4a164cfa1ad --- /dev/null +++ b/nixpkgs/pkgs/development/compilers/julia/allow_nix_mtime.patch @@ -0,0 +1,25 @@ +From f79775378a9eeec5b99f18cc95735b12d172aba3 Mon Sep 17 00:00:00 2001 +From: Tom McLaughlin <pyro777@gmail.com> +Date: Wed, 12 Dec 2018 13:01:32 -0800 +Subject: [PATCH] Patch to make work better with nix + +--- + base/loading.jl | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +diff --git a/base/loading.jl b/base/loading.jl +index 51201b98b6..b40c0690f6 100644 +--- a/base/loading.jl ++++ b/base/loading.jl +@@ -1384,7 +1384,7 @@ function stale_cachefile(modpath::String, cachefile::String) + # Issue #13606: compensate for Docker images rounding mtimes + # Issue #20837: compensate for GlusterFS truncating mtimes to microseconds + ftime = mtime(f) +- if ftime != ftime_req && ftime != floor(ftime_req) && ftime != trunc(ftime_req, digits=6) ++ if ftime != ftime_req && ftime != floor(ftime_req) && ftime != trunc(ftime_req, digits=6) && ftime != 1.0 + @debug "Rejecting stale cache file $cachefile (mtime $ftime_req) because file $f (mtime $ftime) has changed" + return true + end +-- +2.17.1 + diff --git a/nixpkgs/pkgs/development/compilers/julia/shared.nix b/nixpkgs/pkgs/development/compilers/julia/shared.nix index ee08703e4c7e..ffa5e3ba55d0 100644 --- a/nixpkgs/pkgs/development/compilers/julia/shared.nix +++ b/nixpkgs/pkgs/development/compilers/julia/shared.nix @@ -97,6 +97,12 @@ stdenv.mkDerivation rec { patches = [ ./0001.1-use-system-utf8proc.patch + + # Julia recompiles a precompiled file if the mtime stored *in* the + # .ji file differs from the mtime of the .ji file. This + # doesn't work in Nix because Nix changes the mtime of files in + # the Nix store to 1. So patch Julia to accept mtimes of 1. + ./allow_nix_mtime.patch ]; postPatch = '' diff --git a/nixpkgs/pkgs/development/compilers/llvm/7/compiler-rt.nix b/nixpkgs/pkgs/development/compilers/llvm/7/compiler-rt.nix index b44ba62ee924..7a6c91fb832b 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/7/compiler-rt.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/7/compiler-rt.nix @@ -52,7 +52,7 @@ stdenv.mkDerivation rec { ln -s "$out/lib"/*/* "$out/lib" '' + stdenv.lib.optionalString (stdenv.hostPlatform != stdenv.buildPlatform) '' ln -s $out/lib/*/clang_rt.crtbegin-*.o $out/lib/linux/crtbegin.o - ln -s $out/lib/*/cclang_rt.crtend-*.o $out/lib/linux/crtend.o + ln -s $out/lib/*/clang_rt.crtend-*.o $out/lib/linux/crtend.o ln -s $out/lib/*/clang_rt.crtbegin_shared-*.o $out/lib/linux/crtbeginS.o ln -s $out/lib/*/clang_rt.crtend_shared-*.o $out/lib/linux/crtendS.o ''; diff --git a/nixpkgs/pkgs/development/compilers/mono/generic.nix b/nixpkgs/pkgs/development/compilers/mono/generic.nix index 13fc3cf3c3dc..43ef5d4b62d1 100644 --- a/nixpkgs/pkgs/development/compilers/mono/generic.nix +++ b/nixpkgs/pkgs/development/compilers/mono/generic.nix @@ -58,7 +58,7 @@ stdenv.mkDerivation rec { # Fix mono DLLMap so it can find libX11 to run winforms apps # libgdiplus is correctly handled by the --with-libgdiplus configure flag # Other items in the DLLMap may need to be pointed to their store locations, I don't think this is exhaustive - # http://www.mono-project.com/Config_DllMap + # https://www.mono-project.com/Config_DllMap postBuild = '' find . -name 'config' -type f | xargs \ sed -i -e "s@libX11.so.6@${libX11.out}/lib/libX11.so.6@g" diff --git a/nixpkgs/pkgs/development/compilers/nextpnr/default.nix b/nixpkgs/pkgs/development/compilers/nextpnr/default.nix index e2aa5375835e..ec5deb4e22aa 100644 --- a/nixpkgs/pkgs/development/compilers/nextpnr/default.nix +++ b/nixpkgs/pkgs/development/compilers/nextpnr/default.nix @@ -27,13 +27,13 @@ let in stdenv.mkDerivation rec { name = "nextpnr-${version}"; - version = "2019.04.02"; + version = "2019.04.19"; src = fetchFromGitHub { owner = "yosyshq"; repo = "nextpnr"; - rev = "6adf37e3c1d4301e087d89c9e9c37563fe8d78df"; - sha256 = "0qqb2yd2s39hahh5qigvllgyzj7rp3r1k9jp2n9z2jrfpiaz68c6"; + rev = "5344bc3b65f4e06f983db781e9a82d30b3f1512b"; + sha256 = "1y14jpa948cwk0i19bsfqh7yxsxkgskm4xym4z179sjcvcdvrn3a"; }; nativeBuildInputs = [ cmake makeWrapper ]; diff --git a/nixpkgs/pkgs/development/compilers/ocaml/4.08.nix b/nixpkgs/pkgs/development/compilers/ocaml/4.08.nix index 92b2badf6a4c..53d4ceef92a7 100644 --- a/nixpkgs/pkgs/development/compilers/ocaml/4.08.nix +++ b/nixpkgs/pkgs/development/compilers/ocaml/4.08.nix @@ -1,8 +1,8 @@ import ./generic.nix { major_version = "4"; minor_version = "08"; - patch_version = "0+beta2"; - sha256 = "1ngsrw74f3hahzsglxkrdxzv86bkmpsiaaynnzjwfwyzvy8sqrac"; + patch_version = "0+beta3"; + sha256 = "02pk4bxrgqb12hvpbxyqnl4nwr4g2h96wsfzfd1k8vj8h0jmxzc4"; # If the executable is stripped it does not work dontStrip = true; diff --git a/nixpkgs/pkgs/development/compilers/openjdk/11.nix b/nixpkgs/pkgs/development/compilers/openjdk/11.nix index f2a566c87df6..5840061ed53e 100644 --- a/nixpkgs/pkgs/development/compilers/openjdk/11.nix +++ b/nixpkgs/pkgs/development/compilers/openjdk/11.nix @@ -18,16 +18,16 @@ let else "amd64"; major = "11"; - update = ".0.2"; - build = "9"; - repover = "jdk-${major}${update}+${build}"; + update = ".0.3"; + build = "ga"; + repover = "jdk-${major}${update}-${build}"; openjdk = stdenv.mkDerivation { - name = "openjdk-${major}${update}-b${build}"; + name = "openjdk-${major}${update}-${build}"; src = fetchurl { url = "http://hg.openjdk.java.net/jdk-updates/jdk${major}u/archive/${repover}.tar.gz"; - sha256 = "0xc7nksvj72cgw8zrmvlcwaasinpij1j1959398a4nqvzpvpxg30"; + sha256 = "1v6pam38iidlhz46046h17hf5kki6n3kl302awjcyxzk7bmkvb8x"; }; nativeBuildInputs = [ pkgconfig ]; diff --git a/nixpkgs/pkgs/development/compilers/openjdk/8.nix b/nixpkgs/pkgs/development/compilers/openjdk/8.nix index a3a0a9d59346..832954dd67f2 100644 --- a/nixpkgs/pkgs/development/compilers/openjdk/8.nix +++ b/nixpkgs/pkgs/development/compilers/openjdk/8.nix @@ -21,44 +21,44 @@ let else throw "openjdk requires i686-linux or x86_64 linux"; - update = "202"; + update = "212"; build = "ga"; baseurl = "http://hg.openjdk.java.net/jdk8u/jdk8u"; repover = "jdk8u${update}-${build}"; jdk8 = fetchurl { url = "${baseurl}/archive/${repover}.tar.gz"; - sha256 = "0asx7qkhmrlfmhrljck5gb3yp4v0aa8k35y4xfcph41x0m0mvrdb"; + sha256 = "00rl33h4cl4b4p3hcid765h38x2jdkb14ylh8k1zhnd0ka76crgg"; }; langtools = fetchurl { url = "${baseurl}/langtools/archive/${repover}.tar.gz"; - sha256 = "07q6l3slmi5fgwjnsk6bd8miv8glmw15w5f6yyvp8nlp2d54l33n"; + sha256 = "0va6g2dccf1ph6mpwxswbks5axp7zz258cl89qq9r8jn4ni04agw"; }; hotspot = fetchurl { url = "${baseurl}/hotspot/archive/${repover}.tar.gz"; - sha256 = "01k4pwhn3nmkzdhdj1v58dgir4iwsj9mm2ml1541z31s53g037cq"; + sha256 = "0sgr9df10hs49pjld6c6kr374v4zwd9s52pc3drz68zrlk71ja4s"; }; corba = fetchurl { url = "${baseurl}/corba/archive/${repover}.tar.gz"; - sha256 = "0v39kl2iiyh74p3cp6bjhshkwxpgbffza9abzjgp7cpdfhcc73p0"; + sha256 = "1hq0sr4k4k4iv815kg72i9lvd7n7mn5pmw96ckk9p1rnyagn9z03"; }; jdk = fetchurl { url = "${baseurl}/jdk/archive/${repover}.tar.gz"; - sha256 = "0z1cy6aq09j25jyryj47rms15h5175p2h23fg5pv035zapf8nb1b"; + sha256 = "1fc59jrbfq8l067mggzy5dnrvni7lwaqd7hahs4nqv87kyrfg545"; }; jaxws = fetchurl { url = "${baseurl}/jaxws/archive/${repover}.tar.gz"; - sha256 = "0y0mk4sra9d29kgx842m5y4bz9gczc9ypkajv6m5igjv7sizzsv7"; + sha256 = "1ka2fvyxdmpfhk814s314gx53yvdr19vpsqygx283v9nbq90l1yg"; }; jaxp = fetchurl { url = "${baseurl}/jaxp/archive/${repover}.tar.gz"; - sha256 = "07ssrjhffkdncxxhsbid21hlg51y7js3x7sb4g474vmmi3qj6vmb"; + sha256 = "15vlgs5v2ax8sqwh7bg50fnlrwlpnkp0myzrvpqs1mcza8pyasp8"; }; nashorn = fetchurl { url = "${baseurl}/nashorn/archive/${repover}.tar.gz"; - sha256 = "0r0b8ra0ibzbdpxz6nv6i2zrzh2j5sxgprpnl6gf4d9h0i29ickj"; + sha256 = "1jzn0yi0v6lda5y8ib07g1p6zymnbcx9yy6iz8niggpm7205y93h"; }; openjdk8 = stdenv.mkDerivation { - name = "openjdk-8u${update}b${build}"; + name = "openjdk-8u${update}-${build}"; srcs = [ jdk8 langtools hotspot corba jdk jaxws jaxp nashorn ]; sourceRoot = "."; diff --git a/nixpkgs/pkgs/development/compilers/rust/bootstrap.nix b/nixpkgs/pkgs/development/compilers/rust/bootstrap.nix index b44c7815e90c..b3446436179d 100644 --- a/nixpkgs/pkgs/development/compilers/rust/bootstrap.nix +++ b/nixpkgs/pkgs/development/compilers/rust/bootstrap.nix @@ -3,16 +3,16 @@ let # Note: the version MUST be one version prior to the version we're # building - version = "1.32.0"; + version = "1.33.0"; - # fetch hashes by running `print-hashes.sh 1.32.0` + # fetch hashes by running `print-hashes.sh 1.33.0` hashes = { - i686-unknown-linux-gnu = "4ce3a6a656669fa86606074b43fadeac7465ef48394249407e21106ed714c8db"; - x86_64-unknown-linux-gnu = "e024698320d76b74daf0e6e71be3681a1e7923122e3ebd03673fcac3ecc23810"; - armv7-unknown-linux-gnueabihf = "d7b69f60689d2905d8d3c2829b0f1cd0f86265a255ff88ea0deb601aebac6428"; - aarch64-unknown-linux-gnu = "60def40961728212da4b3a9767d5a2ddb748400e150a5f8a6d5aa0e1b8ba1cee"; - i686-apple-darwin = "76cc1280f6b61bf7cf1fddd5202cc236db7573ee05f39fc8cd12ddda8f39a7c3"; - x86_64-apple-darwin = "f0dfba507192f9b5c330b5984ba71d57d434475f3d62bd44a39201e36fa76304"; + i686-unknown-linux-gnu = "c379203687d98e60623aa88c4df4992dd5a9548bc30674b9fc8e671a979e9f3a"; + x86_64-unknown-linux-gnu = "6623168b9ee9de79deb0d9274c577d741ea92003768660aca184e04fe774393f"; + armv7-unknown-linux-gnueabihf = "f6f0ec0a98d922c4bfd79703bc9e9eef439ba347453f33608a87cd63c47e7245"; + aarch64-unknown-linux-gnu = "a308044e4076b62f637313ea803fa0a8f340b0f1b53136856f2c43afcabe5387"; + i686-apple-darwin = "ed20809d56bbaea041721ce6fc9f10f7ae7a720c5821587f01a537d07a5454b1"; + x86_64-apple-darwin = "864e7c074a0b88e38883c87c169513d072300bb52e1d320a067bd34cf14f66bd"; }; platform = diff --git a/nixpkgs/pkgs/development/compilers/rust/rustc.nix b/nixpkgs/pkgs/development/compilers/rust/rustc.nix index 192e017f6e6e..9b9800431005 100644 --- a/nixpkgs/pkgs/development/compilers/rust/rustc.nix +++ b/nixpkgs/pkgs/development/compilers/rust/rustc.nix @@ -17,11 +17,11 @@ let llvmShared = llvm_7.override { enableSharedLibraries = true; }; in stdenv.mkDerivation rec { pname = "rustc"; - version = "1.33.0"; + version = "1.34.0"; src = fetchurl { url = "https://static.rust-lang.org/dist/rustc-${version}-src.tar.gz"; - sha256 = "152x91mg7bz4ygligwjb05fgm1blwy2i70s2j03zc9jiwvbsh0as"; + sha256 = "0n8z1wngkxab1rvixqg6w8b727hzpnm9wp9h8iy3mpbrzp7mmj3s"; }; __darwinAllowLocalNetworking = true; diff --git a/nixpkgs/pkgs/development/compilers/scala/dotty-bare.nix b/nixpkgs/pkgs/development/compilers/scala/dotty-bare.nix index 5f1d384a3283..ab42eae31a68 100644 --- a/nixpkgs/pkgs/development/compilers/scala/dotty-bare.nix +++ b/nixpkgs/pkgs/development/compilers/scala/dotty-bare.nix @@ -1,12 +1,12 @@ { stdenv, fetchurl, makeWrapper, jre, ncurses }: stdenv.mkDerivation rec { - version = "0.10.0-RC1"; + version = "0.14.0-RC1"; name = "dotty-bare-${version}"; src = fetchurl { url = "https://github.com/lampepfl/dotty/releases/download/${version}/dotty-${version}.tar.gz"; - sha256 = "0s9vh0d6xx99gl0ji0dgmbq36f79c0iwfbrfqwmaclqm9yq5m54k"; + sha256 = "0nrgsyhqjlpvnjqgb18pryr8q7knq3dq25jhp98s4wh76nssm1zr"; }; propagatedBuildInputs = [ jre ncurses.dev ] ; diff --git a/nixpkgs/pkgs/development/compilers/yosys/default.nix b/nixpkgs/pkgs/development/compilers/yosys/default.nix index eacb46e8ae87..afb1bcd5a0b0 100644 --- a/nixpkgs/pkgs/development/compilers/yosys/default.nix +++ b/nixpkgs/pkgs/development/compilers/yosys/default.nix @@ -8,14 +8,14 @@ with builtins; stdenv.mkDerivation rec { name = "yosys-${version}"; - version = "2019.04.08"; + version = "2019.04.23"; srcs = [ (fetchFromGitHub { owner = "yosyshq"; repo = "yosys"; - rev = "0deaccbaae436bc94ad5b2913fa39a9368c09ace"; - sha256 = "13kil8z1f35lr9436njn2y60458wnjxldz0bsjcr9mpx8if0zp84"; + rev = "d9daf09cf3aab202b6da058c5e959f6375a4541e"; + sha256 = "0l27r9l3fvkqhmbqqpjz1f3ny4wdh5mdc7jlnbgy6nxx6vqcmkh0"; name = "yosys"; }) @@ -25,8 +25,8 @@ stdenv.mkDerivation rec { (fetchFromGitHub { owner = "berkeley-abc"; repo = "abc"; - rev = "2ddc57d8760d94e86699be39a628178cff8154f8"; - sha256 = "0da7nnnnl9cq2r7s301xgdc8nlr6hqmqpvd9zn4b58m125sp0scl"; + rev = "3709744c60696c5e3f4cc123939921ce8107fe04"; + sha256 = "18a9cjng3qfalq8m9az5ck1y5h4l2pf9ycrvkzs9hn82b1j7vrax"; name = "yosys-abc"; }) ]; diff --git a/nixpkgs/pkgs/development/coq-modules/Verdi/default.nix b/nixpkgs/pkgs/development/coq-modules/Verdi/default.nix index 8eaa2bdfae70..9b3b5320c8ed 100644 --- a/nixpkgs/pkgs/development/coq-modules/Verdi/default.nix +++ b/nixpkgs/pkgs/development/coq-modules/Verdi/default.nix @@ -1,7 +1,12 @@ { stdenv, fetchFromGitHub, coq, Cheerios, InfSeqExt, ssreflect }: let param = + if stdenv.lib.versionAtLeast coq.coq-version "8.7" then { + version = "20190202"; + rev = "bc193be9ea8485add7646a0f72e2aa76a9c7e01f"; + sha256 = "1adkwxnmc9qfah2bya0hpd2vzkmk1y212z4n7fcmvr1a85ykgd7z"; + } else { version = "20181102"; rev = "25b79cf1be5527ab8dc1b8314fcee93e76a2e564"; sha256 = "1vw47c37k5vaa8vbr6ryqy8riagngwcrfmb3rai37yi9xhdqg55z"; diff --git a/nixpkgs/pkgs/development/coq-modules/mathcomp-analysis/default.nix b/nixpkgs/pkgs/development/coq-modules/mathcomp-analysis/default.nix index 8ff9cc5b8303..8c3153712295 100644 --- a/nixpkgs/pkgs/development/coq-modules/mathcomp-analysis/default.nix +++ b/nixpkgs/pkgs/development/coq-modules/mathcomp-analysis/default.nix @@ -1,14 +1,14 @@ { stdenv, fetchFromGitHub, coq, mathcomp-bigenough, mathcomp-finmap }: stdenv.mkDerivation rec { - version = "0.1.0"; + version = "0.2.0"; name = "coq${coq.coq-version}-mathcomp-analysis-${version}"; src = fetchFromGitHub { owner = "math-comp"; repo = "analysis"; rev = version; - sha256 = "0hwkr2wzy710pcyh274fcarzdx8sv8myp16pv0vq5978nmih46al"; + sha256 = "1186xjxgns4ns1szyi931964bjm0mp126qzlv10mkqqgfw07nhrd"; }; buildInputs = [ coq ]; diff --git a/nixpkgs/pkgs/development/coq-modules/mathcomp-finmap/default.nix b/nixpkgs/pkgs/development/coq-modules/mathcomp-finmap/default.nix index 18584d28c685..c36b72711ff6 100644 --- a/nixpkgs/pkgs/development/coq-modules/mathcomp-finmap/default.nix +++ b/nixpkgs/pkgs/development/coq-modules/mathcomp-finmap/default.nix @@ -1,14 +1,25 @@ { stdenv, fetchFromGitHub, coq, mathcomp }: +let param = + if stdenv.lib.versionAtLeast mathcomp.version "1.8.0" + then { + version = "1.2.0"; + sha256 = "0b6wrdr0d7rcnv86s37zm80540jl2wmiyf39ih7mw3dlwli2cyj4"; + } else { + version = "1.1.0"; + sha256 = "05df59v3na8jhpsfp7hq3niam6asgcaipg2wngnzxzqnl86srp2a"; + } +; in + stdenv.mkDerivation rec { - version = "1.1.0"; + inherit (param) version; name = "coq${coq.coq-version}-mathcomp-finmap-${version}"; src = fetchFromGitHub { owner = "math-comp"; repo = "finmap"; rev = version; - sha256 = "05df59v3na8jhpsfp7hq3niam6asgcaipg2wngnzxzqnl86srp2a"; + inherit (param) sha256; }; buildInputs = [ coq ]; diff --git a/nixpkgs/pkgs/development/coq-modules/mathcomp/default.nix b/nixpkgs/pkgs/development/coq-modules/mathcomp/default.nix index 1e5b6b7bf666..8b4c74fb1a54 100644 --- a/nixpkgs/pkgs/development/coq-modules/mathcomp/default.nix +++ b/nixpkgs/pkgs/development/coq-modules/mathcomp/default.nix @@ -4,7 +4,12 @@ let param = - if stdenv.lib.versionAtLeast coq.coq-version "8.6" then + if stdenv.lib.versionAtLeast coq.coq-version "8.7" then + { + version = "1.8.0"; + sha256 = "07l40is389ih8bi525gpqs3qp4yb2kl11r9c8ynk1ifpjzpnabwp"; + } + else if stdenv.lib.versionAtLeast coq.coq-version "8.6" then { version = "1.7.0"; sha256 = "0wnhj9nqpx2bw6n1l4i8jgrw3pjajvckvj3lr4vzjb3my2lbxdd1"; diff --git a/nixpkgs/pkgs/development/coq-modules/tlc/default.nix b/nixpkgs/pkgs/development/coq-modules/tlc/default.nix index 5a236f59129d..fb966f762bc2 100644 --- a/nixpkgs/pkgs/development/coq-modules/tlc/default.nix +++ b/nixpkgs/pkgs/development/coq-modules/tlc/default.nix @@ -1,12 +1,12 @@ { stdenv, fetchurl, coq }: stdenv.mkDerivation rec { - version = "20180316"; + version = "20181116"; name = "coq${coq.coq-version}-tlc-${version}"; src = fetchurl { url = "http://tlc.gforge.inria.fr/releases/tlc-${version}.tar.gz"; - sha256 = "0y8h0x9dfn9dm60j1jkxr9i8lbfqd3ff626wrc9v49qxhi50szqq"; + sha256 = "0iv6f6zmrv2lhq3xq57ipmw856ahsql754776ymv5wjm88ld63nm"; }; buildInputs = [ coq ]; diff --git a/nixpkgs/pkgs/development/haskell-modules/generic-builder.nix b/nixpkgs/pkgs/development/haskell-modules/generic-builder.nix index 8047eee13187..b2d7d0eaf9cb 100644 --- a/nixpkgs/pkgs/development/haskell-modules/generic-builder.nix +++ b/nixpkgs/pkgs/development/haskell-modules/generic-builder.nix @@ -118,7 +118,7 @@ let binDir = if enableSeparateBinOutput then "$bin/bin" else "$out/bin"; - newCabalFileUrl = "http://hackage.haskell.org/package/${pname}-${version}/revision/${revision}.cabal"; + newCabalFileUrl = "mirror://hackage/${pname}-${version}/revision/${revision}.cabal"; newCabalFile = fetchurl { url = newCabalFileUrl; sha256 = editedCabalFile; diff --git a/nixpkgs/pkgs/development/haskell-modules/make-package-set.nix b/nixpkgs/pkgs/development/haskell-modules/make-package-set.nix index b4cd7fee311b..6b5ecc1df8af 100644 --- a/nixpkgs/pkgs/development/haskell-modules/make-package-set.nix +++ b/nixpkgs/pkgs/development/haskell-modules/make-package-set.nix @@ -183,7 +183,7 @@ in package-set { inherit pkgs stdenv callPackage; } self // { callHackageDirect = {pkg, ver, sha256}@args: let pkgver = "${pkg}-${ver}"; in self.callCabal2nix pkg (pkgs.fetchzip { - url = "http://hackage.haskell.org/package/${pkgver}/${pkgver}.tar.gz"; + url = "mirror://hackage/${pkgver}/${pkgver}.tar.gz"; inherit sha256; }); diff --git a/nixpkgs/pkgs/development/interpreters/janet/default.nix b/nixpkgs/pkgs/development/interpreters/janet/default.nix index 00acfd0df8c1..22ccdec8a107 100644 --- a/nixpkgs/pkgs/development/interpreters/janet/default.nix +++ b/nixpkgs/pkgs/development/interpreters/janet/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "janet"; - version = "0.4.0"; + version = "0.4.1"; src = fetchFromGitHub { owner = "janet-lang"; repo = "janet"; rev = "v${version}"; - sha256 = "1590f1fxb6qfhf1vp2xhbvdn2jfrgipn5572cckk1ma7f13jnkpy"; + sha256 = "06iq2y7c9i4pcmmgc8x2fklqkj2i3jrvmq694djiiyd4x81kzcj5"; }; JANET_BUILD=''\"release\"''; diff --git a/nixpkgs/pkgs/development/interpreters/joker/default.nix b/nixpkgs/pkgs/development/interpreters/joker/default.nix index 21a7cfba4064..c3b494783e74 100644 --- a/nixpkgs/pkgs/development/interpreters/joker/default.nix +++ b/nixpkgs/pkgs/development/interpreters/joker/default.nix @@ -2,7 +2,7 @@ buildGoPackage rec { name = "joker-${version}"; - version = "0.10.1"; + version = "0.12.2"; goPackagePath = "github.com/candid82/joker"; @@ -10,7 +10,7 @@ buildGoPackage rec { rev = "v${version}"; owner = "candid82"; repo = "joker"; - sha256 = "1c3p61jmlljljbiwsylmfa75pi00y7yj5wabx1rxmpswc41g5mab"; + sha256 = "0cqz8k53fzz3xqx9czk3hgq164dsbvnk51s0j29g1bmkbl51c2vm"; }; preBuild = "go generate ./..."; diff --git a/nixpkgs/pkgs/development/interpreters/jruby/default.nix b/nixpkgs/pkgs/development/interpreters/jruby/default.nix index 79e7410c0087..2e53ae1694f2 100644 --- a/nixpkgs/pkgs/development/interpreters/jruby/default.nix +++ b/nixpkgs/pkgs/development/interpreters/jruby/default.nix @@ -6,11 +6,11 @@ rubyVersion = callPackage ../ruby/ruby-version.nix {} "2" "3" "3" ""; jruby = stdenv.mkDerivation rec { name = "jruby-${version}"; - version = "9.2.6.0"; + version = "9.2.7.0"; src = fetchurl { url = "https://s3.amazonaws.com/jruby.org/downloads/${version}/jruby-bin-${version}.tar.gz"; - sha256 = "0g8fkv946icw82h7pmg5aqh5mrgiiz9izjcjx9ism2x92w7gz8bh"; + sha256 = "0j0k1vapxr9gx18sqjf0kqfgw22f55907jjbznxc0580x5f1lz6s"; }; buildInputs = [ makeWrapper ]; diff --git a/nixpkgs/pkgs/development/interpreters/perl/default.nix b/nixpkgs/pkgs/development/interpreters/perl/default.nix index e03777b0fb35..ac13f006f3c5 100644 --- a/nixpkgs/pkgs/development/interpreters/perl/default.nix +++ b/nixpkgs/pkgs/development/interpreters/perl/default.nix @@ -168,6 +168,7 @@ let license = licenses.artistic1; maintainers = [ maintainers.eelco ]; platforms = platforms.all; + priority = 6; # in `buildEnv' (including the one inside `perl.withPackages') the library files will have priority over files in `perl` }; } // stdenv.lib.optionalAttrs (stdenv.buildPlatform != stdenv.hostPlatform) rec { crossVersion = "276849e62f472c1b241d9e7b38a28e4cc9f98563"; # Dez 02, 2018 diff --git a/nixpkgs/pkgs/development/interpreters/php/default.nix b/nixpkgs/pkgs/development/interpreters/php/default.nix index 0b6611f16054..97aa610273b1 100644 --- a/nixpkgs/pkgs/development/interpreters/php/default.nix +++ b/nixpkgs/pkgs/development/interpreters/php/default.nix @@ -231,13 +231,13 @@ let ''; src = fetchurl { - url = "http://www.php.net/distributions/php-${version}.tar.bz2"; + url = "https://www.php.net/distributions/php-${version}.tar.bz2"; inherit sha256; }; meta = with stdenv.lib; { description = "An HTML-embedded scripting language"; - homepage = http://www.php.net/; + homepage = https://www.php.net/; license = licenses.php301; maintainers = with maintainers; [ globin etu ]; platforms = platforms.all; diff --git a/nixpkgs/pkgs/development/interpreters/python/cpython/3.5/force_bytecode_determinism.patch b/nixpkgs/pkgs/development/interpreters/python/cpython/3.5/force_bytecode_determinism.patch new file mode 100644 index 000000000000..c263cdbff4db --- /dev/null +++ b/nixpkgs/pkgs/development/interpreters/python/cpython/3.5/force_bytecode_determinism.patch @@ -0,0 +1,17 @@ +--- a/Lib/py_compile.py ++++ b/Lib/py_compile.py +@@ -139,3 +139,4 @@ + source_stats = loader.path_stats(file) ++ source_mtime = 1 if 'DETERMINISTIC_BUILD' in os.environ else source_stats['mtime'] + bytecode = importlib._bootstrap_external._code_to_bytecode( +- code, source_stats['mtime'], source_stats['size']) ++ code, source_mtime, source_stats['size']) +--- a/Lib/importlib/_bootstrap_external.py ++++ b/Lib/importlib/_bootstrap_external.py +@@ -485,5 +485,5 @@ + if source_stats is not None: + try: +- source_mtime = int(source_stats['mtime']) ++ source_mtime = 1 + except KeyError: + pass diff --git a/nixpkgs/pkgs/development/interpreters/python/cpython/default.nix b/nixpkgs/pkgs/development/interpreters/python/cpython/default.nix index 64021672276d..7d90e201d192 100644 --- a/nixpkgs/pkgs/development/interpreters/python/cpython/default.nix +++ b/nixpkgs/pkgs/development/interpreters/python/cpython/default.nix @@ -87,6 +87,9 @@ in with passthru; stdenv.mkDerivation { # (since it will do a futile invocation of gcc (!) to find # libuuid, slowing down program startup a lot). (./. + "/${sourceVersion.major}.${sourceVersion.minor}/no-ldconfig.patch") + ] ++ optionals (isPy35 || isPy36) [ + # Determinism: Write null timestamps when compiling python files. + ./3.5/force_bytecode_determinism.patch ] ++ optionals isPy35 [ # Backports support for LD_LIBRARY_PATH from 3.6 ./3.5/ld_library_path.patch @@ -168,8 +171,8 @@ in with passthru; stdenv.mkDerivation { export NIX_CFLAGS_COMPILE="$NIX_CFLAGS_COMPILE -msse2" export MACOSX_DEPLOYMENT_TARGET=10.6 '' + optionalString (isPy3k && pythonOlder "3.7") '' - # Determinism: The interpreter is patched to write null timestamps when compiling python files. - # This way python does not try to update them when we freeze timestamps in nix store. + # Determinism: The interpreter is patched to write null timestamps when compiling Python files + # so Python doesn't try to update the bytecode when seeing frozen timestamps in Nix's store. export DETERMINISTIC_BUILD=1; '' + optionalString stdenv.hostPlatform.isMusl '' export NIX_CFLAGS_COMPILE+=" -DTHREAD_STACK_SIZE=0x100000" diff --git a/nixpkgs/pkgs/development/interpreters/python/default.nix b/nixpkgs/pkgs/development/interpreters/python/default.nix index 15af58be6fc9..ef4cab350211 100644 --- a/nixpkgs/pkgs/development/interpreters/python/default.nix +++ b/nixpkgs/pkgs/development/interpreters/python/default.nix @@ -30,7 +30,7 @@ with pkgs; isPy2 = lib.strings.substring 0 1 pythonVersion == "2"; isPy3 = lib.strings.substring 0 1 pythonVersion == "3"; isPy3k = isPy3; - isPyPy = interpreter == "pypy"; + isPyPy = lib.hasInfix "pypy" interpreter; buildEnv = callPackage ./wrapper.nix { python = self; inherit (pythonPackages) requiredPythonModules; }; withPackages = import ./with-packages.nix { inherit buildEnv pythonPackages;}; @@ -100,11 +100,11 @@ in { pypy27 = callPackage ./pypy { self = pypy27; sourceVersion = { - major = "6"; + major = "7"; minor = "0"; patch = "0"; }; - sha256 = "1qjwpc8n68sxxlfg36s5vn1h2gdfvvd6lxvr4lzbvfwhzrgqahsw"; + sha256 = "1m6ja79sbkl38p1hs7c0n4kq5xzn01wp7wl5456hsw9q6cwg6894"; pythonVersion = "2.7"; db = db.override { dbmSupport = true; }; python = python27; @@ -114,11 +114,11 @@ in { pypy35 = callPackage ./pypy { self = pypy35; sourceVersion = { - major = "6"; + major = "7"; minor = "0"; patch = "0"; }; - sha256 = "0lwq8nn0r5yj01bwmkk5p7xvvrp4s550l8184mkmn74d3gphrlwg"; + sha256 = "0hbv9ziv8n9lqnr6cndrw70p6g40c00w1ds7lmzgrr153myxkp7w"; pythonVersion = "3.5"; db = db.override { dbmSupport = true; }; python = python27; diff --git a/nixpkgs/pkgs/development/interpreters/python/mk-python-derivation.nix b/nixpkgs/pkgs/development/interpreters/python/mk-python-derivation.nix index b7477c9b7b7d..d986490310bc 100644 --- a/nixpkgs/pkgs/development/interpreters/python/mk-python-derivation.nix +++ b/nixpkgs/pkgs/development/interpreters/python/mk-python-derivation.nix @@ -33,6 +33,9 @@ # DEPRECATED: use propagatedBuildInputs , pythonPath ? [] +# Enabled to detect some (native)BuildInputs mistakes +, strictDeps ? true + # used to disable derivation, useful for specific python versions , disabled ? false @@ -87,8 +90,7 @@ let self = toPythonModule (python.stdenv.mkDerivation (builtins.removeAttrs attr # Propagate python and setuptools. We should stop propagating setuptools. propagatedBuildInputs = propagatedBuildInputs ++ [ python setuptools ]; - # Enabled to detect some (native)BuildInputs mistakes - strictDeps = true; + inherit strictDeps; LANG = "${if python.stdenv.isDarwin then "en_US" else "C"}.UTF-8"; diff --git a/nixpkgs/pkgs/development/interpreters/python/pypy/default.nix b/nixpkgs/pkgs/development/interpreters/python/pypy/default.nix index 193f134e5c6c..46cf0475de45 100644 --- a/nixpkgs/pkgs/development/interpreters/python/pypy/default.nix +++ b/nixpkgs/pkgs/development/interpreters/python/pypy/default.nix @@ -108,6 +108,9 @@ in with passthru; stdenv.mkDerivation rec { "test_pathlib" # disable tarfile because it assumes gid 0 exists "test_tarfile" + # disable __all__ because of spurious imp/importlib warning and + # warning-to-error test policy + "test___all__" ]; in '' export TERMINFO="${ncurses.out}/share/terminfo/"; diff --git a/nixpkgs/pkgs/development/interpreters/ruby/default.nix b/nixpkgs/pkgs/development/interpreters/ruby/default.nix index 70f60a6b23f1..b24aa596214c 100644 --- a/nixpkgs/pkgs/development/interpreters/ruby/default.nix +++ b/nixpkgs/pkgs/development/interpreters/ruby/default.nix @@ -266,10 +266,10 @@ in { }; ruby_2_6 = generic { - version = rubyVersion "2" "6" "2" ""; + version = rubyVersion "2" "6" "3" ""; sha256 = { - src = "1as97d2j0d21g8mldp8fmdjah96jakrxyw1v0crg7ln2y8mmsh50"; - git = "0f4mnrd7733353kx1jjha770kvm0wlc59z7id9h23kmjdg6k76nl"; + src = "1yw23hmllxsc4b7zqndn5l4d9503gdik6rsf3lfdkf12bxwx6zsp"; + git = "1h4k2kw0vr4jh2ra9l89i8lnddfh2qfw67y9cknjylf7kw2m1pmh"; }; }; } diff --git a/nixpkgs/pkgs/development/interpreters/ruby/patchsets.nix b/nixpkgs/pkgs/development/interpreters/ruby/patchsets.nix index 17f03e420aef..8cfc3385b061 100644 --- a/nixpkgs/pkgs/development/interpreters/ruby/patchsets.nix +++ b/nixpkgs/pkgs/development/interpreters/ruby/patchsets.nix @@ -70,7 +70,7 @@ rec { "${patchSet}/patches/ruby/2.5/head/railsexpress/02-improve-gc-stats.patch" "${patchSet}/patches/ruby/2.5/head/railsexpress/03-more-detailed-stacktrace.patch" ]; - "2.6.2" = ops useRailsExpress [ + "2.6.3" = ops useRailsExpress [ "${patchSet}/patches/ruby/2.6/head/railsexpress/01-fix-broken-tests-caused-by-ad.patch" "${patchSet}/patches/ruby/2.6/head/railsexpress/02-improve-gc-stats.patch" "${patchSet}/patches/ruby/2.6/head/railsexpress/03-more-detailed-stacktrace.patch" diff --git a/nixpkgs/pkgs/development/interpreters/spidermonkey/52.nix b/nixpkgs/pkgs/development/interpreters/spidermonkey/52.nix index 1d7a11df5a41..feac99b2d8e5 100644 --- a/nixpkgs/pkgs/development/interpreters/spidermonkey/52.nix +++ b/nixpkgs/pkgs/development/interpreters/spidermonkey/52.nix @@ -31,7 +31,7 @@ in stdenv.mkDerivation rec { }) ]; - configurePlatforms = [ "host" "target" ]; + configurePlatforms = [ ]; preConfigure = '' export CXXFLAGS="-fpermissive" @@ -51,7 +51,11 @@ in stdenv.mkDerivation rec { "--with-intl-api" "--enable-readline" "--enable-shared-js" - ] ++ stdenv.lib.optional stdenv.hostPlatform.isMusl "--disable-jemalloc"; + ] ++ stdenv.lib.optional stdenv.hostPlatform.isMusl "--disable-jemalloc" + ++ stdenv.lib.optionals (stdenv.hostPlatform != stdenv.buildPlatform) [ + "--host=${stdenv.buildPlatform.config}" + "--target=${stdenv.hostPlatform.config}" + ]; makeFlags = [ "HOST_CC=${buildPackages.stdenv.cc}/bin/cc" diff --git a/nixpkgs/pkgs/development/libraries/SDL2_ttf/default.nix b/nixpkgs/pkgs/development/libraries/SDL2_ttf/default.nix index a21315fdc882..b219922223fb 100644 --- a/nixpkgs/pkgs/development/libraries/SDL2_ttf/default.nix +++ b/nixpkgs/pkgs/development/libraries/SDL2_ttf/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "SDL2_ttf-${version}"; - version = "2.0.14"; + version = "2.0.15"; src = fetchurl { url = "https://www.libsdl.org/projects/SDL_ttf/release/${name}.tar.gz"; - sha256 = "0xljwcpvd2knrjdfag5b257xqayplz55mqlszrqp0kpnphh5xnrl"; + sha256 = "0cyd48dipc0m399qy8s03lci8b0bpiy8xlkvrm2ia7wcv0dfpv59"; }; configureFlags = stdenv.lib.optional stdenv.isDarwin "--disable-sdltest"; diff --git a/nixpkgs/pkgs/development/libraries/abseil-cpp/default.nix b/nixpkgs/pkgs/development/libraries/abseil-cpp/default.nix new file mode 100644 index 000000000000..4e1da8666227 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/abseil-cpp/default.nix @@ -0,0 +1,24 @@ +{ stdenv, fetchFromGitHub, cmake }: + +stdenv.mkDerivation rec { + name = "abseil-cpp-${version}"; + date = "20190322"; + rev = "eab2078b53c9e3d9d240135c09d27e3393acb50a"; + version = "${date}-${rev}"; + + src = fetchFromGitHub { + owner = "abseil"; + repo = "abseil-cpp"; + rev = "${rev}"; + sha256 = "1bpz44hxq5fpkv6jlgphzk7mxjiiah526jgb63ih5pd1hd2cfw1r"; + }; + + nativeBuildInputs = [ cmake ]; + + meta = with stdenv.lib; { + description = "An open-source collection of C++ code designed to augment the C++ standard library"; + homepage = https://abseil.io/; + license = licenses.asl20; + maintainers = [ maintainers.andersk ]; + }; +} diff --git a/nixpkgs/pkgs/development/libraries/agda/agda-stdlib/default.nix b/nixpkgs/pkgs/development/libraries/agda/agda-stdlib/default.nix index 276e1531acdd..d9350d135eb6 100644 --- a/nixpkgs/pkgs/development/libraries/agda/agda-stdlib/default.nix +++ b/nixpkgs/pkgs/development/libraries/agda/agda-stdlib/default.nix @@ -1,14 +1,14 @@ { stdenv, agda, fetchFromGitHub, ghcWithPackages }: agda.mkDerivation (self: rec { - version = "0.17"; + version = "1.0"; name = "agda-stdlib-${version}"; src = fetchFromGitHub { repo = "agda-stdlib"; owner = "agda"; rev = "v${version}"; - sha256 = "05c5zgj9fcaqz7z2l70jh48b3g4811vm7bccj0vd9r82wi02g3p1"; + sha256 = "19qrdfi0vig3msqg76k1zf5j3vav0jz44cvj6i4dyfbscdwf2l9c"; }; nativeBuildInputs = [ (ghcWithPackages (self : [ self.filemanip ])) ]; diff --git a/nixpkgs/pkgs/development/libraries/audio/lv2/default.nix b/nixpkgs/pkgs/development/libraries/audio/lv2/default.nix index 5b98a88a8fb5..d026dd258bc7 100644 --- a/nixpkgs/pkgs/development/libraries/audio/lv2/default.nix +++ b/nixpkgs/pkgs/development/libraries/audio/lv2/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "lv2-${version}"; - version = "1.14.0"; + version = "1.16.0"; src = fetchurl { url = "http://lv2plug.in/spec/${name}.tar.bz2"; - sha256 = "0chxwys3vnn3nxc9x2vchm74s9sx0vfra6y893byy12ci61jc1dq"; + sha256 = "1ppippbpdpv13ibs06b0bixnazwfhiw0d0ja6hx42jnkgdyp5hyy"; }; nativeBuildInputs = [ pkgconfig wafHook ]; diff --git a/nixpkgs/pkgs/development/libraries/aws-c-common/default.nix b/nixpkgs/pkgs/development/libraries/aws-c-common/default.nix index 682a74593fdc..5404c0a1fdfc 100644 --- a/nixpkgs/pkgs/development/libraries/aws-c-common/default.nix +++ b/nixpkgs/pkgs/development/libraries/aws-c-common/default.nix @@ -1,4 +1,4 @@ -{ lib, stdenv, fetchFromGitHub, cmake }: +{ lib, stdenv, fetchFromGitHub, cmake, libexecinfo }: stdenv.mkDerivation rec { pname = "aws-c-common"; @@ -13,6 +13,8 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ cmake ]; + buildInputs = lib.optional stdenv.hostPlatform.isMusl libexecinfo; + NIX_CFLAGS_COMPILE = lib.optionals stdenv.isDarwin [ "-Wno-nullability-extension" "-Wno-typedef-redefinition" diff --git a/nixpkgs/pkgs/development/libraries/aws-c-event-stream/default.nix b/nixpkgs/pkgs/development/libraries/aws-c-event-stream/default.nix index 47363e12a68d..ccc4abb85fdb 100644 --- a/nixpkgs/pkgs/development/libraries/aws-c-event-stream/default.nix +++ b/nixpkgs/pkgs/development/libraries/aws-c-event-stream/default.nix @@ -1,4 +1,4 @@ -{ lib, stdenv, fetchFromGitHub, cmake, aws-c-common, aws-checksums }: +{ lib, stdenv, fetchFromGitHub, cmake, aws-c-common, aws-checksums, libexecinfo }: stdenv.mkDerivation rec { pname = "aws-c-event-stream"; @@ -13,7 +13,7 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ cmake ]; - buildInputs = [ aws-c-common aws-checksums ]; + buildInputs = [ aws-c-common aws-checksums ] ++ lib.optional stdenv.hostPlatform.isMusl libexecinfo; cmakeFlags = [ "-DCMAKE_MODULE_PATH=${aws-c-common}/lib/cmake" diff --git a/nixpkgs/pkgs/development/libraries/cairo/default.nix b/nixpkgs/pkgs/development/libraries/cairo/default.nix index b86d68638c23..ec48a5a1ae46 100644 --- a/nixpkgs/pkgs/development/libraries/cairo/default.nix +++ b/nixpkgs/pkgs/development/libraries/cairo/default.nix @@ -108,6 +108,7 @@ in stdenv.mkDerivation rec { # `-I' flags to be propagated. sed -i "src/cairo.pc.in" \ -es'|^Cflags:\(.*\)$|Cflags: \1 -I${freetype.dev}/include/freetype2 -I${freetype.dev}/include|g' + substituteInPlace configure --replace strings $STRINGS ''; enableParallelBuilding = true; diff --git a/nixpkgs/pkgs/development/libraries/catch2/default.nix b/nixpkgs/pkgs/development/libraries/catch2/default.nix index 666db6f88e22..c81e97b970e5 100644 --- a/nixpkgs/pkgs/development/libraries/catch2/default.nix +++ b/nixpkgs/pkgs/development/libraries/catch2/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { name = "catch2-${version}"; - version = "2.7.0"; + version = "2.7.2"; src = fetchFromGitHub { owner = "catchorg"; repo = "Catch2"; rev = "v${version}"; - sha256="05j01v4hmw0vv5vcj11pbngl200b3j2yvawk08fw9a249jzx6v1a"; + sha256="0h4yihf2avaw9awcigdqqlnfk5ak7scfv5lm0j8s6la4hyswc982"; }; nativeBuildInputs = [ cmake ]; diff --git a/nixpkgs/pkgs/development/libraries/chromaprint/default.nix b/nixpkgs/pkgs/development/libraries/chromaprint/default.nix index 4e7488f7eb37..02420735ff76 100644 --- a/nixpkgs/pkgs/development/libraries/chromaprint/default.nix +++ b/nixpkgs/pkgs/development/libraries/chromaprint/default.nix @@ -5,7 +5,7 @@ stdenv.mkDerivation rec { version = "1.3.2"; src = fetchurl { - url = "http://bitbucket.org/acoustid/chromaprint/downloads/${name}.tar.gz"; + url = "https://bitbucket.org/acoustid/chromaprint/downloads/${name}.tar.gz"; sha256 = "0lln8dh33gslb9cbmd1hcv33pr6jxdwipd8m8gbsyhksiq6r1by3"; }; diff --git a/nixpkgs/pkgs/development/libraries/cimg/default.nix b/nixpkgs/pkgs/development/libraries/cimg/default.nix index a647c84016ba..5dece8328b41 100644 --- a/nixpkgs/pkgs/development/libraries/cimg/default.nix +++ b/nixpkgs/pkgs/development/libraries/cimg/default.nix @@ -3,11 +3,11 @@ stdenv.mkDerivation rec { name = "cimg-${version}"; - version = "2.5.5"; + version = "2.5.6"; src = fetchurl { url = "http://cimg.eu/files/CImg_${version}.zip"; - sha256 = "12jwis90ijakfiqngcd8s4a22wzr32f6midszja9ry41ilv63nic"; + sha256 = "02n3xjjs68xszvyk2830i03clbqlngnic0cw1rk6xca7gsvad3ij"; }; nativeBuildInputs = [ unzip ]; diff --git a/nixpkgs/pkgs/development/libraries/csfml/default.nix b/nixpkgs/pkgs/development/libraries/csfml/default.nix index 6ec18b9514d7..9b74ecdb0f7e 100644 --- a/nixpkgs/pkgs/development/libraries/csfml/default.nix +++ b/nixpkgs/pkgs/development/libraries/csfml/default.nix @@ -16,7 +16,7 @@ stdenv.mkDerivation { cmakeFlags = [ "-DCMAKE_MODULE_PATH=${sfml}/share/SFML/cmake/Modules/" ]; meta = with stdenv.lib; { - homepage = http://www.sfml-dev.org/; + homepage = https://www.sfml-dev.org/; description = "Simple and fast multimedia library"; longDescription = '' SFML is a simple, fast, cross-platform and object-oriented multimedia API. diff --git a/nixpkgs/pkgs/development/libraries/curlpp/default.nix b/nixpkgs/pkgs/development/libraries/curlpp/default.nix new file mode 100644 index 000000000000..58f88e6d8ebf --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/curlpp/default.nix @@ -0,0 +1,23 @@ +{ stdenv, fetchFromGitHub, cmake, curl }: + +stdenv.mkDerivation rec { + name = "curlpp-${version}"; + version = "0.8.1"; + src = fetchFromGitHub { + owner = "jpbarrette"; + repo = "curlpp"; + rev = "v${version}"; + sha256 = "1b0ylnnrhdax4kwjq64r1fk0i24n5ss6zfzf4hxwgslny01xiwrk"; + }; + + buildInputs = [ curl ]; + nativeBuildInputs = [ cmake ]; + enableParallelBuilding = true; + + meta = with stdenv.lib; { + homepage = https://www.curlpp.org/; + description = "C++ wrapper around libcURL"; + license = licenses.mit; + maintainers = with maintainers; [ CrazedProgrammer ]; + }; +} diff --git a/nixpkgs/pkgs/development/libraries/dav1d/default.nix b/nixpkgs/pkgs/development/libraries/dav1d/default.nix index c8aa70d08923..4b94f673a652 100644 --- a/nixpkgs/pkgs/development/libraries/dav1d/default.nix +++ b/nixpkgs/pkgs/development/libraries/dav1d/default.nix @@ -2,14 +2,14 @@ stdenv.mkDerivation rec { pname = "dav1d"; - version = "0.2.1"; + version = "0.2.2"; src = fetchFromGitLab { domain = "code.videolan.org"; owner = "videolan"; repo = pname; rev = version; - sha256 = "0diihk7lcdxxbfqp79dpvj14008zfzmayamh4vj310i524lqnkb6"; + sha256 = "130yjr82w0az4xsdcmcjdwkhd0sin5pm6q6s9dyn5yhrwfx1vf0p"; }; nativeBuildInputs = [ meson ninja nasm ]; diff --git a/nixpkgs/pkgs/development/libraries/dbus-glib/default.nix b/nixpkgs/pkgs/development/libraries/dbus-glib/default.nix index c8bc96f80fde..fe57759f09fb 100644 --- a/nixpkgs/pkgs/development/libraries/dbus-glib/default.nix +++ b/nixpkgs/pkgs/development/libraries/dbus-glib/default.nix @@ -26,7 +26,7 @@ stdenv.mkDerivation rec { passthru = { inherit dbus glib; }; meta = { - homepage = http://dbus.freedesktop.org; + homepage = https://dbus.freedesktop.org; license = with stdenv.lib.licenses; [ afl21 gpl2 ]; description = "Obsolete glib bindings for D-Bus lightweight IPC mechanism"; maintainers = [ ]; diff --git a/nixpkgs/pkgs/development/libraries/dleyna-core/default.nix b/nixpkgs/pkgs/development/libraries/dleyna-core/default.nix index 787030eed987..9d83812fefb7 100644 --- a/nixpkgs/pkgs/development/libraries/dleyna-core/default.nix +++ b/nixpkgs/pkgs/development/libraries/dleyna-core/default.nix @@ -1,10 +1,17 @@ -{ stdenv, autoreconfHook, pkgconfig, fetchFromGitHub, gupnp }: +{ stdenv +, fetchFromGitHub +, fetchpatch +, autoreconfHook +, pkgconfig +, gupnp +}: stdenv.mkDerivation rec { pname = "dleyna-core"; - name = "${pname}-${version}"; version = "0.6.0"; + setupHook = ./setup-hook.sh; + src = fetchFromGitHub { owner = "01org"; repo = pname; @@ -12,12 +19,25 @@ stdenv.mkDerivation rec { sha256 = "1x5vj5zfk95avyg6g3nf6gar250cfrgla2ixj2ifn8pcick2d9vq"; }; - setupHook = ./setup-hook.sh; + patches = [ + ./0001-Search-connectors-in-DLEYNA_CONNECTOR_PATH.patch + + # fix build with gupnp 1.2 + # https://github.com/intel/dleyna-core/pull/52 + (fetchpatch { + url = https://github.com/intel/dleyna-core/commit/41b2e56f67b6fc9c8c256b86957d281644b9b846.patch; + sha256 = "1h758cp65v7qyfpvyqdri7q0gwx85mhdpkb2y8waq735q5q9ib39"; + }) + ]; - patches = [ ./0001-Search-connectors-in-DLEYNA_CONNECTOR_PATH.patch ]; + nativeBuildInputs = [ + autoreconfHook + pkgconfig + ]; - nativeBuildInputs = [ autoreconfHook pkgconfig ]; - propagatedBuildInputs = [ gupnp ]; + propagatedBuildInputs = [ + gupnp + ]; meta = with stdenv.lib; { description = "Library of utility functions that are used by the higher level dLeyna"; diff --git a/nixpkgs/pkgs/development/libraries/dleyna-renderer/default.nix b/nixpkgs/pkgs/development/libraries/dleyna-renderer/default.nix index 99af28111a76..aae7861fef4f 100644 --- a/nixpkgs/pkgs/development/libraries/dleyna-renderer/default.nix +++ b/nixpkgs/pkgs/development/libraries/dleyna-renderer/default.nix @@ -1,8 +1,20 @@ -{ stdenv, autoreconfHook, pkgconfig, fetchFromGitHub, dleyna-connector-dbus, dleyna-core, gssdp, gupnp, gupnp-av, gupnp-dlna, libsoup, makeWrapper }: +{ stdenv +, fetchurl +, fetchFromGitHub +, autoreconfHook +, pkgconfig +, dleyna-connector-dbus +, dleyna-core +, gssdp +, gupnp +, gupnp-av +, gupnp-dlna +, libsoup +, makeWrapper +}: stdenv.mkDerivation rec { pname = "dleyna-renderer"; - name = "${pname}-${version}"; version = "0.6.0"; src = fetchFromGitHub { @@ -12,8 +24,27 @@ stdenv.mkDerivation rec { sha256 = "0jy54aq8hgrvzchrvfzqaj4pcn0cfhafl9bv8a9p6j82yjk4pvpp"; }; - nativeBuildInputs = [ autoreconfHook pkgconfig makeWrapper ]; - buildInputs = [ dleyna-core dleyna-connector-dbus gssdp gupnp gupnp-av gupnp-dlna libsoup ]; + patches = [ + # fix build with gupnp 1.2 + # comes from arch linux packaging https://git.archlinux.org/svntogit/packages.git/tree/trunk/gupnp-1.2.diff?h=packages/dleyna-renderer + ./gupnp-1.2.diff + ]; + + nativeBuildInputs = [ + autoreconfHook + pkgconfig + makeWrapper + ]; + + buildInputs = [ + dleyna-core + dleyna-connector-dbus + gssdp + gupnp + gupnp-av + gupnp-dlna + libsoup + ]; preFixup = '' wrapProgram "$out/libexec/dleyna-renderer-service" \ diff --git a/nixpkgs/pkgs/development/libraries/dleyna-renderer/gupnp-1.2.diff b/nixpkgs/pkgs/development/libraries/dleyna-renderer/gupnp-1.2.diff new file mode 100644 index 000000000000..3255695ab23e --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/dleyna-renderer/gupnp-1.2.diff @@ -0,0 +1,130 @@ + configure.ac | 4 ++-- + libdleyna/renderer/device.c | 51 +++++++++++++++++++++++++++++++++++++++++++-- + libdleyna/renderer/upnp.c | 4 ++-- + 3 files changed, 53 insertions(+), 6 deletions(-) + +diff --git c/configure.ac i/configure.ac +index 271ee92..364659d 100644 +--- c/configure.ac ++++ i/configure.ac +@@ -38,8 +38,8 @@ LT_LANG([C]) + PKG_PROG_PKG_CONFIG(0.16) + PKG_CHECK_MODULES([GLIB], [glib-2.0 >= 2.28]) + PKG_CHECK_MODULES([GIO], [gio-2.0 >= 2.28]) +-PKG_CHECK_MODULES([GSSDP], [gssdp-1.0 >= 0.13.2]) +-PKG_CHECK_MODULES([GUPNP], [gupnp-1.0 >= 0.20.5]) ++PKG_CHECK_MODULES([GSSDP], [gssdp-1.2 >= 1.2.0]) ++PKG_CHECK_MODULES([GUPNP], [gupnp-1.2 >= 1.2.0]) + PKG_CHECK_MODULES([GUPNPAV], [gupnp-av-1.0 >= 0.11.5]) + PKG_CHECK_MODULES([GUPNPDLNA], [gupnp-dlna-2.0 >= 0.9.4]) + PKG_CHECK_MODULES([SOUP], [libsoup-2.4 >= 2.28.2]) +diff --git c/libdleyna/renderer/device.c i/libdleyna/renderer/device.c +index 7acef89..f6d571e 100644 +--- c/libdleyna/renderer/device.c ++++ i/libdleyna/renderer/device.c +@@ -2121,33 +2121,80 @@ exit: + return; + } + ++typedef struct ++{ ++ GMainLoop *loop; ++ GUPnPServiceIntrospection *introspection; ++ GError **error; ++} GetIntrospectionAsyncData; ++ ++static void ++get_introspection_async_cb (GUPnPServiceInfo *info, ++ GUPnPServiceIntrospection *introspection, ++ const GError *error, ++ gpointer user_data) ++{ ++ GetIntrospectionAsyncData *data = user_data; ++ data->introspection = introspection; ++ if (data->error) ++ *data->error = g_error_copy (error); ++ g_main_loop_quit (data->loop); ++} ++ ++static GUPnPServiceIntrospection * ++_gupnp_service_info_get_introspection (GUPnPServiceInfo *info, ++ GError **error) ++{ ++ GetIntrospectionAsyncData data; ++ GMainContext *context; ++ ++ context = g_main_context_new (); ++ data.loop = g_main_loop_new (context, FALSE); ++ data.error = error; ++ ++ g_main_context_push_thread_default (context); ++ ++ gupnp_service_info_get_introspection_async (info, ++ get_introspection_async_cb, ++ &data); ++ ++ g_main_loop_run (data.loop); ++ ++ g_main_context_pop_thread_default (context); ++ ++ g_main_loop_unref (data.loop); ++ g_main_context_unref (context); ++ ++ return data.introspection; ++} ++ + static gboolean prv_get_av_service_states_values(GUPnPServiceProxy *av_proxy, + GVariant **mpris_tp_speeds, + GPtrArray **upnp_tp_speeds, + double *min_rate, + double *max_rate, + gboolean *can_get_byte_pos) + { + const GUPnPServiceStateVariableInfo *svi; + const GUPnPServiceActionInfo *sai; + GUPnPServiceIntrospection *introspection; + GError *error = NULL; + GVariant *speeds = NULL; + GList *allowed_values; + gpointer weak_ref = NULL; + gboolean device_alive = TRUE; + + /* TODO: this weak_ref hack is needed as + gupnp_service_info_get_introspection iterates the main loop. + This can result in our device getting deleted before this + function returns. Ultimately, this code needs to be re-written + to use gupnp_service_info_get_introspection_async but this cannot + really be done until GUPnP provides a way to cancel this function. */ + + weak_ref = av_proxy; + g_object_add_weak_pointer(G_OBJECT(av_proxy), &weak_ref); + +- introspection = gupnp_service_info_get_introspection( ++ introspection = _gupnp_service_info_get_introspection( + GUPNP_SERVICE_INFO(av_proxy), + &error); + +@@ -2215,7 +2262,7 @@ static gboolean prv_get_rc_service_states_values(GUPnPServiceProxy *rc_proxy, + weak_ref = rc_proxy; + g_object_add_weak_pointer(G_OBJECT(rc_proxy), &weak_ref); + +- introspection = gupnp_service_info_get_introspection( ++ introspection = _gupnp_service_info_get_introspection( + GUPNP_SERVICE_INFO(rc_proxy), + &error); + +diff --git c/libdleyna/renderer/upnp.c i/libdleyna/renderer/upnp.c +index 17cbda7..068912b 100644 +--- c/libdleyna/renderer/upnp.c ++++ i/libdleyna/renderer/upnp.c +@@ -243,8 +243,8 @@ static void prv_server_unavailable_cb(GUPnPControlPoint *cp, + + udn = gupnp_device_info_get_udn((GUPnPDeviceInfo *)proxy); + +- ip_address = gupnp_context_get_host_ip( +- gupnp_control_point_get_context(cp)); ++ ip_address = gssdp_client_get_host_ip( ++ GSSDP_CLIENT(gupnp_control_point_get_context(cp))); + + if (!udn || !ip_address) + goto on_error; diff --git a/nixpkgs/pkgs/development/libraries/dleyna-server/default.nix b/nixpkgs/pkgs/development/libraries/dleyna-server/default.nix index 79b0155c9132..4730d4559503 100644 --- a/nixpkgs/pkgs/development/libraries/dleyna-server/default.nix +++ b/nixpkgs/pkgs/development/libraries/dleyna-server/default.nix @@ -1,8 +1,20 @@ -{ stdenv, autoreconfHook, makeWrapper, pkgconfig, fetchFromGitHub, dleyna-core, dleyna-connector-dbus, gssdp, gupnp, gupnp-av, gupnp-dlna, libsoup }: +{ stdenv +, fetchFromGitHub +, fetchpatch +, autoreconfHook +, makeWrapper +, pkgconfig +, dleyna-core +, dleyna-connector-dbus +, gssdp +, gupnp +, gupnp-av +, gupnp-dlna +, libsoup +}: stdenv.mkDerivation rec { pname = "dleyna-server"; - name = "${pname}-${version}"; version = "0.6.0"; src = fetchFromGitHub { @@ -12,8 +24,30 @@ stdenv.mkDerivation rec { sha256 = "13a2i6ms27s46yxdvlh2zm7pim7jmr5cylnygzbliz53g3gxxl3j"; }; - nativeBuildInputs = [ autoreconfHook pkgconfig makeWrapper ]; - buildInputs = [ dleyna-core dleyna-connector-dbus gssdp gupnp gupnp-av gupnp-dlna libsoup ]; + patches = [ + # fix build with gupnp 1.2 + # https://github.com/intel/dleyna-server/pull/161 + (fetchpatch { + url = https://github.com/intel/dleyna-server/commit/96c01c88363d6e5e9b7519bc4e8b5d86cf783e1f.patch; + sha256 = "0p8fn331x2whvn6skxqvfzilx0m0yx2q5mm2wh2625l396m3fzmm"; + }) + ]; + + nativeBuildInputs = [ + autoreconfHook + pkgconfig + makeWrapper + ]; + + buildInputs = [ + dleyna-core + dleyna-connector-dbus + gssdp + gupnp + gupnp-av + gupnp-dlna + libsoup + ]; preFixup = '' wrapProgram "$out/libexec/dleyna-server-service" \ diff --git a/nixpkgs/pkgs/development/libraries/exiv2/default.nix b/nixpkgs/pkgs/development/libraries/exiv2/default.nix index ea782fc6986f..3f731bdf799e 100644 --- a/nixpkgs/pkgs/development/libraries/exiv2/default.nix +++ b/nixpkgs/pkgs/development/libraries/exiv2/default.nix @@ -4,7 +4,7 @@ stdenv.mkDerivation rec { name = "exiv2-0.26.2018.12.30"; - #url = "http://www.exiv2.org/builds/${name}-trunk.tar.gz"; + #url = "https://www.exiv2.org/builds/${name}-trunk.tar.gz"; src = fetchFromGitHub rec { owner = "exiv2"; repo = "exiv2"; @@ -25,7 +25,7 @@ stdenv.mkDerivation rec { propagatedBuildInputs = [ zlib expat ]; meta = with stdenv.lib; { - homepage = http://www.exiv2.org/; + homepage = https://www.exiv2.org/; description = "A library and command-line utility to manage image metadata"; platforms = platforms.all; license = licenses.gpl2Plus; diff --git a/nixpkgs/pkgs/development/libraries/faac/default.nix b/nixpkgs/pkgs/development/libraries/faac/default.nix index 04d085bde73c..c568a670f553 100644 --- a/nixpkgs/pkgs/development/libraries/faac/default.nix +++ b/nixpkgs/pkgs/development/libraries/faac/default.nix @@ -30,7 +30,7 @@ stdenv.mkDerivation rec { meta = { description = "Open source MPEG-4 and MPEG-2 AAC encoder"; - homepage = http://www.audiocoding.com/faac.html; + homepage = https://www.audiocoding.com/faac.html; license = licenses.unfreeRedistributable; maintainers = with maintainers; [ codyopel ]; platforms = platforms.all; diff --git a/nixpkgs/pkgs/development/libraries/faad2/default.nix b/nixpkgs/pkgs/development/libraries/faad2/default.nix index 04a6b9c53545..50323846e980 100644 --- a/nixpkgs/pkgs/development/libraries/faad2/default.nix +++ b/nixpkgs/pkgs/development/libraries/faad2/default.nix @@ -17,7 +17,7 @@ stdenv.mkDerivation rec { meta = { description = "An open source MPEG-4 and MPEG-2 AAC decoder"; - homepage = http://www.audiocoding.com/faad2.html; + homepage = https://www.audiocoding.com/faad2.html; license = licenses.gpl2; maintainers = with maintainers; [ codyopel ]; platforms = platforms.all; diff --git a/nixpkgs/pkgs/development/libraries/frei0r/default.nix b/nixpkgs/pkgs/development/libraries/frei0r/default.nix index 1c0c4c3ace8e..b54c6d4e956f 100644 --- a/nixpkgs/pkgs/development/libraries/frei0r/default.nix +++ b/nixpkgs/pkgs/development/libraries/frei0r/default.nix @@ -13,7 +13,7 @@ stdenv.mkDerivation rec { buildInputs = [ autoconf cairo opencv ]; meta = with stdenv.lib; { - homepage = http://frei0r.dyne.org; + homepage = https://frei0r.dyne.org; description = "Minimalist, cross-platform, shared video plugins"; license = licenses.gpl2; maintainers = [ maintainers.goibhniu ]; diff --git a/nixpkgs/pkgs/development/libraries/gdal/default.nix b/nixpkgs/pkgs/development/libraries/gdal/default.nix index 6b7a58fa30d9..e7ffdde6cb1c 100644 --- a/nixpkgs/pkgs/development/libraries/gdal/default.nix +++ b/nixpkgs/pkgs/development/libraries/gdal/default.nix @@ -88,7 +88,7 @@ stdenv.mkDerivation rec { meta = { description = "Translator library for raster geospatial data formats"; - homepage = http://www.gdal.org/; + homepage = https://www.gdal.org/; license = stdenv.lib.licenses.mit; maintainers = [ stdenv.lib.maintainers.marcweber ]; platforms = with stdenv.lib.platforms; linux ++ darwin; diff --git a/nixpkgs/pkgs/development/libraries/gdal/gdal-1_11.nix b/nixpkgs/pkgs/development/libraries/gdal/gdal-1_11.nix index 84d130c3865e..32101221e64c 100644 --- a/nixpkgs/pkgs/development/libraries/gdal/gdal-1_11.nix +++ b/nixpkgs/pkgs/development/libraries/gdal/gdal-1_11.nix @@ -55,7 +55,7 @@ stdenv.mkDerivation rec { meta = { description = "Translator library for raster geospatial data formats"; - homepage = http://www.gdal.org/; + homepage = https://www.gdal.org/; license = stdenv.lib.licenses.mit; maintainers = [ stdenv.lib.maintainers.marcweber ]; platforms = with stdenv.lib.platforms; linux ++ darwin; diff --git a/nixpkgs/pkgs/development/libraries/gecode/3.nix b/nixpkgs/pkgs/development/libraries/gecode/3.nix index db2b14d71fdb..32e1163e0f07 100644 --- a/nixpkgs/pkgs/development/libraries/gecode/3.nix +++ b/nixpkgs/pkgs/development/libraries/gecode/3.nix @@ -15,7 +15,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { license = licenses.mit; - homepage = http://www.gecode.org; + homepage = https://www.gecode.org; description = "Toolkit for developing constraint-based systems"; platforms = platforms.all; maintainers = [ maintainers.manveru ]; diff --git a/nixpkgs/pkgs/development/libraries/gecode/default.nix b/nixpkgs/pkgs/development/libraries/gecode/default.nix index 22e159d821a0..9a6b5d9cf273 100644 --- a/nixpkgs/pkgs/development/libraries/gecode/default.nix +++ b/nixpkgs/pkgs/development/libraries/gecode/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { name = "gecode-${version}"; - version = "6.1.1"; + version = "6.2.0"; src = fetchFromGitHub { owner = "Gecode"; repo = "gecode"; rev = "release-${version}"; - sha256 = "07jyx17qsfx3wmd2zlcs0rxax8h3cs2g9aapxkdjdcsmfxsldqb7"; + sha256 = "0b1cq0c810j1xr2x9y9996p894571sdxng5h74py17c6nr8c6dmk"; }; enableParallelBuilding = true; @@ -18,7 +18,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { license = licenses.mit; - homepage = http://www.gecode.org; + homepage = https://www.gecode.org; description = "Toolkit for developing constraint-based systems"; platforms = platforms.all; maintainers = [ ]; diff --git a/nixpkgs/pkgs/development/libraries/gettext/default.nix b/nixpkgs/pkgs/development/libraries/gettext/default.nix index 75d1eec25f62..6eb8bae435be 100644 --- a/nixpkgs/pkgs/development/libraries/gettext/default.nix +++ b/nixpkgs/pkgs/development/libraries/gettext/default.nix @@ -54,11 +54,11 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ xz xz.bin - ] ++ lib.optional allowBisonDependency [ - # Only necessary for CVE-2018-18751.patch (unless CVE-2018-18751-bison.patch - # is also applied): - bison - ] ++ [ + ] + # Only necessary for CVE-2018-18751.patch (unless CVE-2018-18751-bison.patch + # is also applied): + ++ lib.optional allowBisonDependency bison + ++ [ # Only necessary for CVE-2018-18751.patch: automake115x autoconf diff --git a/nixpkgs/pkgs/development/libraries/glibc/common.nix b/nixpkgs/pkgs/development/libraries/glibc/common.nix index cb4571265af7..33c8e5076daa 100644 --- a/nixpkgs/pkgs/development/libraries/glibc/common.nix +++ b/nixpkgs/pkgs/development/libraries/glibc/common.nix @@ -214,10 +214,6 @@ stdenv.mkDerivation ({ // lib.optionalAttrs (stdenv.hostPlatform != stdenv.buildPlatform) { preInstall = null; # clobber the native hook - dontStrip = true; - - separateDebugInfo = false; # this is currently broken for crossDrv - # To avoid a dependency on the build system 'bash'. preFixup = '' rm -f $bin/bin/{ldd,tzselect,catchsegv,xtrace} diff --git a/nixpkgs/pkgs/development/libraries/glibmm/default.nix b/nixpkgs/pkgs/development/libraries/glibmm/default.nix index 054e6df0e4c9..829dca04c18c 100644 --- a/nixpkgs/pkgs/development/libraries/glibmm/default.nix +++ b/nixpkgs/pkgs/development/libraries/glibmm/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { pname = "glibmm"; - version = "2.58.0"; + version = "2.60.0"; src = fetchurl { url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; - sha256 = "0idnaii4h3mdym2a55gkavipyxigwvbgfmzmwql85s4rgciqjhfk"; + sha256 = "1g7jxqd270dv2d83r7pf5893mwpz7d5xib0q01na2yalh34v38d3"; }; outputs = [ "out" "dev" ]; diff --git a/nixpkgs/pkgs/development/libraries/gnome-sharp/default.nix b/nixpkgs/pkgs/development/libraries/gnome-sharp/default.nix index db93bdf27af3..f2393a23dfef 100644 --- a/nixpkgs/pkgs/development/libraries/gnome-sharp/default.nix +++ b/nixpkgs/pkgs/development/libraries/gnome-sharp/default.nix @@ -34,7 +34,7 @@ stdenv.mkDerivation rec { dontStrip = true; meta = with stdenv.lib; { - homepage = http://www.mono-project.com/docs/gui/gtksharp/; + homepage = https://www.mono-project.com/docs/gui/gtksharp/; description = "A .NET language binding for assorted GNOME libraries"; license = licenses.lgpl21; platforms = platforms.linux; diff --git a/nixpkgs/pkgs/development/libraries/gom/default.nix b/nixpkgs/pkgs/development/libraries/gom/default.nix new file mode 100644 index 000000000000..5694c50e90a3 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/gom/default.nix @@ -0,0 +1,73 @@ +{ stdenv +, fetchurl +, fetchpatch +, meson +, ninja +, pkgconfig +, glib +, python3 +, sqlite +, gdk_pixbuf +, gnome3 +, gobject-introspection +}: + +stdenv.mkDerivation rec { + pname = "gom"; + version = "0.3.3"; + + outputs = [ "out" "py" ]; + + src = fetchurl { + url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; + sha256 = "1n1n226dyb3q98216aah87in9hhjcwsbpspsdqqfswz2bx5y6mxc"; + }; + + patches = [ + # Needed to apply the next patch + (fetchpatch { + url = "https://gitlab.gnome.org/GNOME/gom/commit/e8b7c314ce61d459132cf03c9e455d2a01fdc6ea.patch"; + sha256 = "0d7g3nm5lrfhfx9ly8qgf5bfp12kvr7m1xmlgin2q8vqpn0r2ggp"; + }) + # https://gitlab.gnome.org/GNOME/gom/merge_requests/3 + (fetchpatch { + url = "https://gitlab.gnome.org/worldofpeace/gom/commit/b621c15600b1c32826c9878565eb2398a50907f2.patch"; + sha256 = "1hqck9bb7sxn4akisnn26sbddlphjsavgksick5k4h3rsc0xwx1v"; + }) + ./longer-stress-timeout.patch + ]; + + nativeBuildInputs = [ + gobject-introspection + meson + ninja + pkgconfig + ]; + + buildInputs = [ + gdk_pixbuf + glib + sqlite + python3.pkgs.pygobject3 + ]; + + mesonFlags = [ + "-Dpygobject-override-dir=${placeholder "py"}/${python3.sitePackages}/gi/overrides" + ]; + + doCheck = true; + + passthru = { + updateScript = gnome3.updateScript { + packageName = pname; + }; + }; + + meta = with stdenv.lib; { + description = "A GObject to SQLite object mapper"; + homepage = https://wiki.gnome.org/Projects/Gom; + license = licenses.lgpl21Plus; + platforms = platforms.linux; + maintainers = gnome3.maintainers; + }; +} diff --git a/nixpkgs/pkgs/development/libraries/gom/longer-stress-timeout.patch b/nixpkgs/pkgs/development/libraries/gom/longer-stress-timeout.patch new file mode 100644 index 000000000000..3dcd1d0a107f --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/gom/longer-stress-timeout.patch @@ -0,0 +1,13 @@ +diff --git a/tests/meson.build b/tests/meson.build +index 1df4884..d7a6f5d 100644 +--- a/tests/meson.build ++++ b/tests/meson.build +@@ -25,7 +25,7 @@ test('test-gom-find', test_find) + + test_stress = executable('test-gom-stress', 'test-gom-stress.c', + dependencies: [libgom_dep]) +-test('test-gom-stress', test_stress, timeout: 300) ++test('test-gom-stress', test_stress, timeout: 500) + + test_transform = executable('test-gom-transform', 'test-gom-transform.c', + c_args: '-DIMAGE="@0@/gnome.png"'.format(meson.current_source_dir()), diff --git a/nixpkgs/pkgs/development/libraries/graphene-hardened-malloc/default.nix b/nixpkgs/pkgs/development/libraries/graphene-hardened-malloc/default.nix new file mode 100644 index 000000000000..0aae8ca49453 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/graphene-hardened-malloc/default.nix @@ -0,0 +1,55 @@ +{ stdenv, fetchurl }: + +stdenv.mkDerivation rec { + name = "graphene-hardened-malloc-${version}"; + version = "190405.003.2019.04.01.19"; + + src = fetchurl { + url = "https://github.com/GrapheneOS/hardened_malloc/archive/PQ2A.${version}.tar.gz"; + sha256 = "1qczmajy3q07jd236dmal4iq5xxcsrkyw26gc9r4vs4wj4m42d11"; + }; + + installPhase = '' + install -Dm444 -t $out/lib libhardened_malloc.so + + mkdir -p $out/bin + substitute preload.sh $out/bin/preload-hardened-malloc --replace "\$dir" $out/lib + chmod 0555 $out/bin/preload-hardened-malloc + ''; + + doInstallCheck = true; + installCheckPhase = '' + pushd test + make + $out/bin/preload-hardened-malloc ./offset + + pushd simple-memory-corruption + make + + # these tests don't actually appear to generate overflows currently + rm read_after_free_small string_overflow + + for t in `find . -regex ".*/[a-z_]+"` ; do + echo "Running $t..." + # the program being aborted (as it should be) would result in an exit code > 128 + (($out/bin/preload-hardened-malloc $t) && false) \ + || (test $? -gt 128 || (echo "$t was not aborted" && false)) + done + popd + + popd + ''; + + meta = with stdenv.lib; { + homepage = https://github.com/GrapheneOS/hardened_malloc; + description = "Hardened allocator designed for modern systems"; + longDescription = '' + This is a security-focused general purpose memory allocator providing the malloc API + along with various extensions. It provides substantial hardening against heap + corruption vulnerabilities yet aims to provide decent overall performance. + ''; + license = licenses.mit; + maintainers = with maintainers; [ ris ]; + platforms = platforms.linux; + }; +} diff --git a/nixpkgs/pkgs/development/libraries/grpc/default.nix b/nixpkgs/pkgs/development/libraries/grpc/default.nix index 93e76c621822..77773e91e174 100644 --- a/nixpkgs/pkgs/development/libraries/grpc/default.nix +++ b/nixpkgs/pkgs/development/libraries/grpc/default.nix @@ -1,13 +1,13 @@ { stdenv, fetchFromGitHub, cmake, zlib, c-ares, pkgconfig, openssl, protobuf, gflags }: stdenv.mkDerivation rec { - version = "1.19.0"; + version = "1.19.1"; name = "grpc-${version}"; src = fetchFromGitHub { owner = "grpc"; repo = "grpc"; rev = "v${version}"; - sha256 = "105hvpn2z3qiyc01wyzpmfbrpmy20kz1nb9j1c2s0kz1r0v92gqi"; + sha256 = "0c0jra4qnd86gyr4rlblic3igb5dpgrldac35myk5i5ia547fdhj"; }; nativeBuildInputs = [ cmake pkgconfig ]; buildInputs = [ zlib c-ares c-ares.cmake-config openssl protobuf gflags ]; @@ -18,6 +18,7 @@ stdenv.mkDerivation rec { "-DgRPC_SSL_PROVIDER=package" "-DgRPC_PROTOBUF_PROVIDER=package" "-DgRPC_GFLAGS_PROVIDER=package" + "-DBUILD_SHARED_LIBS=ON" ]; # CMake creates a build directory by default, this conflicts with the @@ -26,6 +27,10 @@ stdenv.mkDerivation rec { rm -vf BUILD ''; + preBuild = '' + export LD_LIBRARY_PATH=$(pwd):$LD_LIBRARY_PATH + ''; + NIX_CFLAGS_COMPILE = stdenv.lib.optionalString stdenv.cc.isClang "-Wno-error=unknown-warning-option"; enableParallelBuilds = true; diff --git a/nixpkgs/pkgs/development/libraries/gssdp/default.nix b/nixpkgs/pkgs/development/libraries/gssdp/default.nix index ed1e5b6faabb..ac7391dcaf9e 100644 --- a/nixpkgs/pkgs/development/libraries/gssdp/default.nix +++ b/nixpkgs/pkgs/development/libraries/gssdp/default.nix @@ -1,26 +1,62 @@ -{ stdenv, fetchurl, pkgconfig, gobject-introspection, vala, gtk-doc, docbook_xsl, docbook_xml_dtd_412, libsoup, gtk3, glib }: +{ stdenv +, fetchurl +, meson +, ninja +, pkgconfig +, gobject-introspection +, vala +, gtk-doc +, docbook_xsl +, docbook_xml_dtd_412 +, libsoup +, gtk3 +, glib +, gnome3 +}: stdenv.mkDerivation rec { - name = "gssdp-${version}"; - version = "1.0.2"; + pname = "gssdp"; + version = "1.2.0"; outputs = [ "out" "bin" "dev" "devdoc" ]; src = fetchurl { - url = "mirror://gnome/sources/gssdp/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; - sha256 = "1p1m2m3ndzr2whipqw4vfb6s6ia0g7rnzzc4pnq8b8g1qw4prqd1"; + url = "mirror://gnome/sources/gssdp/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; + sha256 = "1l80znxzzpb2fmsrjf3hygi9gcxx5r405qrk5430nbsjgxafzjr2"; }; - nativeBuildInputs = [ pkgconfig gobject-introspection vala gtk-doc docbook_xsl docbook_xml_dtd_412 ]; - buildInputs = [ libsoup gtk3 ]; - propagatedBuildInputs = [ glib ]; + nativeBuildInputs = [ + meson + ninja + pkgconfig + gobject-introspection + vala + gtk-doc + docbook_xsl + docbook_xml_dtd_412 + ]; + + buildInputs = [ + libsoup + gtk3 + ]; - configureFlags = [ - "--enable-gtk-doc" + propagatedBuildInputs = [ + glib + ]; + + mesonFlags = [ + "-Dgtk_doc=true" ]; doCheck = true; + passthru = { + updateScript = gnome3.updateScript { + packageName = pname; + }; + }; + meta = with stdenv.lib; { description = "GObject-based API for handling resource discovery and announcement over SSDP"; homepage = http://www.gupnp.org/; diff --git a/nixpkgs/pkgs/development/libraries/gtk+/3.x.nix b/nixpkgs/pkgs/development/libraries/gtk+/3.x.nix index 1a01c28aeb4b..27c638d8a87f 100644 --- a/nixpkgs/pkgs/development/libraries/gtk+/3.x.nix +++ b/nixpkgs/pkgs/development/libraries/gtk+/3.x.nix @@ -13,14 +13,14 @@ assert cupsSupport -> cups != null; with stdenv.lib; let - version = "3.24.7"; + version = "3.24.8"; in stdenv.mkDerivation rec { name = "gtk+3-${version}"; src = fetchurl { url = "mirror://gnome/sources/gtk+/${stdenv.lib.versions.majorMinor version}/gtk+-${version}.tar.xz"; - sha256 = "080m925dyhiidlhsxqzx040l4iha2gg38pzbfpnsnjyzl92124jj"; + sha256 = "16f71bbkhwhndcsrpyhjia3b77cb5ksf5c45lyfgws4pkgg64sb6"; }; outputs = [ "out" "dev" ]; diff --git a/nixpkgs/pkgs/development/libraries/gupnp-av/default.nix b/nixpkgs/pkgs/development/libraries/gupnp-av/default.nix index cf04ca73f9e0..b764ce2c2e21 100644 --- a/nixpkgs/pkgs/development/libraries/gupnp-av/default.nix +++ b/nixpkgs/pkgs/development/libraries/gupnp-av/default.nix @@ -1,18 +1,40 @@ -{ stdenv, fetchurl, pkgconfig, gobject-introspection, vala, gtk-doc, docbook_xsl, docbook_xml_dtd_412, gupnp, glib, libxml2 }: +{ stdenv +, fetchurl +, pkgconfig +, gobject-introspection +, vala +, gtk-doc +, docbook_xsl +, docbook_xml_dtd_412 +, glib +, libxml2 +, gnome3 +}: stdenv.mkDerivation rec { - name = "gupnp-av-${version}"; + pname = "gupnp-av"; version = "0.12.11"; outputs = [ "out" "dev" "devdoc" ]; src = fetchurl { - url = "mirror://gnome/sources/gupnp-av/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; sha256 = "1p3grslwqm9bc8rmpn4l48d7v9s84nina4r9xbd932dbj8acz7b8"; }; - nativeBuildInputs = [ pkgconfig gobject-introspection vala gtk-doc docbook_xsl docbook_xml_dtd_412 ]; - buildInputs = [ gupnp glib libxml2 ]; + nativeBuildInputs = [ + pkgconfig + gobject-introspection + vala + gtk-doc + docbook_xsl + docbook_xml_dtd_412 + ]; + + buildInputs = [ + glib + libxml2 + ]; configureFlags = [ "--enable-gtk-doc" @@ -20,6 +42,12 @@ stdenv.mkDerivation rec { doCheck = true; + passthru = { + updateScript = gnome3.updateScript { + packageName = pname; + }; + }; + meta = with stdenv.lib; { homepage = http://gupnp.org/; description = "A collection of helpers for building AV (audio/video) applications using GUPnP"; diff --git a/nixpkgs/pkgs/development/libraries/gupnp-dlna/default.nix b/nixpkgs/pkgs/development/libraries/gupnp-dlna/default.nix index a6c11a569cfa..4dfbaafdc327 100644 --- a/nixpkgs/pkgs/development/libraries/gupnp-dlna/default.nix +++ b/nixpkgs/pkgs/development/libraries/gupnp-dlna/default.nix @@ -1,18 +1,40 @@ -{ stdenv, fetchurl, pkgconfig, gobject-introspection, vala, gtk-doc, docbook_xsl, docbook_xml_dtd_412, gupnp, gst_all_1 }: +{ stdenv +, fetchurl +, pkgconfig +, gobject-introspection +, vala +, gtk-doc +, docbook_xsl +, docbook_xml_dtd_412 +, libxml2 +, gst_all_1 +, gnome3 +}: stdenv.mkDerivation rec { - name = "gupnp-dlna-${version}"; + pname = "gupnp-dlna"; version = "0.10.5"; outputs = [ "out" "dev" "devdoc" ]; src = fetchurl { - url = "mirror://gnome/sources/gupnp-dlna/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; sha256 = "0spzd2saax7w776p5laixdam6d7smyynr9qszhbmq7f14y13cghj"; }; - nativeBuildInputs = [ pkgconfig gobject-introspection vala gtk-doc docbook_xsl docbook_xml_dtd_412 ]; - buildInputs = [ gupnp gst_all_1.gst-plugins-base ]; + nativeBuildInputs = [ + pkgconfig + gobject-introspection + vala + gtk-doc + docbook_xsl + docbook_xml_dtd_412 + ]; + + buildInputs = [ + libxml2 + gst_all_1.gst-plugins-base + ]; configureFlags = [ "--enable-gtk-doc" @@ -25,6 +47,12 @@ stdenv.mkDerivation rec { patchShebangs tests/test-discoverer.sh.in ''; + passthru = { + updateScript = gnome3.updateScript { + packageName = pname; + }; + }; + meta = with stdenv.lib; { homepage = https://wiki.gnome.org/Projects/GUPnP/; description = "Library to ease DLNA-related bits for applications using GUPnP"; diff --git a/nixpkgs/pkgs/development/libraries/gupnp-igd/default.nix b/nixpkgs/pkgs/development/libraries/gupnp-igd/default.nix index 05c8522aebc8..56a274316e89 100644 --- a/nixpkgs/pkgs/development/libraries/gupnp-igd/default.nix +++ b/nixpkgs/pkgs/development/libraries/gupnp-igd/default.nix @@ -1,18 +1,51 @@ -{ stdenv, fetchurl, pkgconfig, gettext, gobject-introspection, gtk-doc, docbook_xsl, docbook_xml_dtd_412, glib, gupnp }: +{ stdenv +, fetchurl +, fetchpatch +, autoreconfHook +, pkgconfig +, gettext +, gobject-introspection +, gtk-doc +, docbook_xsl +, docbook_xml_dtd_412 +, glib +, gupnp +, gnome3 +}: stdenv.mkDerivation rec { - name = "gupnp-igd-${version}"; + pname = "gupnp-igd"; version = "0.2.5"; outputs = [ "out" "dev" "devdoc" ]; src = fetchurl { - url = "mirror://gnome/sources/gupnp-igd/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; + url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; sha256 = "081v1vhkbz3wayv49xfiskvrmvnpx93k25am2wnarg5cifiiljlb"; }; - nativeBuildInputs = [ pkgconfig gettext gobject-introspection gtk-doc docbook_xsl docbook_xml_dtd_412 ]; - propagatedBuildInputs = [ glib gupnp ]; + patches = [ + # Add gupnp-1.2 compatibility + (fetchpatch { + url = https://gitlab.gnome.org/GNOME/gupnp-igd/commit/63531558a16ac2334a59f627b2fca5576dcfbb2e.patch; + sha256 = "0s8lkyy9fnnnnkkqwbk6gxb7795bb1kl1swk5ldjnlrzhfcy1ab2"; + }) + ]; + + nativeBuildInputs = [ + pkgconfig + autoreconfHook + gettext + gobject-introspection + gtk-doc + docbook_xsl + docbook_xml_dtd_412 + ]; + + propagatedBuildInputs = [ + glib + gupnp + ]; configureFlags = [ "--enable-gtk-doc" @@ -20,6 +53,12 @@ stdenv.mkDerivation rec { doCheck = true; + passthru = { + updateScript = gnome3.updateScript { + packageName = pname; + }; + }; + meta = with stdenv.lib; { description = "Library to handle UPnP IGD port mapping"; homepage = http://www.gupnp.org/; diff --git a/nixpkgs/pkgs/development/libraries/gupnp/default.nix b/nixpkgs/pkgs/development/libraries/gupnp/default.nix index 648209125fe3..a69df33dd650 100644 --- a/nixpkgs/pkgs/development/libraries/gupnp/default.nix +++ b/nixpkgs/pkgs/development/libraries/gupnp/default.nix @@ -1,14 +1,32 @@ -{ stdenv, fetchurl, pkgconfig, gobject-introspection, vala, gtk-doc, docbook_xsl, docbook_xml_dtd_412, docbook_xml_dtd_44, glib, gssdp, libsoup, libxml2, libuuid }: +{ stdenv +, fetchurl +, fetchpatch +, meson +, ninja +, pkgconfig +, gobject-introspection +, vala +, gtk-doc +, docbook_xsl +, docbook_xml_dtd_412 +, docbook_xml_dtd_44 +, glib +, gssdp +, libsoup +, libxml2 +, libuuid +, gnome3 +}: stdenv.mkDerivation rec { - name = "gupnp-${version}"; - version = "1.0.3"; + pname = "gupnp"; + version = "1.2.0"; outputs = [ "out" "dev" "devdoc" ]; src = fetchurl { - url = "mirror://gnome/sources/gupnp/${stdenv.lib.versions.majorMinor version}/gupnp-${version}.tar.xz"; - sha256 = "1fyb6yn75vf2y1b8nbc1df572swzr74yiwy3v3g5xn36wlp1cjvr"; + url = "mirror://gnome/sources/gupnp/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; + sha256 = "0911lv1bivsyv9wwdxm0i1w4r89j0vyyqp200gsfdnzk6v1a4x7x"; }; patches = [ @@ -19,17 +37,54 @@ stdenv.mkDerivation rec { # at least until Requires.internal or something is implemented # https://gitlab.freedesktop.org/pkg-config/pkg-config/issues/7 ./fix-requires.patch + + # fix deadlock in gupnp-igd tests + (fetchpatch { + url = https://gitlab.gnome.org/GNOME/gupnp/commit/d208562657f62b34759896ca9e974bd582d1f963.patch; + sha256 = "02kzsb4glxhgb1npf6qqgafiki0ws75sly5h470431mihc6sgp4f"; + }) + # fix breakage in gupnp-igd tests + (fetchpatch { + url = https://gitlab.gnome.org/GNOME/gupnp/commit/0648399acb989473119fe59d0b9f65c923e69483.patch; + sha256 = "0ba0rngk3a4n3z4dmq06wzgh0n3q9la1nr25qdxqbwlszmxfxpjf"; + }) + ]; + + nativeBuildInputs = [ + meson + ninja + pkgconfig + gobject-introspection + vala + gtk-doc + docbook_xsl + docbook_xml_dtd_412 + docbook_xml_dtd_44 + ]; + + buildInputs = [ + libuuid ]; - nativeBuildInputs = [ pkgconfig gobject-introspection vala gtk-doc docbook_xsl docbook_xml_dtd_412 docbook_xml_dtd_44 ]; - propagatedBuildInputs = [ glib gssdp libsoup libxml2 libuuid ]; + propagatedBuildInputs = [ + glib + gssdp + libsoup + libxml2 + ]; - configureFlags = [ - "--enable-gtk-doc" + mesonFlags = [ + "-Dgtk_doc=true" ]; doCheck = true; + passthru = { + updateScript = gnome3.updateScript { + packageName = pname; + }; + }; + meta = with stdenv.lib; { homepage = http://www.gupnp.org/; description = "An implementation of the UPnP specification"; diff --git a/nixpkgs/pkgs/development/libraries/gupnp/fix-requires.patch b/nixpkgs/pkgs/development/libraries/gupnp/fix-requires.patch index 4538fc55460f..ffe9b68fd043 100644 --- a/nixpkgs/pkgs/development/libraries/gupnp/fix-requires.patch +++ b/nixpkgs/pkgs/development/libraries/gupnp/fix-requires.patch @@ -1,9 +1,26 @@ ---- a/gupnp-1.0.pc.in -+++ b/gupnp-1.0.pc.in -@@ -8,4 +8,5 @@ - Version: @VERSION@ - Libs: -L${libdir} -lgupnp-1.0 - Cflags: -I${includedir}/gupnp-1.0 --Requires.private: gssdp-1.0 libxml-2.0 libsoup-2.4 @UUID_LIBS@ -+Requires: glib-2.0 gobject-2.0 gssdp-1.0 libxml-2.0 libsoup-2.4 -+Requires.private: @UUID_LIBS@ +--- a/libgupnp/meson.build ++++ b/libgupnp/meson.build +@@ -110,6 +110,7 @@ pkg.generate( + libraries : libgupnp, + subdirs: 'gupnp-1.2', + name : 'gupnp-1.2', ++ requires: requires, + description : 'GObject-based UPnP library', + version : meson.project_version(), + filebase : 'gupnp-1.2' +--- a/meson.build ++++ a/meson.build +@@ -18,6 +18,13 @@ add_global_arguments('-DHAVE_CONFIG_H=1', language : 'c') + + guul = subproject('guul', default_options : ['default_library=static']) + ++requires = [ ++ dependency('glib-2.0', version : '>= 2.44'), ++ dependency('gssdp-1.2', version : '>= 1.1'), ++ dependency('libsoup-2.4', version : '>= 2.48.0'), ++ dependency('libxml-2.0') ++] ++ + dependencies = [ + dependency('glib-2.0', version : '>= 2.44'), + dependency('gio-2.0', version : '>= 2.44'), diff --git a/nixpkgs/pkgs/development/libraries/hivex/default.nix b/nixpkgs/pkgs/development/libraries/hivex/default.nix index 7a42ff94fc0f..37f01372005c 100644 --- a/nixpkgs/pkgs/development/libraries/hivex/default.nix +++ b/nixpkgs/pkgs/development/libraries/hivex/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, pkgconfig, autoreconfHook, makeWrapper -, perlPackages, libxml2 }: +, perlPackages, libxml2, libiconv }: stdenv.mkDerivation rec { name = "hivex-${version}"; @@ -15,12 +15,17 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ pkgconfig ]; buildInputs = [ autoreconfHook makeWrapper libxml2 - ] ++ (with perlPackages; [ perl IOStringy ]); + ] + ++ (with perlPackages; [ perl IOStringy ]) + ++ stdenv.lib.optionals stdenv.isDarwin [ libiconv ]; postInstall = '' - for bin in $out/bin/*; do - wrapProgram "$bin" --prefix "PATH" : "$out/bin" - done + wrapProgram $out/bin/hivexregedit \ + --set PERL5LIB "$out/${perlPackages.perl.libPrefix}" \ + --prefix "PATH" : "$out/bin" + + wrapProgram $out/bin/hivexml \ + --prefix "PATH" : "$out/bin" ''; meta = with stdenv.lib; { @@ -28,6 +33,6 @@ stdenv.mkDerivation rec { license = licenses.lgpl2; homepage = https://github.com/libguestfs/hivex; maintainers = with maintainers; [offline]; - platforms = platforms.linux; + platforms = platforms.linux ++ platforms.darwin; }; } diff --git a/nixpkgs/pkgs/development/libraries/http-parser/default.nix b/nixpkgs/pkgs/development/libraries/http-parser/default.nix index 4bae9793c38c..d63911ad24fc 100644 --- a/nixpkgs/pkgs/development/libraries/http-parser/default.nix +++ b/nixpkgs/pkgs/development/libraries/http-parser/default.nix @@ -1,13 +1,13 @@ { stdenv, fetchurl }: let - version = "2.9.0"; + version = "2.9.1"; in stdenv.mkDerivation { name = "http-parser-${version}"; src = fetchurl { url = "https://github.com/joyent/http-parser/archive/v${version}.tar.gz"; - sha256 = "0gv1dhzwlv1anbzrba20l39gzzmz818yv8jbclbls268aj62c9pg"; + sha256 = "08fypqh3jkwvlznvmwwwir53ffg2xcxy0v8bjz6wxjlb41qhf8ik"; }; NIX_CFLAGS_COMPILE = "-Wno-error"; diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/kservice/qdiriterator-follow-symlinks.patch b/nixpkgs/pkgs/development/libraries/kde-frameworks/kservice/qdiriterator-follow-symlinks.patch index cbe13b709508..fdd8b4d81a18 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/kservice/qdiriterator-follow-symlinks.patch +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/kservice/qdiriterator-follow-symlinks.patch @@ -1,11 +1,11 @@ -Index: kservice-5.21.0/src/sycoca/kbuildsycoca.cpp -=================================================================== ---- kservice-5.21.0.orig/src/sycoca/kbuildsycoca.cpp -+++ kservice-5.21.0/src/sycoca/kbuildsycoca.cpp -@@ -203,7 +203,7 @@ bool KBuildSycoca::build() - QSet<QString> relFiles; +diff --git a/src/sycoca/kbuildsycoca.cpp b/src/sycoca/kbuildsycoca.cpp +index b125299..0682b90 100644 +--- a/src/sycoca/kbuildsycoca.cpp ++++ b/src/sycoca/kbuildsycoca.cpp +@@ -207,7 +207,7 @@ bool KBuildSycoca::build() const QStringList dirs = QStandardPaths::locateAll(QStandardPaths::GenericDataLocation, m_resourceSubdir, QStandardPaths::LocateDirectory); - Q_FOREACH (const QString &dir, dirs) { + qCDebug(SYCOCA) << "Looking for subdir" << m_resourceSubdir << "=>" << dirs; + for (const QString &dir : dirs) { - QDirIterator it(dir, QDirIterator::Subdirectories); + QDirIterator it(dir, QDirIterator::Subdirectories | QDirIterator::FollowSymlinks); while (it.hasNext()) { diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/srcs.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/srcs.nix index 80431100b1c6..09556297da21 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/srcs.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/srcs.nix @@ -3,635 +3,635 @@ { attica = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/attica-5.56.0.tar.xz"; - sha256 = "1ib68yg7dgfyh2kq72abw5bh8h0m85z3hcada3b3axq2xkcfxfmb"; - name = "attica-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/attica-5.57.0.tar.xz"; + sha256 = "a13682bccaca3529df6e3b54e1d4e48fb3d1654fe1b142701e73ce9fe0b87655"; + name = "attica-5.57.0.tar.xz"; }; }; baloo = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/baloo-5.56.0.tar.xz"; - sha256 = "04hjlhlgw26l2pl4b5jk76xfs7366my71zp1xgiws5aq620bmmcy"; - name = "baloo-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/baloo-5.57.0.tar.xz"; + sha256 = "32ab4ed2d295fe734a4a475403dea72e2feef27f662ae64c841c410eb7bb3dd3"; + name = "baloo-5.57.0.tar.xz"; }; }; bluez-qt = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/bluez-qt-5.56.0.tar.xz"; - sha256 = "1phph0rjms8n2qpkh9bk1n1n1cd75znsqj9r8njs1siasm6vi4nm"; - name = "bluez-qt-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/bluez-qt-5.57.0.tar.xz"; + sha256 = "43e1be1882832cef88186255a6b692d9fd1366bad09db0c2075a126b0fc0df65"; + name = "bluez-qt-5.57.0.tar.xz"; }; }; breeze-icons = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/breeze-icons-5.56.0.tar.xz"; - sha256 = "0n6gizmzay98q1vi9ac60p0xq9hpaj9q0gcf8vbmvk4m0yzdd63x"; - name = "breeze-icons-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/breeze-icons-5.57.0.tar.xz"; + sha256 = "c3ba92acb5bfcff66f41232ebc6e8c893dab78ac59a713fa4bfa2a0e097f4ed2"; + name = "breeze-icons-5.57.0.tar.xz"; }; }; extra-cmake-modules = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/extra-cmake-modules-5.56.0.tar.xz"; - sha256 = "0a5mxk805rlmpgbxwa9qkn515jqpcifsrk8ydxc3anjcsq6ffg4i"; - name = "extra-cmake-modules-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/extra-cmake-modules-5.57.0.tar.xz"; + sha256 = "aa53f8953792b452672f275c2ea9b96ab2adf3e13d9645c3451b06dbc8055b18"; + name = "extra-cmake-modules-5.57.0.tar.xz"; }; }; frameworkintegration = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/frameworkintegration-5.56.0.tar.xz"; - sha256 = "1xc0vdvpjzhb6y1pz27c7x36qjjhcf4bll0fm3yljpm956v4d3gf"; - name = "frameworkintegration-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/frameworkintegration-5.57.0.tar.xz"; + sha256 = "9c5850c1d41900bcb81e7929d54856d0cdd2565a276e5e262f624eb1217cbb78"; + name = "frameworkintegration-5.57.0.tar.xz"; }; }; kactivities = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kactivities-5.56.0.tar.xz"; - sha256 = "0l0p966b5rs6xjc61mpzmrkj7qqjvlzi6fwc7lky4z3fr924ssp7"; - name = "kactivities-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kactivities-5.57.0.tar.xz"; + sha256 = "442527db8710b9045dc574816bc9c32cad5f8a404e681fb030d7e9c2f3d77761"; + name = "kactivities-5.57.0.tar.xz"; }; }; kactivities-stats = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kactivities-stats-5.56.0.tar.xz"; - sha256 = "1v3pf9drb22qv7039grx4k7q3a1jxd2a7sf818mxpqyys4fzkl3f"; - name = "kactivities-stats-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kactivities-stats-5.57.0.tar.xz"; + sha256 = "4c7a49905ec1b6e03831986b254d0fd091e44fe920fffa123c969765c6474ba3"; + name = "kactivities-stats-5.57.0.tar.xz"; }; }; kapidox = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kapidox-5.56.0.tar.xz"; - sha256 = "0rhqqsv4zf13idk426x84jykw6lc74bz7pk606llbmyw4775c7wp"; - name = "kapidox-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kapidox-5.57.0.tar.xz"; + sha256 = "16f53e4722adddaa8729b4cccc374d16bdbfdd987f8655d2b431a91b046fe2b2"; + name = "kapidox-5.57.0.tar.xz"; }; }; karchive = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/karchive-5.56.0.tar.xz"; - sha256 = "1mnavc5baa4qw90baw5b95760lk61m2rx0vfa3w5d7fid3m6q6i8"; - name = "karchive-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/karchive-5.57.0.tar.xz"; + sha256 = "e0e64e7e88c8df96f894de20aff4d12925e0d362c5134df83473ea48c0432783"; + name = "karchive-5.57.0.tar.xz"; }; }; kauth = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kauth-5.56.0.tar.xz"; - sha256 = "0gb1yh2na2kfphln7arscv5n7llagkkv2y0zdprdy4michqa3k6b"; - name = "kauth-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kauth-5.57.0.tar.xz"; + sha256 = "9d6b9135cc47710b28e2a7731c4c5c1f6dba2b0e5fe982b9d2a82a11d7d497c2"; + name = "kauth-5.57.0.tar.xz"; }; }; kbookmarks = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kbookmarks-5.56.0.tar.xz"; - sha256 = "0fwmq70ajyjqcva1n2vnf522gwl44aqsi6s9vf8zxsar14vil082"; - name = "kbookmarks-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kbookmarks-5.57.0.tar.xz"; + sha256 = "bf57f111e176ab2ecb79646b1f93cf5d84a8d3fcfb13b805b5140e75b42eb085"; + name = "kbookmarks-5.57.0.tar.xz"; }; }; kcmutils = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kcmutils-5.56.0.tar.xz"; - sha256 = "1f1sccwyk6fzqd9ywnhkrsyaklmxi0w0w5jqhp1m4n3l30caixkw"; - name = "kcmutils-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kcmutils-5.57.0.tar.xz"; + sha256 = "f3ee63a356e18be95a15141346356f3f43bb067d0326021d99f4b73ee4716fbb"; + name = "kcmutils-5.57.0.tar.xz"; }; }; kcodecs = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kcodecs-5.56.0.tar.xz"; - sha256 = "10lw85im4rd3nfdnw2p48cjwq0d47pa2s9v6vmhzmm3hxbflq8z7"; - name = "kcodecs-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kcodecs-5.57.0.tar.xz"; + sha256 = "c98b98cf7258c03fa5131a987e278f348d52f792dcb9f2a5664fe35aadea6995"; + name = "kcodecs-5.57.0.tar.xz"; }; }; kcompletion = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kcompletion-5.56.0.tar.xz"; - sha256 = "1yxsrl0f24ps8xsilh2iqnl88yvw39iw2ch0yk7lwwk47jkgvns9"; - name = "kcompletion-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kcompletion-5.57.0.tar.xz"; + sha256 = "5ad8746a57cef2b12da5a97e296cbb0b708e8ecfb4253786a899fa86951395ec"; + name = "kcompletion-5.57.0.tar.xz"; }; }; kconfig = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kconfig-5.56.0.tar.xz"; - sha256 = "0wii6pn5dq899s1r7p4q5vmm01jk11zwg2ky6760xf8nv8rhg5ra"; - name = "kconfig-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kconfig-5.57.0.tar.xz"; + sha256 = "155b0dbba8772aa8ea3e75217029daa00ada8699e5a807154214f66b2462c010"; + name = "kconfig-5.57.0.tar.xz"; }; }; kconfigwidgets = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kconfigwidgets-5.56.0.tar.xz"; - sha256 = "00x5cxgxqza81znzm5rzxzr6scv3s5wbwbhsq61ksmjnlf5wvky5"; - name = "kconfigwidgets-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kconfigwidgets-5.57.0.tar.xz"; + sha256 = "771c5641a9ae465feaf00ffbb3f3c0433ad8d4a90355dc50d5b6b1b472912eb0"; + name = "kconfigwidgets-5.57.0.tar.xz"; }; }; kcoreaddons = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kcoreaddons-5.56.0.tar.xz"; - sha256 = "17kvndaab9l6r79rh0pyjgw4yqh99xfyksc4yxzhhlyl3fgh6hcz"; - name = "kcoreaddons-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kcoreaddons-5.57.0.tar.xz"; + sha256 = "7c2573de9b745e55fe61cff26941839cff0d2e40b6c5d791c24c9d6cc8cf7485"; + name = "kcoreaddons-5.57.0.tar.xz"; }; }; kcrash = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kcrash-5.56.0.tar.xz"; - sha256 = "1q5iyqi1qgk5ngc9fdilrc5mjxy2mb0xbdnlx234hn1a44aq47jq"; - name = "kcrash-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kcrash-5.57.0.tar.xz"; + sha256 = "4b12719a20f2ff0fe0a2656609d0aa277245cd2a9f764a7b6cfaa6da9d928dc0"; + name = "kcrash-5.57.0.tar.xz"; }; }; kdbusaddons = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kdbusaddons-5.56.0.tar.xz"; - sha256 = "0wmrcz92k27j0s2iyzd9ldynv4p52x70sxzby2m807ffrs692c5r"; - name = "kdbusaddons-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kdbusaddons-5.57.0.tar.xz"; + sha256 = "86946d97e74420637f59ea0fff93e303bcbdc5b1d5e1c6361e2d9a3ceb0e1259"; + name = "kdbusaddons-5.57.0.tar.xz"; }; }; kdeclarative = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kdeclarative-5.56.0.tar.xz"; - sha256 = "0slhxqzbrj23vw7f017cx3brpqkw3933jj7z8kc2bgfzjypj373r"; - name = "kdeclarative-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kdeclarative-5.57.0.tar.xz"; + sha256 = "5335b39ac1cca34209c0420dab867b67ddb0e9ee483bdd6d4192269a1d5f654f"; + name = "kdeclarative-5.57.0.tar.xz"; }; }; kded = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kded-5.56.0.tar.xz"; - sha256 = "0fdzpsrigjqssqw25gxz5d1i0j8g3hc8xpv4v74mp0pcv9g10apz"; - name = "kded-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kded-5.57.0.tar.xz"; + sha256 = "04327dda12fa547bebb8e1b1bc26373e8f4174007dd629231403d59ce004201f"; + name = "kded-5.57.0.tar.xz"; }; }; kdelibs4support = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/portingAids/kdelibs4support-5.56.0.tar.xz"; - sha256 = "1yhfnvzgwmnivm99gkq67gnx0ar02j043mq3fg2lgwlrarqi9k7d"; - name = "kdelibs4support-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/portingAids/kdelibs4support-5.57.0.tar.xz"; + sha256 = "e9d1c06191031b482ea01d891756d125ff32927239c36a3011fc7b8f17aca1b0"; + name = "kdelibs4support-5.57.0.tar.xz"; }; }; kdesignerplugin = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kdesignerplugin-5.56.0.tar.xz"; - sha256 = "05nqayzafn2zz74lx8zj7hi7knclcip7zbqmpk1g3nriysc39x4v"; - name = "kdesignerplugin-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kdesignerplugin-5.57.0.tar.xz"; + sha256 = "9e85a4ac798122b459722773a6e81f639be6dfe9c9714a16704f555c88334393"; + name = "kdesignerplugin-5.57.0.tar.xz"; }; }; kdesu = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kdesu-5.56.0.tar.xz"; - sha256 = "0fc77rbkd1m7rv4rq56g0fg4vg0siamdm5g788816ig9gn1j76ll"; - name = "kdesu-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kdesu-5.57.0.tar.xz"; + sha256 = "76d98db52f7f375991cd7ccbbf1dc100716f99a5792b71ef31a75cc33cf45b19"; + name = "kdesu-5.57.0.tar.xz"; }; }; kdewebkit = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kdewebkit-5.56.0.tar.xz"; - sha256 = "1c1mxs30182ilxybp0xwaljrjg5y9j1ri79169hn8664xs3wcbc2"; - name = "kdewebkit-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kdewebkit-5.57.0.tar.xz"; + sha256 = "809e9df4ac3ca8b59799c8781694092cb1793f03af0b87a347a1c6019f96a592"; + name = "kdewebkit-5.57.0.tar.xz"; }; }; kdnssd = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kdnssd-5.56.0.tar.xz"; - sha256 = "1gskwc8sbj6cicblmrxh7qnh1gap0qivs8k5zf5qs94p1xc864vy"; - name = "kdnssd-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kdnssd-5.57.0.tar.xz"; + sha256 = "5a61b942fd14c9d96370e19fd7a29594bfcbd3074e12625caac083206fce2789"; + name = "kdnssd-5.57.0.tar.xz"; }; }; kdoctools = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kdoctools-5.56.0.tar.xz"; - sha256 = "01y06rf1nhw2p8s0j60anr2qvssrqfimddvp2mqqkvx9xkx3py74"; - name = "kdoctools-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kdoctools-5.57.0.tar.xz"; + sha256 = "649dbaff4f1559302e7da07f423a0bc9e3faa1c7a93dfeb170e50bf452d8def2"; + name = "kdoctools-5.57.0.tar.xz"; }; }; kemoticons = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kemoticons-5.56.0.tar.xz"; - sha256 = "00hbd09gnwyfszdwa9yf5m8wpbbapc4kwhs3qxhbvvmll0jv9vl2"; - name = "kemoticons-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kemoticons-5.57.0.tar.xz"; + sha256 = "c07b69c9275c117507166622e185c2bf0f36e1e4e8ad7b25fa3e1d793da4711b"; + name = "kemoticons-5.57.0.tar.xz"; }; }; kfilemetadata = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kfilemetadata-5.56.0.tar.xz"; - sha256 = "04pmd2f77zxi14l3rhw4dyrh9dafchxqw1xjyv60j97gmm1b9796"; - name = "kfilemetadata-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kfilemetadata-5.57.0.tar.xz"; + sha256 = "49e6c281fdffd4f5fe363c6cefdb6c3022ef57c935d7d6b135607cdde9b2d116"; + name = "kfilemetadata-5.57.0.tar.xz"; }; }; kglobalaccel = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kglobalaccel-5.56.0.tar.xz"; - sha256 = "0pmgvizc2dwrwr7m49125ybcpsc95r9riwxnihf37napyaacd9y3"; - name = "kglobalaccel-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kglobalaccel-5.57.0.tar.xz"; + sha256 = "46370dcd4f110e6ccde3b3bf9c075deb1f22ad54016137925e4aea97b03cc2fe"; + name = "kglobalaccel-5.57.0.tar.xz"; }; }; kguiaddons = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kguiaddons-5.56.0.tar.xz"; - sha256 = "0gp2i29y1vws8i3q8s1bhyxksa42l6q55m459yczddcvcw0vd45i"; - name = "kguiaddons-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kguiaddons-5.57.0.tar.xz"; + sha256 = "744eb0ec35c936c17c3d11a08d19014e2166c4a307370207e0f5a38f01a91ebd"; + name = "kguiaddons-5.57.0.tar.xz"; }; }; kholidays = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kholidays-5.56.0.tar.xz"; - sha256 = "0lm2ls3a15qbsfhamh2ldzvr62wi9nrhxd83rhyk3ifsgac4mg18"; - name = "kholidays-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kholidays-5.57.0.tar.xz"; + sha256 = "f7db45906623c33dfbb297810082f8ff30c949e6a7d477f3b72de0521b0c9452"; + name = "kholidays-5.57.0.tar.xz"; }; }; khtml = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/portingAids/khtml-5.56.0.tar.xz"; - sha256 = "1wmcqc4546mqagqpgb97h3yd7nxaq4si2484li5hnw8mglm1qf3x"; - name = "khtml-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/portingAids/khtml-5.57.0.tar.xz"; + sha256 = "63d22fbc8cad3075a0b7ef195291c4b79ebc65da5de81b4885cac1063d783da3"; + name = "khtml-5.57.0.tar.xz"; }; }; ki18n = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/ki18n-5.56.0.tar.xz"; - sha256 = "0hdfad9vmyzfni9ln0dc9p26gpjksk754z28v35hww6z9kgbr1dq"; - name = "ki18n-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/ki18n-5.57.0.tar.xz"; + sha256 = "bbd60981c9a0c1f9d9a52c8dd86adef7c4c30caf603f806d8730febaa36f0dd9"; + name = "ki18n-5.57.0.tar.xz"; }; }; kiconthemes = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kiconthemes-5.56.0.tar.xz"; - sha256 = "0rdpvbqsb2wqi3glmggilm1mhpy6nc80am5hl4c34269mxd55q8a"; - name = "kiconthemes-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kiconthemes-5.57.0.tar.xz"; + sha256 = "09abb03a97027948a1116bfb2ca9842d3f8fb2def83b0b02aaed194dd5bd16f3"; + name = "kiconthemes-5.57.0.tar.xz"; }; }; kidletime = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kidletime-5.56.0.tar.xz"; - sha256 = "09184bi8fvq34hwkldyibji7r79wd2wvhxk1i4kzkjg177dnaa95"; - name = "kidletime-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kidletime-5.57.0.tar.xz"; + sha256 = "e99a07f814573526ed5141fc9e4bc2df12298df53a0d2787c3b7a4c3af915665"; + name = "kidletime-5.57.0.tar.xz"; }; }; kimageformats = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kimageformats-5.56.0.tar.xz"; - sha256 = "1cgh32jkg0ybfp8z6qwn7y6yr9mb0fiqly4pb0qc1lcm6awdx3d5"; - name = "kimageformats-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kimageformats-5.57.0.tar.xz"; + sha256 = "d7ce6c4737ae2846f015633e7479b60460d960a3a578777c2a884d499bd6cc14"; + name = "kimageformats-5.57.0.tar.xz"; }; }; kinit = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kinit-5.56.0.tar.xz"; - sha256 = "1ihrannyaj33wsir20qy363vdjafhlsmj45qzl3xkl4rbyl6ngs7"; - name = "kinit-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kinit-5.57.0.tar.xz"; + sha256 = "7d5ca84d7bd554531aa6d720d3dc41ac091cf047a52d097a23e5c8fad08b684c"; + name = "kinit-5.57.0.tar.xz"; }; }; kio = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kio-5.56.0.tar.xz"; - sha256 = "1m2c3a5isj966snmzs97i9kyhwnbzlwf61lqw5yxck25x7d0pyyn"; - name = "kio-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kio-5.57.0.tar.xz"; + sha256 = "d68151d58f1ed2e0724074c6bca42510dd3e19617baa4b4130198ad3a36a64ab"; + name = "kio-5.57.0.tar.xz"; }; }; kirigami2 = { - version = "5.56.1"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kirigami2-5.56.1.tar.xz"; - sha256 = "0npq65kslwkdsylmv5hgcqsa5i9386dmnx8ig79rlf3409awn2f8"; - name = "kirigami2-5.56.1.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kirigami2-5.57.0.tar.xz"; + sha256 = "3cdbea0e472293e85e625820f6b9e2d20f59cff263ed150904b6b2acad81062b"; + name = "kirigami2-5.57.0.tar.xz"; }; }; kitemmodels = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kitemmodels-5.56.0.tar.xz"; - sha256 = "13m1bvhljyc1jb9hdlz5v009kmkz7q0qf06l5zkck5k0fq41rkrg"; - name = "kitemmodels-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kitemmodels-5.57.0.tar.xz"; + sha256 = "b0eef30ce3e5f2fe9afb60d589bea16a0d0e4a57ffffb37ae0e14a54f1681464"; + name = "kitemmodels-5.57.0.tar.xz"; }; }; kitemviews = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kitemviews-5.56.0.tar.xz"; - sha256 = "1ar492jpyprxvzcgnq0gnbyxlndb3rd0z32drk7xsx19vpk3ch58"; - name = "kitemviews-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kitemviews-5.57.0.tar.xz"; + sha256 = "6b499a21c88d5998c903e8e4dd480c612b96e5e31d17430a507c07566febdd30"; + name = "kitemviews-5.57.0.tar.xz"; }; }; kjobwidgets = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kjobwidgets-5.56.0.tar.xz"; - sha256 = "1dh4ilry575k6z0glqb60ldjfkwpnkvijdzfyrc22bn84hbh19iy"; - name = "kjobwidgets-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kjobwidgets-5.57.0.tar.xz"; + sha256 = "4b98e7cd9b8d877326854addcee300071afc92f4378d3a94734e470271638002"; + name = "kjobwidgets-5.57.0.tar.xz"; }; }; kjs = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/portingAids/kjs-5.56.0.tar.xz"; - sha256 = "1b3l76ipf0fr8bvp3f4njimmg5yw9ciwzzgvb34ds65aycplagln"; - name = "kjs-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/portingAids/kjs-5.57.0.tar.xz"; + sha256 = "865fb86566a0ea904ab0a3bd6a63787161b28578660d475fc316c50c8a7b1e90"; + name = "kjs-5.57.0.tar.xz"; }; }; kjsembed = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/portingAids/kjsembed-5.56.0.tar.xz"; - sha256 = "0lkfq7099yiwvlycrix3s0dbk860rqfnix5fiw5vmi855is7mpkv"; - name = "kjsembed-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/portingAids/kjsembed-5.57.0.tar.xz"; + sha256 = "741aae8db274febe7e5d0d10dc99271efc590b2465d2c4d4e4a9162d2b36e3b4"; + name = "kjsembed-5.57.0.tar.xz"; }; }; kmediaplayer = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/portingAids/kmediaplayer-5.56.0.tar.xz"; - sha256 = "0blqbi40l1pk8qf9054ha4a8r7cb4pddbqydsqlsscl4gm8530jh"; - name = "kmediaplayer-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/portingAids/kmediaplayer-5.57.0.tar.xz"; + sha256 = "521dcb4b3f9a67203e9eac27b8d777cb22557861b9fae0006c2aecda96d9bad4"; + name = "kmediaplayer-5.57.0.tar.xz"; }; }; knewstuff = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/knewstuff-5.56.0.tar.xz"; - sha256 = "0r0ia0521vfri7mc6wpg3ihryqj48s3krgmliwbh635rfd3lcj9j"; - name = "knewstuff-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/knewstuff-5.57.0.tar.xz"; + sha256 = "6a9d77a62b036b4ed0f32ffaa9f204db1403030d01dbe8fb055d02361db2f981"; + name = "knewstuff-5.57.0.tar.xz"; }; }; knotifications = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/knotifications-5.56.0.tar.xz"; - sha256 = "05nf2870fq9cwacgyy8iky5v37fq4jrsh4hl9xy9928d19qnmb24"; - name = "knotifications-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/knotifications-5.57.0.tar.xz"; + sha256 = "7de068f4cf9bcefef54ae1cb180e2c0af9be951afbcaa960245507259620cf15"; + name = "knotifications-5.57.0.tar.xz"; }; }; knotifyconfig = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/knotifyconfig-5.56.0.tar.xz"; - sha256 = "0zwq0p779482sxxjg62z1rkpiiyn6b3r47l450dm6hm56vkf7vxl"; - name = "knotifyconfig-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/knotifyconfig-5.57.0.tar.xz"; + sha256 = "e7fe39ed72b7f79d8cafe6c30d5c62ade0f33be37a62d9e5b929064dd1750ac1"; + name = "knotifyconfig-5.57.0.tar.xz"; }; }; kpackage = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kpackage-5.56.0.tar.xz"; - sha256 = "037r0ldp70q0yafld1ddff1d4wipb5ras88r72qazjcfqfg9rzjr"; - name = "kpackage-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kpackage-5.57.0.tar.xz"; + sha256 = "2d2d497d50e8ce986d6de4462391122963d9b7605889fd20cd3ceb4dd6910814"; + name = "kpackage-5.57.0.tar.xz"; }; }; kparts = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kparts-5.56.0.tar.xz"; - sha256 = "1vj5ard5ff0wzpjqzrkd2kb31dkjly1cf4ww1ljrrwi7qgzxgw0z"; - name = "kparts-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kparts-5.57.0.tar.xz"; + sha256 = "5a079986963d186e98a1174e19e490731012732ad5ad31a431a8f7a31c6b6ed2"; + name = "kparts-5.57.0.tar.xz"; }; }; kpeople = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kpeople-5.56.0.tar.xz"; - sha256 = "0h456kjhx4ylbkiv3706g8ccdq55aamrhj5rgiql2gaw3d5dbrkr"; - name = "kpeople-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kpeople-5.57.0.tar.xz"; + sha256 = "7c239b80b7976e3bfa46338e05a048aecb9c1972548dc33cc8a17e66eb08a85c"; + name = "kpeople-5.57.0.tar.xz"; }; }; kplotting = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kplotting-5.56.0.tar.xz"; - sha256 = "1hrk3iv77s46lcs6c5mfiyzr80vpg9261mlixc3qwps0mww43r1r"; - name = "kplotting-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kplotting-5.57.0.tar.xz"; + sha256 = "c2c35030b1a2ca25f503c1a2df7ca225d156a9ea80f52883e136679aea6efc8e"; + name = "kplotting-5.57.0.tar.xz"; }; }; kpty = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kpty-5.56.0.tar.xz"; - sha256 = "1dzp4a6rz6hsp1y8m5l73i8v2a3bpwkv4rrypkd00051ajcch47k"; - name = "kpty-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kpty-5.57.0.tar.xz"; + sha256 = "14a0f9d5ecc387c88d24270dbf4c128deb8ad18ab64b39766b335ad03a47c3b6"; + name = "kpty-5.57.0.tar.xz"; }; }; kross = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/portingAids/kross-5.56.0.tar.xz"; - sha256 = "0ry6fpl0rb8z5r08bzh6kj14mp7l94calvdk3vrnc89cpm5gxymv"; - name = "kross-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/portingAids/kross-5.57.0.tar.xz"; + sha256 = "3b0f92751bb70c64b2ac25b466f886cc8b02babf02c744bcc909aa3ce6915a66"; + name = "kross-5.57.0.tar.xz"; }; }; krunner = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/krunner-5.56.0.tar.xz"; - sha256 = "1gs0fr78zbhxl8c08zj4s98zshc42zxzwv7p9l7rmq8h21spc8ga"; - name = "krunner-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/krunner-5.57.0.tar.xz"; + sha256 = "40f52d4d883748f5b9a78b5bd7dd6aaa52eae88b89d7a33eafbcbb9ccf6f4805"; + name = "krunner-5.57.0.tar.xz"; }; }; kservice = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kservice-5.56.0.tar.xz"; - sha256 = "1hsc8pagigwspyv9ipl3l2b9mf8amfksk8a2k3iic9nw1hmpxinv"; - name = "kservice-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kservice-5.57.0.tar.xz"; + sha256 = "531940baa47273714fbc35941f2ef5fbdb801b7a5ed5fef5a8ff1d86bf1dae14"; + name = "kservice-5.57.0.tar.xz"; }; }; ktexteditor = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/ktexteditor-5.56.0.tar.xz"; - sha256 = "1a2r97v3xwh61q688jvwkk99bphfd0v0ldqms5d73q3m6w1x122c"; - name = "ktexteditor-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/ktexteditor-5.57.0.tar.xz"; + sha256 = "aa510656f632ef09c18af4263386265c293cb929f139786acd102881250314c3"; + name = "ktexteditor-5.57.0.tar.xz"; }; }; ktextwidgets = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/ktextwidgets-5.56.0.tar.xz"; - sha256 = "1km19z577y29di8zp6amlccqdavxk4f4sg1dblj6gp64zkw9dbqp"; - name = "ktextwidgets-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/ktextwidgets-5.57.0.tar.xz"; + sha256 = "b74036eea1ec19a22aa0e76cd1a8338f55e5c32a30dc47d602783c6bc5ba54bf"; + name = "ktextwidgets-5.57.0.tar.xz"; }; }; kunitconversion = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kunitconversion-5.56.0.tar.xz"; - sha256 = "1kf5dc6p77mkx2i23ppfs0k3laybmx5vqq7aq1bxnkxj1ws75144"; - name = "kunitconversion-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kunitconversion-5.57.0.tar.xz"; + sha256 = "157f4d21e83a6e92e30894f472b65452ecd2183ac2e25e24f740e971befed383"; + name = "kunitconversion-5.57.0.tar.xz"; }; }; kwallet = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kwallet-5.56.0.tar.xz"; - sha256 = "02i6xkq9ki6sybjvcxkznf5v8b34pqxysg9pi5v4z6jkw2jpr5fj"; - name = "kwallet-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kwallet-5.57.0.tar.xz"; + sha256 = "ad089026f4d5b10d567d0fd56f8b63749acd214fb8029d43187ba42afaf36975"; + name = "kwallet-5.57.0.tar.xz"; }; }; kwayland = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kwayland-5.56.0.tar.xz"; - sha256 = "1779in51z63sv6607xd7y30wprs9vs8nnqa28fxg1q4nicwnvrxv"; - name = "kwayland-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kwayland-5.57.0.tar.xz"; + sha256 = "f00d2997163f559cecf30f5e945d5456628a0d120acafba49fa14af28c22b1d6"; + name = "kwayland-5.57.0.tar.xz"; }; }; kwidgetsaddons = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kwidgetsaddons-5.56.0.tar.xz"; - sha256 = "0flmw1wfzs49dmmlbbimizjwj09wp4qwr9znxn3h5yfn0mxfc1lv"; - name = "kwidgetsaddons-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kwidgetsaddons-5.57.0.tar.xz"; + sha256 = "abd80a566e1003bca7c72d3a0dc1ee470bc9935d11371cfff0d960f11e1ef5c2"; + name = "kwidgetsaddons-5.57.0.tar.xz"; }; }; kwindowsystem = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kwindowsystem-5.56.0.tar.xz"; - sha256 = "0dk9ymlpdpvra2zm1f2rcx2dwnn9qc49n2y7p6iw094fwk5rzczc"; - name = "kwindowsystem-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kwindowsystem-5.57.0.tar.xz"; + sha256 = "0c8a009dde7ca1722810777b99aa4e1a3471687460c25e0f41645c9e11daf274"; + name = "kwindowsystem-5.57.0.tar.xz"; }; }; kxmlgui = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kxmlgui-5.56.0.tar.xz"; - sha256 = "1ipa0qnkh6gs3f6ygvb7cf0yv1m89m3cdl1z23br4fn14d5mxbrl"; - name = "kxmlgui-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kxmlgui-5.57.0.tar.xz"; + sha256 = "325124518e8fa4847c898dee193d96b76a7ba27d7c79d875f34c632f46fe1f90"; + name = "kxmlgui-5.57.0.tar.xz"; }; }; kxmlrpcclient = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/kxmlrpcclient-5.56.0.tar.xz"; - sha256 = "1bjnpl4521gv35zghaanz6v5bap2b9n2kz7b0rif1bf6iak018ql"; - name = "kxmlrpcclient-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/kxmlrpcclient-5.57.0.tar.xz"; + sha256 = "d47d5cc49f050dda3a26f7654226c0d124ca5ba5503a60e606307426bbe43b9d"; + name = "kxmlrpcclient-5.57.0.tar.xz"; }; }; modemmanager-qt = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/modemmanager-qt-5.56.0.tar.xz"; - sha256 = "1xwx6yybij8nlaqfpz76pindfxshcyg9p21nqm6ddpgyzh74klbc"; - name = "modemmanager-qt-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/modemmanager-qt-5.57.0.tar.xz"; + sha256 = "030bfcfafd5079f25a165aaa5f52693a8fe4b3c15c1345a641716c4329e0929d"; + name = "modemmanager-qt-5.57.0.tar.xz"; }; }; networkmanager-qt = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/networkmanager-qt-5.56.0.tar.xz"; - sha256 = "0p0b3rq7s1yzy6zspd6xnzjc0hza9d7fixm8pw369kn5k3pi5lk1"; - name = "networkmanager-qt-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/networkmanager-qt-5.57.0.tar.xz"; + sha256 = "4d2da2556bfeef4be03833d707284573b469a1f6ad66ba73eae80835bd2e1982"; + name = "networkmanager-qt-5.57.0.tar.xz"; }; }; oxygen-icons5 = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/oxygen-icons5-5.56.0.tar.xz"; - sha256 = "17cjcfmc8vywh8n2ck0s3b0i88ilamdah0gipicn7vj65l4wc1qb"; - name = "oxygen-icons5-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/oxygen-icons5-5.57.0.tar.xz"; + sha256 = "2b12a9de3767d233b4b01bc97e23899d94c02b13fee4d20483d3f5d2baaaa1e5"; + name = "oxygen-icons5-5.57.0.tar.xz"; }; }; plasma-framework = { - version = "5.56.1"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/plasma-framework-5.56.1.tar.xz"; - sha256 = "0wn7q2cfrgzcprzgqj1d4calc0mmrrn615698fish7x9s1n7ag6w"; - name = "plasma-framework-5.56.1.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/plasma-framework-5.57.0.tar.xz"; + sha256 = "b886aeee6691911ead25e6fd5631fa41ce2330b0fbbdc040717fa576bacae2ca"; + name = "plasma-framework-5.57.0.tar.xz"; }; }; prison = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/prison-5.56.0.tar.xz"; - sha256 = "05hy6fz05snpgjz6bnm3qcr7smg65a0m6rdmyv7avrpbs4qpbghx"; - name = "prison-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/prison-5.57.0.tar.xz"; + sha256 = "6613decb2e0b61af5af3a3a9995970c2fdaa72f36bcfd7e9db547017ee4ca235"; + name = "prison-5.57.0.tar.xz"; }; }; purpose = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/purpose-5.56.0.tar.xz"; - sha256 = "0rvywfkhqbmd39g950mpnn35i3kg7j63ylvdy2px2d71am6acal8"; - name = "purpose-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/purpose-5.57.0.tar.xz"; + sha256 = "4b38f1b88e6e621bc20c04a5f7bc7293fba6c851209167c5e794b6becaea244e"; + name = "purpose-5.57.0.tar.xz"; }; }; qqc2-desktop-style = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/qqc2-desktop-style-5.56.0.tar.xz"; - sha256 = "08afy1gsy0lvpzqmv5azzfiy5x9lvffsf6qvzxxab4v5ch8fn00b"; - name = "qqc2-desktop-style-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/qqc2-desktop-style-5.57.0.tar.xz"; + sha256 = "ff40fd6d48815c39e46e19eadf4048f04e79f34f7522a9ba655e6d4a1690546e"; + name = "qqc2-desktop-style-5.57.0.tar.xz"; }; }; solid = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/solid-5.56.0.tar.xz"; - sha256 = "17kfwj0y41pkd0kxj2fj9m9qs7bq05vka9ngfr022lfwdhs907c4"; - name = "solid-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/solid-5.57.0.tar.xz"; + sha256 = "fbdb0678a5a1b9f902661b4823dbae4629a88708b729d827dd0598799f727209"; + name = "solid-5.57.0.tar.xz"; }; }; sonnet = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/sonnet-5.56.0.tar.xz"; - sha256 = "0r8bsf7a9rjvv4jirycwf3xvkqa9iax23p93m301x82hdvmkjr9w"; - name = "sonnet-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/sonnet-5.57.0.tar.xz"; + sha256 = "08e13a707b64055f512bba982ec5e69a9e7c62c02d1ee8b6fdc67c67b1265334"; + name = "sonnet-5.57.0.tar.xz"; }; }; syndication = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/syndication-5.56.0.tar.xz"; - sha256 = "0wnrhfp5b4wgmigqh39c0f2qfblgmc3x6018b4wcayfs8gb4m1q9"; - name = "syndication-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/syndication-5.57.0.tar.xz"; + sha256 = "251b2333bd3e49f833ef5ac12e0d2540a7640c84625090a85d99715927653728"; + name = "syndication-5.57.0.tar.xz"; }; }; syntax-highlighting = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/syntax-highlighting-5.56.0.tar.xz"; - sha256 = "0gl0v1bscqd6xhl3644wix8ix04lax0h1zzr1v65704c4qp87h8l"; - name = "syntax-highlighting-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/syntax-highlighting-5.57.0.tar.xz"; + sha256 = "e82261e791005a55414fc81a396ca33ee8c061acd66c2c351492d866b3592e9f"; + name = "syntax-highlighting-5.57.0.tar.xz"; }; }; threadweaver = { - version = "5.56.0"; + version = "5.57.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.56/threadweaver-5.56.0.tar.xz"; - sha256 = "1gyvj0v1zhfk8shi31pivvf5rwxkgv9bjmy2vippk2vxvkh0qc5x"; - name = "threadweaver-5.56.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.57/threadweaver-5.57.0.tar.xz"; + sha256 = "83969d0f6e4a337fba6b554708f867654af86368066ccef75a4fde85569d1ee0"; + name = "threadweaver-5.57.0.tar.xz"; }; }; } diff --git a/nixpkgs/pkgs/development/libraries/kinetic-cpp-client/default.nix b/nixpkgs/pkgs/development/libraries/kinetic-cpp-client/default.nix index 2e4a4d54dd4e..0fa46dde23e2 100644 --- a/nixpkgs/pkgs/development/libraries/kinetic-cpp-client/default.nix +++ b/nixpkgs/pkgs/development/libraries/kinetic-cpp-client/default.nix @@ -4,7 +4,7 @@ let protoTar = fetchurl { - url = "http://github.com/Seagate/kinetic-protocol/archive/3.0.0.tar.gz"; + url = "https://github.com/Seagate/kinetic-protocol/archive/3.0.0.tar.gz"; sha256 = "0406pp0sdf0rg6s5g18r2d8si2rin7p6qbzp7c6pma5hyzsygz48"; }; in diff --git a/nixpkgs/pkgs/development/libraries/lib3mf/default.nix b/nixpkgs/pkgs/development/libraries/lib3mf/default.nix index 7292debfc3f6..7e41743e1e0d 100644 --- a/nixpkgs/pkgs/development/libraries/lib3mf/default.nix +++ b/nixpkgs/pkgs/development/libraries/lib3mf/default.nix @@ -18,6 +18,9 @@ stdenv.mkDerivation rec { postPatch = '' rmdir UnitTests/googletest ln -s ${gtest.src} UnitTests/googletest + + # fix libdir=''${exec_prefix}/@CMAKE_INSTALL_LIBDIR@ + sed -i 's,=''${\(exec_\)\?prefix}/,=,' lib3MF.pc.in ''; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/libraries/libantlr3c/default.nix b/nixpkgs/pkgs/development/libraries/libantlr3c/default.nix index 6f12bf891b01..28f0ca2905c2 100644 --- a/nixpkgs/pkgs/development/libraries/libantlr3c/default.nix +++ b/nixpkgs/pkgs/development/libraries/libantlr3c/default.nix @@ -11,7 +11,7 @@ stdenv.mkDerivation { meta = with stdenv.lib; { description = "C runtime libraries of ANTLR v3"; - homepage = http://www.antlr3.org/; + homepage = https://www.antlr3.org/; license = licenses.bsd3; platforms = platforms.unix; maintainers = with maintainers; [ vbgl ]; diff --git a/nixpkgs/pkgs/development/libraries/libelf/default.nix b/nixpkgs/pkgs/development/libraries/libelf/default.nix index 5adafece3535..55a01c75dcf2 100644 --- a/nixpkgs/pkgs/development/libraries/libelf/default.nix +++ b/nixpkgs/pkgs/development/libraries/libelf/default.nix @@ -34,7 +34,7 @@ stdenv.mkDerivation rec { meta = { description = "ELF object file access library"; - homepage = http://www.mr511.de/software/english.html; + homepage = https://github.com/Distrotech/libelf; license = stdenv.lib.licenses.lgpl2Plus; diff --git a/nixpkgs/pkgs/development/libraries/libffi/default.nix b/nixpkgs/pkgs/development/libraries/libffi/default.nix index 384e3370ec9c..2918276ef211 100644 --- a/nixpkgs/pkgs/development/libraries/libffi/default.nix +++ b/nixpkgs/pkgs/development/libraries/libffi/default.nix @@ -88,7 +88,7 @@ stdenv.mkDerivation rec { conversions for values passed between the two languages. ''; homepage = http://sourceware.org/libffi/; - # See http://github.com/atgreen/libffi/blob/master/LICENSE . + # See https://github.com/atgreen/libffi/blob/master/LICENSE . license = licenses.free; maintainers = [ ]; platforms = platforms.all; diff --git a/nixpkgs/pkgs/development/libraries/libgeotiff/default.nix b/nixpkgs/pkgs/development/libraries/libgeotiff/default.nix index ed2711557e73..33b9e7830e71 100644 --- a/nixpkgs/pkgs/development/libraries/libgeotiff/default.nix +++ b/nixpkgs/pkgs/development/libraries/libgeotiff/default.nix @@ -19,7 +19,7 @@ stdenv.mkDerivation rec { meta = { description = "Library implementing attempt to create a tiff based interchange format for georeferenced raster imagery"; - homepage = https://www.remotesensing.org/geotiff/geotiff.html; + homepage = https://github.com/OSGeo/libgeotiff; license = stdenv.lib.licenses.mit; maintainers = [stdenv.lib.maintainers.marcweber]; platforms = with stdenv.lib.platforms; linux ++ darwin; diff --git a/nixpkgs/pkgs/development/libraries/libidn2/default.nix b/nixpkgs/pkgs/development/libraries/libidn2/default.nix index b79cad7cb69b..c0fadfeb6798 100644 --- a/nixpkgs/pkgs/development/libraries/libidn2/default.nix +++ b/nixpkgs/pkgs/development/libraries/libidn2/default.nix @@ -4,11 +4,11 @@ with stdenv.lib; stdenv.mkDerivation rec { name = "libidn2-${version}"; - version = "2.1.1"; + version = "2.1.1a"; src = fetchurl { url = "mirror://gnu/gnu/libidn/${name}.tar.gz"; - sha256 = "1cbq439dzf14jjpayk5mk0yyq32k3c27acg1d4ra364j6a060hcm"; + sha256 = "0wlb0jrkccsdxlx90wx6ax5raqcm6y9c75dcgc6j6m6gdv7nnrjp"; }; outputs = [ "bin" "dev" "out" "info" "devdoc" ]; diff --git a/nixpkgs/pkgs/development/libraries/libimobiledevice/default.nix b/nixpkgs/pkgs/development/libraries/libimobiledevice/default.nix index f4eafcdaace4..83a500c13802 100644 --- a/nixpkgs/pkgs/development/libraries/libimobiledevice/default.nix +++ b/nixpkgs/pkgs/development/libraries/libimobiledevice/default.nix @@ -3,15 +3,15 @@ stdenv.mkDerivation rec { pname = "libimobiledevice"; - version = "2018-07-24"; + version = "2019-04-04"; name = "${pname}-${version}"; src = fetchFromGitHub { owner = pname; repo = pname; - rev = "d7a2e04d2e7880c56116fd35489a7f98755501b7"; - sha256 = "0cj0j10lmfr28c7nh79n2mcmy31xx50g93h0bqs0l7y76ph4dqkc"; + rev = "eea4f1be9107c8ab621fd71460e47d0d38e55d71"; + sha256 = "0wh6z5f5znlqs0grh7c8jj1s411azgyy45klmql5kj3p8qqybqrs"; }; outputs = [ "out" "dev" ]; @@ -56,6 +56,6 @@ stdenv.mkDerivation rec { ''; license = licenses.lgpl21Plus; platforms = platforms.linux; - maintainers = with maintainers; [ ]; + maintainers = with maintainers; [ infinisil ]; }; } diff --git a/nixpkgs/pkgs/development/libraries/libinput/default.nix b/nixpkgs/pkgs/development/libraries/libinput/default.nix index 24a708f82770..0682bc326306 100644 --- a/nixpkgs/pkgs/development/libraries/libinput/default.nix +++ b/nixpkgs/pkgs/development/libraries/libinput/default.nix @@ -27,11 +27,11 @@ in with stdenv.lib; stdenv.mkDerivation rec { name = "libinput-${version}"; - version = "1.12.6"; + version = "1.13.1"; src = fetchurl { url = "https://www.freedesktop.org/software/libinput/${name}.tar.xz"; - sha256 = "0pgla0mc6mvyr1ljy10mcqvfz8i5z6yp7dbx2bcd70y67wx05d0j"; + sha256 = "1as190kl5mnlpqab4qvkxnm4h8vz5cf22r0ai4cb2azfg3a8gm71"; }; outputs = [ "bin" "out" "dev" ]; diff --git a/nixpkgs/pkgs/development/libraries/libltc/default.nix b/nixpkgs/pkgs/development/libraries/libltc/default.nix index dfc2402a7ec6..d6918ef30443 100644 --- a/nixpkgs/pkgs/development/libraries/libltc/default.nix +++ b/nixpkgs/pkgs/development/libraries/libltc/default.nix @@ -1,11 +1,11 @@ {stdenv, fetchurl}: stdenv.mkDerivation { - name = "libltc-1.3.0"; + name = "libltc-1.3.1"; src = fetchurl { - url = https://github.com/x42/libltc/releases/download/v1.3.0/libltc-1.3.0.tar.gz; - sha256 = "0p7fgp44i9d1lrgbk5zj3sm5yzavx428zn36xb3bl7y65c2xxcda"; + url = https://github.com/x42/libltc/releases/download/v1.3.1/libltc-1.3.1.tar.gz; + sha256 = "173h9dgmain3nyrwk6q2d7yl4fnh4vacag4s2p01n5b7nyrkxrjh"; }; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/libraries/libmicrohttpd/default.nix b/nixpkgs/pkgs/development/libraries/libmicrohttpd/default.nix index 3f678e0eb96b..0ecd568c3763 100644 --- a/nixpkgs/pkgs/development/libraries/libmicrohttpd/default.nix +++ b/nixpkgs/pkgs/development/libraries/libmicrohttpd/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "libmicrohttpd-${version}"; - version = "0.9.62"; + version = "0.9.63"; src = fetchurl { url = "mirror://gnu/libmicrohttpd/${name}.tar.gz"; - sha256 = "0jfvi1fb4im3a3m8qishbmzx3zch993c0mhvl2k92l1zf1yhjgmx"; + sha256 = "173lj66crwvhzwp1r812sc5h1hda7g6lb9l1y5zf7w3pw4dnzhrp"; }; outputs = [ "out" "dev" "devdoc" "info" ]; diff --git a/nixpkgs/pkgs/development/libraries/libmikmod/default.nix b/nixpkgs/pkgs/development/libraries/libmikmod/default.nix index c83d2610dbfa..ef095fd4336a 100644 --- a/nixpkgs/pkgs/development/libraries/libmikmod/default.nix +++ b/nixpkgs/pkgs/development/libraries/libmikmod/default.nix @@ -20,7 +20,7 @@ in stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "A library for playing tracker music module files"; - homepage = http://mikmod.shlomifish.org/; + homepage = https://mikmod.shlomifish.org/; license = licenses.lgpl2Plus; maintainers = with maintainers; [ astsmtl lovek323 ]; platforms = platforms.unix; diff --git a/nixpkgs/pkgs/development/libraries/libnabo/default.nix b/nixpkgs/pkgs/development/libraries/libnabo/default.nix index 485b24beaa34..b461bfb3ddfe 100644 --- a/nixpkgs/pkgs/development/libraries/libnabo/default.nix +++ b/nixpkgs/pkgs/development/libraries/libnabo/default.nix @@ -1,14 +1,14 @@ {stdenv, fetchFromGitHub, cmake, eigen, boost}: stdenv.mkDerivation rec { - version = "1.0.6"; + version = "1.0.7"; name = "libnabo-${version}"; src = fetchFromGitHub { owner = "ethz-asl"; repo = "libnabo"; rev = version; - sha256 = "1pg4vjfq5n7zhjdf7rgvycd7bkk1iwr50fl2dljq43airxz6525w"; + sha256 = "17vxlmszzpm95vvfdxnm98d5p297i10fyblblj6kf0ynq8r2mpsh"; }; buildInputs = [cmake eigen boost]; diff --git a/nixpkgs/pkgs/development/libraries/libopus/default.nix b/nixpkgs/pkgs/development/libraries/libopus/default.nix index 4369169014cc..10d438500a63 100644 --- a/nixpkgs/pkgs/development/libraries/libopus/default.nix +++ b/nixpkgs/pkgs/development/libraries/libopus/default.nix @@ -2,14 +2,14 @@ , fixedPoint ? false, withCustomModes ? true }: let - version = "1.3"; + version = "1.3.1"; in stdenv.mkDerivation rec { name = "libopus-${version}"; src = fetchurl { url = "https://archive.mozilla.org/pub/opus/opus-${version}.tar.gz"; - sha256 = "0l651n19h0vhc0sn6w2c95hgqks1i8m4b3j04ncaznzjznp6jgag"; + sha256 = "17gz8kxs4i7icsc1gj713gadiapyklynlwqlf0ai98dj4lg8xdb5"; }; outputs = [ "out" "dev" ]; diff --git a/nixpkgs/pkgs/development/libraries/libp11/default.nix b/nixpkgs/pkgs/development/libraries/libp11/default.nix index cb675f4d3e58..774019de0d5b 100644 --- a/nixpkgs/pkgs/development/libraries/libp11/default.nix +++ b/nixpkgs/pkgs/development/libraries/libp11/default.nix @@ -1,25 +1,31 @@ -{ stdenv, fetchFromGitHub, autoreconfHook, libtool, openssl, pkgconfig }: +{ stdenv, fetchFromGitHub, autoreconfHook, libtool, pkgconfig +, openssl }: stdenv.mkDerivation rec { name = "libp11-${version}"; - version = "0.4.9"; + version = "0.4.10"; src = fetchFromGitHub { owner = "OpenSC"; repo = "libp11"; rev = name; - sha256 = "1f0ir1mnr4wxxnql8ld2aa6288fn04fai5pr0sics7kbdm1g0cki"; + sha256 = "1m4aw45bqichhx7cn78d8l1r1v0ccvwzlfj09fay2l9rfic5jgfz"; }; - makeFlags = [ "DESTDIR=$(out)" "PREFIX=" ]; + configureFlags = [ + "--with-enginesdir=${placeholder "out"}/lib/engines" + ]; nativeBuildInputs = [ autoreconfHook pkgconfig libtool ]; + buildInputs = [ openssl ]; + enableParallelBuilding = true; + meta = with stdenv.lib; { + description = "Small layer on top of PKCS#11 API to make PKCS#11 implementations easier"; homepage = https://github.com/OpenSC/libp11; license = licenses.lgpl21Plus; - description = "Small layer on top of PKCS#11 API to make PKCS#11 implementations easier"; platforms = platforms.all; }; } diff --git a/nixpkgs/pkgs/development/libraries/libpipeline/default.nix b/nixpkgs/pkgs/development/libraries/libpipeline/default.nix index e11527ca10c9..eabf2d2a1064 100644 --- a/nixpkgs/pkgs/development/libraries/libpipeline/default.nix +++ b/nixpkgs/pkgs/development/libraries/libpipeline/default.nix @@ -1,11 +1,11 @@ { stdenv, fetchurl }: stdenv.mkDerivation rec { - name = "libpipeline-1.5.0"; + name = "libpipeline-1.5.1"; src = fetchurl { url = "mirror://savannah/libpipeline/${name}.tar.gz"; - sha256 = "0avg525wvifcvjrwa6i1r6kvahmsswj0mpxrsxzzdzra9wpf2whd"; + sha256 = "0bwh5xz5f2czwb7f564jz1mp4znm8pldnvf65fs0hpw4gmmp0cyn"; }; patches = stdenv.lib.optionals stdenv.isDarwin [ ./fix-on-osx.patch ]; diff --git a/nixpkgs/pkgs/development/libraries/libplist/default.nix b/nixpkgs/pkgs/development/libraries/libplist/default.nix index 904206ab79be..d622584c9da4 100644 --- a/nixpkgs/pkgs/development/libraries/libplist/default.nix +++ b/nixpkgs/pkgs/development/libraries/libplist/default.nix @@ -5,15 +5,15 @@ let in stdenv.mkDerivation rec { pname = "libplist"; - version = "2019-01-20"; + version = "2019-04-04"; name = "${pname}-${version}"; src = fetchFromGitHub { owner = "libimobiledevice"; repo = pname; - rev = "bec850fe399639f3b8582a39386216970dea15ed"; - sha256 = "197yw8xz8x2xld8b6975scgnl30j4ibm9llmzljyqngs0zsdwnin"; + rev = "42bb64ba966082b440cb68cbdadf317f44710017"; + sha256 = "19yw80yblq29i2jx9yb7bx0lfychy9dncri3fk4as35kq5bf26i8"; }; outputs = ["bin" "dev" "out" "py"]; @@ -35,7 +35,7 @@ stdenv.mkDerivation rec { description = "A library to handle Apple Property List format in binary or XML"; homepage = https://github.com/libimobiledevice/libplist; license = licenses.lgpl21Plus; - maintainers = [ ]; + maintainers = with maintainers; [ infinisil ]; platforms = platforms.linux; }; } diff --git a/nixpkgs/pkgs/development/libraries/libpst/default.nix b/nixpkgs/pkgs/development/libraries/libpst/default.nix index 574fa18ae4e4..3478ae14e668 100644 --- a/nixpkgs/pkgs/development/libraries/libpst/default.nix +++ b/nixpkgs/pkgs/development/libraries/libpst/default.nix @@ -1,19 +1,24 @@ -{ stdenv, fetchurl, autoreconfHook, boost, python2, libgsf, +{ stdenv, fetchurl, autoreconfHook, boost, libgsf, pkgconfig, bzip2, xmlto, gettext, imagemagick, doxygen }: stdenv.mkDerivation rec { name = "libpst-0.6.71"; src = fetchurl { - url = "http://www.five-ten-sg.com/libpst/packages/${name}.tar.gz"; - sha256 = "130nksrwgi3ih32si5alvxwzd5kmlg8yi7p03w0h7w9r3b90i4pv"; - }; + url = "http://www.five-ten-sg.com/libpst/packages/${name}.tar.gz"; + sha256 = "130nksrwgi3ih32si5alvxwzd5kmlg8yi7p03w0h7w9r3b90i4pv"; + }; nativeBuildInputs = [ autoreconfHook pkgconfig ]; - buildInputs = [ boost python2 libgsf bzip2 + buildInputs = [ + boost libgsf bzip2 xmlto gettext imagemagick doxygen ]; + configureFlags = [ + "--enable-python=no" + ]; + doCheck = true; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/libraries/librdf/default.nix b/nixpkgs/pkgs/development/libraries/librdf/default.nix index 39fb68fd2467..d92b017712d4 100644 --- a/nixpkgs/pkgs/development/libraries/librdf/default.nix +++ b/nixpkgs/pkgs/development/libraries/librdf/default.nix @@ -8,7 +8,7 @@ stdenv.mkDerivation rec { name = "liblrdf-${version}"; src = fetchurl { - url = "http://github.com/swh/LRDF/archive/${version}.tar.gz"; + url = "https://github.com/swh/LRDF/archive/${version}.tar.gz"; sha256 = "18p2flb2sv2hq6w2qkd29z9c7knnwqr3f12i2srshlzx6vwkm05s"; }; diff --git a/nixpkgs/pkgs/development/libraries/librealsense/default.nix b/nixpkgs/pkgs/development/libraries/librealsense/default.nix index 918335597c9b..2da2963cfa66 100644 --- a/nixpkgs/pkgs/development/libraries/librealsense/default.nix +++ b/nixpkgs/pkgs/development/libraries/librealsense/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { name = "librealsense-${version}"; - version = "2.19.0"; + version = "2.20.0"; src = fetchFromGitHub { owner = "IntelRealSense"; repo = "librealsense"; rev = "v${version}"; - sha256 = "03ljn4igi8l1fd1nvpn448d3sqvxyl0r0l9sbkimlx77g52wjv2j"; + sha256 = "131qpmp2h43snx0fx7jc810mil0zy52gy2dci367ln38a2pwvyhg"; }; buildInputs = [ diff --git a/nixpkgs/pkgs/development/libraries/librsvg/default.nix b/nixpkgs/pkgs/development/libraries/librsvg/default.nix index 21eeb1848d93..d46c7f7367a8 100644 --- a/nixpkgs/pkgs/development/libraries/librsvg/default.nix +++ b/nixpkgs/pkgs/development/libraries/librsvg/default.nix @@ -5,14 +5,14 @@ let pname = "librsvg"; - version = "2.45.5"; + version = "2.44.13"; in stdenv.mkDerivation rec { name = "${pname}-${version}"; src = fetchurl { url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; - sha256 = "001phhq3dd9i6mkbjnmw468vjlqvs1330nwdzldy1rcgc3f74230"; + sha256 = "13ybdfx1agp0is81hy699nqvzb4zg1msjyvsmqcx0hb41jzn1mnj"; }; outputs = [ "out" "dev" "installedTests" ]; diff --git a/nixpkgs/pkgs/development/libraries/libsecret/default.nix b/nixpkgs/pkgs/development/libraries/libsecret/default.nix index d3b07dfb0493..6c2723bbd907 100644 --- a/nixpkgs/pkgs/development/libraries/libsecret/default.nix +++ b/nixpkgs/pkgs/development/libraries/libsecret/default.nix @@ -21,6 +21,10 @@ stdenv.mkDerivation rec { buildInputs = [ libgcrypt ]; # optional: build docs with gtk-doc? (probably needs a flag as well) + configureFlags = [ + "--with-libgcrypt-prefix=${libgcrypt.dev}" + ]; + enableParallelBuilding = true; installCheckInputs = [ python3 python3.pkgs.dbus-python python3.pkgs.pygobject3 xvfb_run dbus gnome3.gjs ]; diff --git a/nixpkgs/pkgs/development/libraries/libsodium/default.nix b/nixpkgs/pkgs/development/libraries/libsodium/default.nix index fbff51b5ffb5..51f779bca6bf 100644 --- a/nixpkgs/pkgs/development/libraries/libsodium/default.nix +++ b/nixpkgs/pkgs/development/libraries/libsodium/default.nix @@ -1,11 +1,11 @@ { stdenv, fetchurl }: stdenv.mkDerivation rec { - name = "libsodium-1.0.16"; + name = "libsodium-1.0.17"; src = fetchurl { url = "https://download.libsodium.org/libsodium/releases/${name}.tar.gz"; - sha256 = "0cq5pn7qcib7q70mm1lgjwj75xdxix27v0xl1xl0kvxww7hwgbgf"; + sha256 = "1cf2d9v1gylz1qcy2zappbf526qfmph6gd6fnn3w2b347vixmhqc"; }; outputs = [ "out" "dev" ]; diff --git a/nixpkgs/pkgs/development/libraries/libssh/default.nix b/nixpkgs/pkgs/development/libraries/libssh/default.nix index f1b9d349a428..fe7bc094fdce 100644 --- a/nixpkgs/pkgs/development/libraries/libssh/default.nix +++ b/nixpkgs/pkgs/development/libraries/libssh/default.nix @@ -1,11 +1,11 @@ { stdenv, fetchurl, fetchpatch, pkgconfig, cmake, zlib, openssl, libsodium }: stdenv.mkDerivation rec { - name = "libssh-0.8.5"; + name = "libssh-0.8.7"; src = fetchurl { url = "https://www.libssh.org/files/0.8/${name}.tar.xz"; - sha256 = "0dd3nmd20jw4z116qbz3wbffxbzrczi6mcxw0rmqzj0g4hqw9lh7"; + sha256 = "14nmwfnnrhkwcfk5hn7azl905ivbh4wllmsbw5abd80b5yi4qc23"; }; postPatch = '' @@ -13,7 +13,8 @@ stdenv.mkDerivation rec { sed -i 's,nacl/,sodium/,g' ./include/libssh/curve25519.h src/curve25519.c ''; - outputs = [ "out" "dev" ]; + # single output, otherwise cmake and .pc files point to the wrong directory + # outputs = [ "out" "dev" ]; buildInputs = [ zlib openssl libsodium ]; diff --git a/nixpkgs/pkgs/development/libraries/libssh2/default.nix b/nixpkgs/pkgs/development/libraries/libssh2/default.nix index a699ad48a8a4..483a56dcdce6 100644 --- a/nixpkgs/pkgs/development/libraries/libssh2/default.nix +++ b/nixpkgs/pkgs/development/libraries/libssh2/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { pname = "libssh2"; - version = "1.8.1"; + version = "1.8.2"; src = fetchurl { url = "${meta.homepage}/download/${pname}-${version}.tar.gz"; - sha256 = "0ngif3ynk6xqzy5nlfjs7bsmfm81g9f145av0z86kf0vbgrigda0"; + sha256 = "0rqd37pc80nm2pz4sa2m9pfc48axys7jwq1l7z0vii5nyvchg0q8"; }; outputs = [ "out" "dev" "devdoc" ]; diff --git a/nixpkgs/pkgs/development/libraries/libtap/default.nix b/nixpkgs/pkgs/development/libraries/libtap/default.nix index 99e0ab0a4767..29d83bcd9d70 100644 --- a/nixpkgs/pkgs/development/libraries/libtap/default.nix +++ b/nixpkgs/pkgs/development/libraries/libtap/default.nix @@ -7,7 +7,7 @@ stdenv.mkDerivation rec{ version = "1.12.0"; src = fetchurl { - url = "http://web-cpan.shlomifish.org/downloads/${name}.tar.bz2"; + url = "https://web-cpan.shlomifish.org/downloads/${name}.tar.bz2"; sha256 = "1ms1770cx8c6q3lhn1chkzy12vzmjgvlms7cqhd2d3260j2wwv5s"; }; diff --git a/nixpkgs/pkgs/development/libraries/libu2f-host/default.nix b/nixpkgs/pkgs/development/libraries/libu2f-host/default.nix index 8430c462ca6e..c163720f56ed 100644 --- a/nixpkgs/pkgs/development/libraries/libu2f-host/default.nix +++ b/nixpkgs/pkgs/development/libraries/libu2f-host/default.nix @@ -1,11 +1,11 @@ { stdenv, fetchurl, pkgconfig, json_c, hidapi }: stdenv.mkDerivation rec { - name = "libu2f-host-1.1.7"; + name = "libu2f-host-1.1.9"; src = fetchurl { url = "https://developers.yubico.com/libu2f-host/Releases/${name}.tar.xz"; - sha256 = "1zyws91b1fsbfwn3f23ry9a9zr0i1a1hqmhk3v1qnlvp56gjayli"; + sha256 = "1hnh3f4scx07v9jfkr1nnxasmydk1cmivn0nijcp2p75bc1fznip"; }; nativeBuildInputs = [ pkgconfig ]; diff --git a/nixpkgs/pkgs/development/libraries/liburing/default.nix b/nixpkgs/pkgs/development/libraries/liburing/default.nix new file mode 100644 index 000000000000..143919d148e5 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/liburing/default.nix @@ -0,0 +1,40 @@ +{ stdenv, fetchgit +}: + +stdenv.mkDerivation rec { + name = "liburing-${version}"; + version = "1.0.0pre821_${builtins.substring 0 7 src.rev}"; + + src = fetchgit { + url = "http://git.kernel.dk/liburing"; + rev = "39e0ebd4fc66046bf733a47aaa899a556093ebc6"; + sha256 = "00c72fizxmwxd2jzmlzi4l82cw7h75lfpkkwzwcjpw9zdg9w0ci7"; + }; + + enableParallelBuilding = true; + + outputs = [ "out" "lib" "dev" "man" ]; + + installFlags = + [ "prefix=$(out)" + "includedir=$(dev)/include" + "libdir=$(lib)/lib" + ]; + + # Copy the examples into $out and man pages into $man. This should be handled + # by the build system in the future and submitted upstream. + postInstall = '' + mkdir -p $out/bin $man/share/man/man2/ + cp -R ./man/* $man/share/man/man2 + cp ./examples/io_uring-cp examples/io_uring-test $out/bin + ''; + + meta = with stdenv.lib; { + description = "Userspace library for the Linux io_uring API"; + homepage = http://git.kernel.dk/cgit/liburing/; + license = licenses.lgpl21; + platforms = platforms.linux; + maintainers = with maintainers; [ thoughtpolice ]; + badPlatforms = [ "aarch64-linux" ]; + }; +} diff --git a/nixpkgs/pkgs/development/libraries/libusbmuxd/default.nix b/nixpkgs/pkgs/development/libraries/libusbmuxd/default.nix index 4192e3c14d38..d9e008af9770 100644 --- a/nixpkgs/pkgs/development/libraries/libusbmuxd/default.nix +++ b/nixpkgs/pkgs/development/libraries/libusbmuxd/default.nix @@ -2,15 +2,15 @@ stdenv.mkDerivation rec { pname = "libusbmuxd"; - version = "2019-01-18"; + version = "2019-03-23"; name = "${pname}-${version}"; src = fetchFromGitHub { owner = "libimobiledevice"; repo = pname; - rev = "c75605d862cd1c312494f6c715246febc26b2e05"; - sha256 = "0467a045k4znmaz61i7a2s7yywj67q830ja6zn7z39k5pqcl2z4p"; + rev = "873252dc8b4e469c7dc692064ac616104fca5f65"; + sha256 = "0qx3q0n1f2ajfm3vnairikayzln6iyb2y0i7sqfl8mj45ahl6wyj"; }; nativeBuildInputs = [ autoreconfHook pkgconfig ]; @@ -21,6 +21,6 @@ stdenv.mkDerivation rec { homepage = https://github.com/libimobiledevice/libusbmuxd; license = licenses.lgpl21Plus; platforms = platforms.linux; - maintainers = with maintainers; [ ]; + maintainers = with maintainers; [ infinisil ]; }; } diff --git a/nixpkgs/pkgs/development/libraries/libuv/default.nix b/nixpkgs/pkgs/development/libraries/libuv/default.nix index 0a75fcbeda6c..7b8ea82e03de 100644 --- a/nixpkgs/pkgs/development/libraries/libuv/default.nix +++ b/nixpkgs/pkgs/development/libraries/libuv/default.nix @@ -1,14 +1,14 @@ { stdenv, lib, fetchpatch, fetchFromGitHub, autoconf, automake, libtool, pkgconfig, ApplicationServices, CoreServices }: stdenv.mkDerivation rec { - version = "1.26.0"; + version = "1.27.0"; pname = "libuv"; src = fetchFromGitHub { owner = pname; repo = pname; rev = "v${version}"; - sha256 = "08jvjyn5bp4xnzd5g4pi534mklm6hz5pw6wbzbaq3cnwb6a04iwj"; + sha256 = "1nhd3772qymlv0b251wg9rrqz279vki4hnd4s23yyll0kpmzkpac"; }; postPatch = let diff --git a/nixpkgs/pkgs/development/libraries/libvterm-neovim/default.nix b/nixpkgs/pkgs/development/libraries/libvterm-neovim/default.nix index 4e0e87c19a97..e00b3e1c7b3a 100644 --- a/nixpkgs/pkgs/development/libraries/libvterm-neovim/default.nix +++ b/nixpkgs/pkgs/development/libraries/libvterm-neovim/default.nix @@ -5,14 +5,14 @@ }: stdenv.mkDerivation rec { - name = "neovim-libvterm-${version}"; - version = "2017-11-05"; + pname = "libvterm-neovim"; + version = "2018-11-26"; src = fetchFromGitHub { owner = "neovim"; repo = "libvterm"; - rev = "4ca7ebf7d25856e90bc9d9cc49412e80be7c4ea8"; - sha256 = "05kyvvz8af90mvig11ya5xd8f4mbvapwyclyrihm9lwas706lzf6"; + rev = "f600f523545b7d4018ebf320e3273795dbe43c8a"; + sha256 = "08lxd8xs9cg4axgq6bkb7afjxg3s29s1a3niqqm4wjb7iyi2jx5b"; }; buildInputs = [ perl ]; diff --git a/nixpkgs/pkgs/development/libraries/libwacom/default.nix b/nixpkgs/pkgs/development/libraries/libwacom/default.nix index da367b52cc0d..a1e10b6d003d 100644 --- a/nixpkgs/pkgs/development/libraries/libwacom/default.nix +++ b/nixpkgs/pkgs/development/libraries/libwacom/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { name = "libwacom-${version}"; - version = "0.32"; + version = "0.33"; src = fetchFromGitHub { owner = "linuxwacom"; repo = "libwacom"; rev = "libwacom-${version}"; - sha256 = "15fz2z2h2awh2l08cv663s1zk4z8bmvvivwnnfvx2q8lkqgfkr7f"; + sha256 = "0np0a7rpnlm9iqw1i8ycz5mprin6bb99p4h522v9vjk4lhzsp34m"; }; nativeBuildInputs = [ pkgconfig autoreconfHook ]; diff --git a/nixpkgs/pkgs/development/libraries/libwebsockets/default.nix b/nixpkgs/pkgs/development/libraries/libwebsockets/default.nix index 023e08c336be..7c1d58d2980f 100644 --- a/nixpkgs/pkgs/development/libraries/libwebsockets/default.nix +++ b/nixpkgs/pkgs/development/libraries/libwebsockets/default.nix @@ -21,7 +21,7 @@ stdenv.mkDerivation rec { use minimal CPU and memory resources, and provide fast throughput in both directions. ''; - homepage = https://libwebsockets.org; + homepage = https://github.com/warmcat/libwebsockets; license = stdenv.lib.licenses.lgpl21; platforms = stdenv.lib.platforms.all; }; diff --git a/nixpkgs/pkgs/development/libraries/mono-addins/default.nix b/nixpkgs/pkgs/development/libraries/mono-addins/default.nix index 43311c29659b..58905cce82ab 100644 --- a/nixpkgs/pkgs/development/libraries/mono-addins/default.nix +++ b/nixpkgs/pkgs/development/libraries/mono-addins/default.nix @@ -20,7 +20,7 @@ stdenv.mkDerivation rec { dontStrip = true; meta = with stdenv.lib; { - homepage = http://www.mono-project.com/archived/monoaddins/; + homepage = https://www.mono-project.com/archived/monoaddins/; description = "A generic framework for creating extensible applications"; longDescription = '' Mono.Addins is a generic framework for creating extensible applications, diff --git a/nixpkgs/pkgs/development/libraries/mono-zeroconf/default.nix b/nixpkgs/pkgs/development/libraries/mono-zeroconf/default.nix index 37195d385627..ba9e2a741e40 100644 --- a/nixpkgs/pkgs/development/libraries/mono-zeroconf/default.nix +++ b/nixpkgs/pkgs/development/libraries/mono-zeroconf/default.nix @@ -18,7 +18,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "A cross platform Zero Configuration Networking library for Mono and .NET"; - homepage = http://www.mono-project.com/archived/monozeroconf/; + homepage = https://www.mono-project.com/archived/monozeroconf/; platforms = platforms.linux; license = licenses.mit; }; diff --git a/nixpkgs/pkgs/development/libraries/ndpi/default.nix b/nixpkgs/pkgs/development/libraries/ndpi/default.nix index 0fd531fa282f..913a4a087a97 100644 --- a/nixpkgs/pkgs/development/libraries/ndpi/default.nix +++ b/nixpkgs/pkgs/development/libraries/ndpi/default.nix @@ -1,6 +1,6 @@ { stdenv, fetchFromGitHub, which, autoconf, automake, libtool, libpcap }: -let version = "2.2"; in +let version = "2.8"; in stdenv.mkDerivation rec { name = "ndpi-${version}"; @@ -9,7 +9,7 @@ stdenv.mkDerivation rec { owner = "ntop"; repo = "nDPI"; rev = "${version}"; - sha256 = "06gg8lhn944arlczmv5i40jkjdnl1nrvsmvm843l9ybcswpayv4m"; + sha256 = "0lc4vga89pm954vf92g9fa6xwsjkb13jd6wrcc35zy5j04nf9rzf"; }; configureScript = "./autogen.sh"; diff --git a/nixpkgs/pkgs/development/libraries/nspr/default.nix b/nixpkgs/pkgs/development/libraries/nspr/default.nix index 9dfb3d3851f4..4772f1111aca 100644 --- a/nixpkgs/pkgs/development/libraries/nspr/default.nix +++ b/nixpkgs/pkgs/development/libraries/nspr/default.nix @@ -2,14 +2,14 @@ , CoreServices ? null , buildPackages }: -let version = "4.20"; in +let version = "4.21"; in stdenv.mkDerivation { name = "nspr-${version}"; src = fetchurl { url = "mirror://mozilla/nspr/releases/v${version}/src/nspr-${version}.tar.gz"; - sha256 = "0vjms4j75zvv5b2siyafg7hh924ysx2cwjad8spzp7x87n8n929c"; + sha256 = "0nkbgk0x31nfm4xl8la0a3vrnpa8gzkh7g4k65p7n880n73k5shm"; }; patches = [ diff --git a/nixpkgs/pkgs/development/libraries/openal-soft/default.nix b/nixpkgs/pkgs/development/libraries/openal-soft/default.nix index 3835822673ce..3c082926e06c 100644 --- a/nixpkgs/pkgs/development/libraries/openal-soft/default.nix +++ b/nixpkgs/pkgs/development/libraries/openal-soft/default.nix @@ -33,7 +33,7 @@ stdenv.mkDerivation rec { meta = { description = "OpenAL alternative"; - homepage = http://kcat.strangesoft.net/openal.html; + homepage = https://kcat.strangesoft.net/openal.html; license = licenses.lgpl2; maintainers = with maintainers; [ftrvxmtrx]; platforms = platforms.unix; diff --git a/nixpkgs/pkgs/development/libraries/openjpeg/2.x.nix b/nixpkgs/pkgs/development/libraries/openjpeg/2.x.nix index 3aa2fdf0dd25..e865e2e8549d 100644 --- a/nixpkgs/pkgs/development/libraries/openjpeg/2.x.nix +++ b/nixpkgs/pkgs/development/libraries/openjpeg/2.x.nix @@ -1,10 +1,10 @@ { callPackage, fetchpatch, ... } @ args: callPackage ./generic.nix (args // rec { - version = "2.3.0"; + version = "2.3.1"; branch = "2.3"; revision = "v${version}"; - sha256 = "08plxrnfl33sn2vh5nwbsngyv6b1sfpplvx881crm1v1ai10m2lz"; + sha256 = "1dn98d2dfa1lqyxxmab6rrcv52dyhjr4g7i4xf2w54fqsx14ynrb"; extraFlags = [ "-DOPENJPEG_INSTALL_INCLUDE_DIR=${placeholder "dev"}/include/openjpeg-${branch}" @@ -12,20 +12,6 @@ callPackage ./generic.nix (args // rec { ]; patches = [ - (fetchpatch { - name = "CVE-2018-7648.patch"; - url = "https://github.com/uclouvain/openjpeg/commit/cc3824767bde397fedb8a1ae4786a222ba860c8d.patch"; - sha256 = "1j5nxmlgyfkxldk2f1ij6h850xw45q3b5brxqa04dxsfsv8cdj5j"; - }) - (fetchpatch { - name = "CVE-2017-17480+CVE-2018-18088.patch"; - url = "https://github.com/uclouvain/openjpeg/commit/92023cd6c377e0384a7725949b25655d4d94dced.patch"; - sha256 = "0rrxxqcp3vjkmvywxj9ac766m3fppy0x0nszrkf8irrqy1gnp38k"; - }) - (fetchpatch { - url = https://github.com/uclouvain/openjpeg/commit/24fd3ce777a64b8b315cfe1ee642ec7b1cc6aa97.patch; - sha256 = "1sl5wplgdfiqw8qbd8s5j5hq3ynbwgsnv8nxqfrk5s7vyrzx9pxv"; - }) ./fix-cmake-config-includedir.patch ]; }) diff --git a/nixpkgs/pkgs/development/libraries/openldap/default.nix b/nixpkgs/pkgs/development/libraries/openldap/default.nix index 0a5f83d1c7c6..04988debb723 100644 --- a/nixpkgs/pkgs/development/libraries/openldap/default.nix +++ b/nixpkgs/pkgs/development/libraries/openldap/default.nix @@ -1,11 +1,11 @@ { stdenv, fetchurl, openssl, cyrus_sasl, db, groff, libtool }: stdenv.mkDerivation rec { - name = "openldap-2.4.46"; + name = "openldap-2.4.47"; src = fetchurl { url = "https://www.openldap.org/software/download/OpenLDAP/openldap-release/${name}.tgz"; - sha256 = "0bab1km8f2nan1x0zgwliknbxg0zlf2pafxrr867kblrdfwdr44s"; + sha256 = "02sj0p1pq12hqq29b22m3f5zs2rykgvc0q3wlynxjcsjhrvmhk7m"; }; # TODO: separate "out" and "bin" diff --git a/nixpkgs/pkgs/development/libraries/openvdb/default.nix b/nixpkgs/pkgs/development/libraries/openvdb/default.nix index 8058891615f2..aa8032c52800 100644 --- a/nixpkgs/pkgs/development/libraries/openvdb/default.nix +++ b/nixpkgs/pkgs/development/libraries/openvdb/default.nix @@ -41,7 +41,7 @@ stdenv.mkDerivation rec meta = with stdenv.lib; { description = "An open framework for voxel"; - homepage = "http://www.openvdb.org"; + homepage = "https://www.openvdb.org"; maintainers = [ maintainers.guibou ]; platforms = platforms.linux; license = licenses.mpl20; diff --git a/nixpkgs/pkgs/development/libraries/pcre/default.nix b/nixpkgs/pkgs/development/libraries/pcre/default.nix index f9bd4ae91b46..6d2896ac3396 100644 --- a/nixpkgs/pkgs/development/libraries/pcre/default.nix +++ b/nixpkgs/pkgs/development/libraries/pcre/default.nix @@ -8,7 +8,7 @@ with stdenv.lib; assert elem variant [ null "cpp" "pcre16" "pcre32" ]; let - version = "8.42"; + version = "8.43"; pname = if (variant == null) then "pcre" else if (variant == "cpp") then "pcre-cpp" else variant; @@ -18,7 +18,7 @@ in stdenv.mkDerivation rec { src = fetchurl { url = "https://ftp.pcre.org/pub/pcre/pcre-${version}.tar.bz2"; - sha256 = "00ckpzlgyr16bnqx8fawa3afjgqxw5yxgs2l081vw23qi1y4pl1c"; + sha256 = "0sxg1wlknq05ryq63h21cchjmcjkin9lmnqsmhs3h08301965rwi"; }; outputs = [ "bin" "dev" "out" "doc" "man" ]; diff --git a/nixpkgs/pkgs/development/libraries/phonon/default.nix b/nixpkgs/pkgs/development/libraries/phonon/default.nix index 82574864ec43..4d68d3718c0a 100644 --- a/nixpkgs/pkgs/development/libraries/phonon/default.nix +++ b/nixpkgs/pkgs/development/libraries/phonon/default.nix @@ -6,7 +6,7 @@ with lib; let - v = "4.10.1"; + v = "4.10.2"; soname = if withQt5 then "phonon4qt5" else "phonon"; buildsystemdir = "share/cmake/${soname}"; @@ -28,7 +28,7 @@ stdenv.mkDerivation rec { src = fetchurl { url = "mirror://kde/stable/phonon/${v}/phonon-${v}.tar.xz"; - sha256 = "1dwdw0hm6685psrp7v9frhkhqvsxrbdnm3gw794j5z7g3brqvag5"; + sha256 = "02c8fyyvg5qb0lxwxmnxc5grkg6p3halakjf02vmwmvqaycb3v9l"; }; buildInputs = diff --git a/nixpkgs/pkgs/development/libraries/physics/hepmc3/default.nix b/nixpkgs/pkgs/development/libraries/physics/hepmc3/default.nix index 972dfdd7ad1a..c4717800f70d 100644 --- a/nixpkgs/pkgs/development/libraries/physics/hepmc3/default.nix +++ b/nixpkgs/pkgs/development/libraries/physics/hepmc3/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "hepmc3-${version}"; - version = "3.1.0"; + version = "3.1.1"; src = fetchurl { url = "http://hepmc.web.cern.ch/hepmc/releases/HepMC3-${version}.tar.gz"; - sha256 = "12kzdqdbq7md0nn58jvilhh00yddfir65f0q2026k0ym37bfwdyd"; + sha256 = "1fs8ha5issls886g03azpwamry1k633zjrcx51v7g7vg9nbckjrg"; }; buildInputs = [ cmake root ]; diff --git a/nixpkgs/pkgs/development/libraries/proj/default.nix b/nixpkgs/pkgs/development/libraries/proj/default.nix index 55af1d8573a1..8fb4515e70da 100644 --- a/nixpkgs/pkgs/development/libraries/proj/default.nix +++ b/nixpkgs/pkgs/development/libraries/proj/default.nix @@ -12,7 +12,7 @@ stdenv.mkDerivation { meta = with stdenv.lib; { description = "Cartographic Projections Library"; - homepage = http://trac.osgeo.org/proj/; + homepage = https://proj4.org; license = licenses.mit; platforms = platforms.linux ++ platforms.darwin; maintainers = with maintainers; [ vbgl ]; diff --git a/nixpkgs/pkgs/development/libraries/protobuf/3.7.nix b/nixpkgs/pkgs/development/libraries/protobuf/3.7.nix index 8a3f9a563212..9bfb307e3286 100644 --- a/nixpkgs/pkgs/development/libraries/protobuf/3.7.nix +++ b/nixpkgs/pkgs/development/libraries/protobuf/3.7.nix @@ -1,6 +1,6 @@ { callPackage, ... }: callPackage ./generic-v3.nix { - version = "3.7.0"; - sha256 = "0nlxif4cajqllsj2vdh7zp14ag48fb8lsa64zmq8625q9m2lcmdh"; + version = "3.7.1"; + sha256 = "00zkijvj80bmhlj8290x4bc416mng2dlbzwc4bkvfmbal1fx93m2"; } diff --git a/nixpkgs/pkgs/development/libraries/qtstyleplugin-kvantum-qt4/default.nix b/nixpkgs/pkgs/development/libraries/qtstyleplugin-kvantum-qt4/default.nix index 2f0e39eb5c90..88330712dcd4 100644 --- a/nixpkgs/pkgs/development/libraries/qtstyleplugin-kvantum-qt4/default.nix +++ b/nixpkgs/pkgs/development/libraries/qtstyleplugin-kvantum-qt4/default.nix @@ -1,14 +1,14 @@ { stdenv, fetchFromGitHub, qmake4Hook , qt4, libX11, libXext }: stdenv.mkDerivation rec { - name = "qtstyleplugin-kvantum-qt4-${version}"; - version = "0.10.4"; + pname = "qtstyleplugin-kvantum-qt4"; + version = "0.11.0"; src = fetchFromGitHub { owner = "tsujan"; repo = "Kvantum"; - rev = "0527bb03f2252269fd382e11181a34ca72c96b4b"; - sha256 = "0ky44s1fgqxraywagx1mv07yz76ppgiz3prq447db78wkwqg2d8p"; + rev = "V${version}"; + sha256 = "0aqlv7mj7r9vjm6pvb8pv3cyx35lgz8rjjas3k8wfdr3sqyyy25g"; }; nativeBuildInputs = [ qmake4Hook ]; diff --git a/nixpkgs/pkgs/development/libraries/qtstyleplugin-kvantum/default.nix b/nixpkgs/pkgs/development/libraries/qtstyleplugin-kvantum/default.nix index 39f7fa91b02e..dc11cb560910 100644 --- a/nixpkgs/pkgs/development/libraries/qtstyleplugin-kvantum/default.nix +++ b/nixpkgs/pkgs/development/libraries/qtstyleplugin-kvantum/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "qtstyleplugin-kvantum"; - version = "0.10.9"; + version = "0.11.0"; src = fetchFromGitHub { owner = "tsujan"; repo = "Kvantum"; rev = "V${version}"; - sha256 = "1zpq6wsl57kfx0jf0rkxf15ic22ihazj03i3kfiqb07vcrs2cka9"; + sha256 = "0aqlv7mj7r9vjm6pvb8pv3cyx35lgz8rjjas3k8wfdr3sqyyy25g"; }; nativeBuildInputs = [ qmake qttools ]; diff --git a/nixpkgs/pkgs/development/libraries/quazip/default.nix b/nixpkgs/pkgs/development/libraries/quazip/default.nix index ae858738ec16..b53335f45c34 100644 --- a/nixpkgs/pkgs/development/libraries/quazip/default.nix +++ b/nixpkgs/pkgs/development/libraries/quazip/default.nix @@ -1,22 +1,23 @@ -{ fetchurl, stdenv, zlib, qtbase, qmake }: +{ fetchFromGitHub, stdenv, zlib, qtbase, qmake }: stdenv.mkDerivation rec { - name = "quazip-0.7.3"; + pname = "quazip"; + version = "0.7.6"; - src = fetchurl { - url = "mirror://sourceforge/quazip/${name}.tar.gz"; - sha256 = "1db9w8ax1ki0p67a47h4cnbwfgi2di4y3k9nc3a610kffiag7m1a"; + src = fetchFromGitHub { + owner = "stachenov"; + repo = pname; + rev = version; + sha256 = "1p6khy8fn9bwp14l6wd3sniwwm5v216l8xncfb7a6psjzvq5ypy6"; }; - preConfigure = "cd quazip"; - buildInputs = [ zlib qtbase ]; nativeBuildInputs = [ qmake ]; meta = { description = "Provides access to ZIP archives from Qt programs"; license = stdenv.lib.licenses.gpl2Plus; - homepage = http://quazip.sourceforge.net/; + homepage = https://stachenov.github.io/quazip/; # Migrated from http://quazip.sourceforge.net/ platforms = stdenv.lib.platforms.linux; }; } diff --git a/nixpkgs/pkgs/development/libraries/science/math/cudnn/default.nix b/nixpkgs/pkgs/development/libraries/science/math/cudnn/default.nix index b41469c215e2..a33e3ec20096 100644 --- a/nixpkgs/pkgs/development/libraries/science/math/cudnn/default.nix +++ b/nixpkgs/pkgs/development/libraries/science/math/cudnn/default.nix @@ -59,10 +59,10 @@ in rec { cudnn_cudatoolkit_9 = cudnn_cudatoolkit_9_2; cudnn_cudatoolkit_10_0 = generic rec { - version = "7.3.1"; + version = "7.4.2"; cudatoolkit = cudatoolkit_10_0; - srcName = "cudnn-${cudatoolkit.majorVersion}-linux-x64-v7.3.1.20.tgz"; - sha256 = "1yp35mng4ym40g5rqp63dcpa6jg4q1pnjkspnhlakzzdy8is65af"; + srcName = "cudnn-${cudatoolkit.majorVersion}-linux-x64-v7.4.2.24.tgz"; + sha256 = "18ys0apiz9afid2s6lvy9qbyi8g66aimb2a7ikl1f3dm09mciprf"; }; cudnn_cudatoolkit_10 = cudnn_cudatoolkit_10_0; diff --git a/nixpkgs/pkgs/development/libraries/science/math/fenics/default.nix b/nixpkgs/pkgs/development/libraries/science/math/fenics/default.nix index 1825a6f1bb25..6c939d9a3a43 100644 --- a/nixpkgs/pkgs/development/libraries/science/math/fenics/default.nix +++ b/nixpkgs/pkgs/development/libraries/science/math/fenics/default.nix @@ -42,7 +42,7 @@ let ''; meta = { description = "Distributed just-in-time shared library building"; - homepage = http://fenicsproject.org/; + homepage = https://fenicsproject.org/; platforms = stdenv.lib.platforms.all; license = stdenv.lib.licenses.lgpl3; }; @@ -60,7 +60,7 @@ let ''; meta = { description = "Automatic generation of finite element basis functions"; - homepage = http://fenicsproject.org/; + homepage = https://fenicsproject.org/; platforms = stdenv.lib.platforms.all; license = stdenv.lib.licenses.lgpl3; }; diff --git a/nixpkgs/pkgs/development/libraries/science/math/or-tools/default.nix b/nixpkgs/pkgs/development/libraries/science/math/or-tools/default.nix index 415e57e5d3cf..ba6827bf6947 100644 --- a/nixpkgs/pkgs/development/libraries/science/math/or-tools/default.nix +++ b/nixpkgs/pkgs/development/libraries/science/math/or-tools/default.nix @@ -1,15 +1,17 @@ -{ stdenv, fetchFromGitHub, cmake, google-gflags, which -, lsb-release, glog, protobuf, cbc, zlib, python3 }: +{ stdenv, fetchFromGitHub, cmake, abseil-cpp, google-gflags, which +, lsb-release, glog, protobuf, cbc, zlib +, ensureNewerSourcesForZipFilesHook, python, swig +, pythonProtobuf }: stdenv.mkDerivation rec { name = "or-tools-${version}"; - version = "v6.10"; + version = "v7.0"; src = fetchFromGitHub { owner = "google"; repo = "or-tools"; rev = version; - sha256 = "11k3671rpv968dsglc6bgarr9yi8ijaaqm2wq3m0rn4wy8fj7za2"; + sha256 = "09rs2j3w4ljw9qhhnsjlvfii297njjszwvkbgj1i6kns3wnlr7cp"; }; # The original build system uses cmake which does things like pull @@ -18,6 +20,7 @@ stdenv.mkDerivation rec { # dependencies straight from nixpkgs and use the make build method. configurePhase = '' cat <<EOF > Makefile.local + UNIX_ABSL_DIR=${abseil-cpp} UNIX_GFLAGS_DIR=${google-gflags} UNIX_GLOG_DIR=${glog} UNIX_PROTOBUF_DIR=${protobuf} @@ -25,23 +28,36 @@ stdenv.mkDerivation rec { EOF ''; - makeFlags = [ "prefix=${placeholder "out"}" ]; - buildFlags = [ "cc" ]; + makeFlags = [ + "prefix=${placeholder "out"}" + "PROTOBUF_PYTHON_DESC=${pythonProtobuf}/${python.sitePackages}/google/protobuf/descriptor_pb2.py" + ]; + buildFlags = [ "cc" "pypi_archive" ]; checkTarget = "test_cc"; doCheck = true; installTargets = [ "install_cc" ]; + # The upstream install_python target installs to $HOME. + postInstall = '' + mkdir -p "$python/${python.sitePackages}" + (cd temp_python/ortools; PYTHONPATH="$python/${python.sitePackages}:$PYTHONPATH" python setup.py install '--prefix=$python') + ''; nativeBuildInputs = [ - cmake lsb-release which zlib python3 + cmake lsb-release swig which zlib python + ensureNewerSourcesForZipFilesHook + python.pkgs.setuptools python.pkgs.wheel ]; propagatedBuildInputs = [ - google-gflags glog protobuf cbc + abseil-cpp google-gflags glog protobuf cbc + pythonProtobuf python.pkgs.six ]; enableParallelBuilding = true; + outputs = [ "out" "python" ]; + meta = with stdenv.lib; { homepage = https://github.com/google/or-tools; license = licenses.asl20; diff --git a/nixpkgs/pkgs/development/libraries/science/math/or-tools/gflags-include.patch b/nixpkgs/pkgs/development/libraries/science/math/or-tools/gflags-include.patch deleted file mode 100644 index 08f76180638b..000000000000 --- a/nixpkgs/pkgs/development/libraries/science/math/or-tools/gflags-include.patch +++ /dev/null @@ -1,12 +0,0 @@ -diff --git a/ortools/data/jobshop_scheduling_parser.cc b/ortools/data/jobshop_scheduling_parser.cc -index cb0a360b..c2f055eb 100644 ---- a/ortools/data/jobshop_scheduling_parser.cc -+++ b/ortools/data/jobshop_scheduling_parser.cc -@@ -14,6 +14,7 @@ - #include "ortools/data/jobshop_scheduling_parser.h" - - #include <cmath> -+#include <gflags/gflags.h> - - #include "google/protobuf/wrappers.pb.h" - #include "ortools/base/filelineiter.h" diff --git a/nixpkgs/pkgs/development/libraries/seasocks/default.nix b/nixpkgs/pkgs/development/libraries/seasocks/default.nix new file mode 100644 index 000000000000..c6bb2afc2705 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/seasocks/default.nix @@ -0,0 +1,24 @@ +{ stdenv, fetchFromGitHub, cmake, python, zlib }: + +stdenv.mkDerivation rec { + pname = "seasocks"; + version = "1.4.2"; + + src = fetchFromGitHub { + owner = "mattgodbolt"; + repo = pname; + rev = "v${version}"; + sha256 = "1vzdhp61bq2bddz7kkpygdq5adxdspjw1q6a03j6qyyimapblrg8"; + }; + + nativeBuildInputs = [ cmake ]; + buildInputs = [ zlib python ]; + + meta = with stdenv.lib; { + homepage = https://github.com/mattgodbolt/seasocks; + description = "Tiny embeddable C++ HTTP and WebSocket server"; + license = licenses.bsd2; + platforms = platforms.linux; + maintainers = with maintainers; [ fredeb ]; + }; +} diff --git a/nixpkgs/pkgs/development/libraries/sfml/default.nix b/nixpkgs/pkgs/development/libraries/sfml/default.nix index ff59bdcdf92e..8e1b9ae394b5 100644 --- a/nixpkgs/pkgs/development/libraries/sfml/default.nix +++ b/nixpkgs/pkgs/development/libraries/sfml/default.nix @@ -30,7 +30,7 @@ stdenv.mkDerivation rec { "-DSFML_USE_SYSTEM_DEPS=yes" ]; meta = with stdenv.lib; { - homepage = http://www.sfml-dev.org/; + homepage = https://www.sfml-dev.org/; description = "Simple and fast multimedia library"; longDescription = '' SFML is a simple, fast, cross-platform and object-oriented multimedia API. diff --git a/nixpkgs/pkgs/development/libraries/vaapi-intel/default.nix b/nixpkgs/pkgs/development/libraries/vaapi-intel/default.nix index 4780ffb7519c..14d49c3d0f0b 100644 --- a/nixpkgs/pkgs/development/libraries/vaapi-intel/default.nix +++ b/nixpkgs/pkgs/development/libraries/vaapi-intel/default.nix @@ -5,13 +5,16 @@ stdenv.mkDerivation rec { name = "intel-vaapi-driver-${version}"; - version = "2.3.0"; # generally try to match libva version, but not required + # TODO: go back to stable releases with the next stable release after 2.3.0. + # see: https://github.com/NixOS/nixpkgs/issues/55975 (and the libva comment v) + rev = "329975c63123610fc750241654a3bd18add75beb"; # generally try to match libva version, but not required + version = "git-20190211"; src = fetchFromGitHub { owner = "intel"; repo = "intel-vaapi-driver"; - rev = version; - sha256 = "0s6cz9grymll96s7n2rpzvb3b566a2n21nfp6b23r926db089kjd"; + rev = rev; + sha256 = "10333wh2d0hvz5lxl3gjvqs71s7v9ajb0269b3bj5kbflj03v3n5"; }; patchPhase = '' diff --git a/nixpkgs/pkgs/development/libraries/vulkan-headers/default.nix b/nixpkgs/pkgs/development/libraries/vulkan-headers/default.nix index 5a3216583085..f7c1bf9a65b9 100644 --- a/nixpkgs/pkgs/development/libraries/vulkan-headers/default.nix +++ b/nixpkgs/pkgs/development/libraries/vulkan-headers/default.nix @@ -1,7 +1,7 @@ { stdenv, fetchFromGitHub, cmake }: stdenv.mkDerivation rec { name = "vulkan-headers-${version}"; - version = "1.1.101.0"; + version = "1.1.106"; buildInputs = [ cmake ]; @@ -9,7 +9,7 @@ stdenv.mkDerivation rec { owner = "KhronosGroup"; repo = "Vulkan-Headers"; rev = "sdk-${version}"; - sha256 = "1rrpkibi4lnd9j63aarjk74z0xf4sy30zh8psq1vj92r21hfjr6m"; + sha256 = "0idw7q715ikj575qmspvgq2gzc6c1sj581b8z3xnv6wz9qbzrmsd"; }; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/libraries/vulkan-loader/default.nix b/nixpkgs/pkgs/development/libraries/vulkan-loader/default.nix index 04266361ea52..7d53065ba324 100644 --- a/nixpkgs/pkgs/development/libraries/vulkan-loader/default.nix +++ b/nixpkgs/pkgs/development/libraries/vulkan-loader/default.nix @@ -2,7 +2,7 @@ , xlibsWrapper, libxcb, libXrandr, libXext, wayland, libGL_driver }: let - version = "1.1.101.0"; + version = "1.1.106"; in assert version == vulkan-headers.version; @@ -14,7 +14,7 @@ stdenv.mkDerivation rec { owner = "KhronosGroup"; repo = "Vulkan-Loader"; rev = "sdk-${version}"; - sha256 = "0x891bha9mlsh4cvq59d1qnb4fnalwf6ml2b9y221cr7hikilamw"; + sha256 = "0zhrwj1gi90x2w8gaaaw5h4b969a8gfy244kn0drrplhhb1nqz3b"; }; nativeBuildInputs = [ pkgconfig ]; diff --git a/nixpkgs/pkgs/development/libraries/yajl/default.nix b/nixpkgs/pkgs/development/libraries/yajl/default.nix index 02e1e96cabd1..f9ce555cf27d 100644 --- a/nixpkgs/pkgs/development/libraries/yajl/default.nix +++ b/nixpkgs/pkgs/development/libraries/yajl/default.nix @@ -4,7 +4,7 @@ stdenv.mkDerivation rec { name = "yajl-2.1.0"; src = fetchurl { - url = http://github.com/lloyd/yajl/tarball/2.1.0; + url = https://github.com/lloyd/yajl/tarball/2.1.0; name = "${name}.tar.gz"; sha256 = "0f6yrjc05aa26wfi7lqn2gslm19m6rm81b30ksllpkappvh162ji"; }; diff --git a/nixpkgs/pkgs/development/lua-modules/generated-packages.nix b/nixpkgs/pkgs/development/lua-modules/generated-packages.nix index b36eb02e6554..db3f41edaffa 100644 --- a/nixpkgs/pkgs/development/lua-modules/generated-packages.nix +++ b/nixpkgs/pkgs/development/lua-modules/generated-packages.nix @@ -143,7 +143,7 @@ lgi = buildLuarocksPackage { buildType="make"; meta = { - homepage = "http://github.com/pavouk/lgi"; + homepage = "https://github.com/pavouk/lgi"; description="Lua bindings to GObject libraries"; license = { fullName = "MIT/X11"; @@ -203,7 +203,7 @@ lrexlib-gnu = buildLuarocksPackage { buildType="builtin"; meta = { - homepage = "http://github.com/rrthomas/lrexlib"; + homepage = "https://github.com/rrthomas/lrexlib"; description="Regular expression library binding (GNU flavour)."; license = { fullName = "MIT/X11"; @@ -223,7 +223,7 @@ lrexlib-posix = buildLuarocksPackage { buildType="builtin"; meta = { - homepage = "http://github.com/rrthomas/lrexlib"; + homepage = "https://github.com/rrthomas/lrexlib"; description="Regular expression library binding (POSIX flavour)."; license = { fullName = "MIT/X11"; @@ -273,7 +273,7 @@ lua-cmsgpack = buildLuarocksPackage { buildType="builtin"; meta = { - homepage = "http://github.com/antirez/lua-cmsgpack"; + homepage = "https://github.com/antirez/lua-cmsgpack"; description="MessagePack C implementation and bindings for Lua 5.1/5.2/5.3"; license = { fullName = "Two-clause BSD"; diff --git a/nixpkgs/pkgs/development/mobile/cocoapods/Gemfile b/nixpkgs/pkgs/development/mobile/cocoapods/Gemfile index 8308684860e6..329b51518e4c 100644 --- a/nixpkgs/pkgs/development/mobile/cocoapods/Gemfile +++ b/nixpkgs/pkgs/development/mobile/cocoapods/Gemfile @@ -1,2 +1,3 @@ -source 'https://rubygems.org' -gem 'cocoapods' +source 'https://rubygems.org' do + gem 'cocoapods' +end diff --git a/nixpkgs/pkgs/development/mobile/cocoapods/Gemfile-beta b/nixpkgs/pkgs/development/mobile/cocoapods/Gemfile-beta new file mode 100644 index 000000000000..dd4b350b4372 --- /dev/null +++ b/nixpkgs/pkgs/development/mobile/cocoapods/Gemfile-beta @@ -0,0 +1,5 @@ +source 'https://rubygems.org' do + # We need to specify a version including prerelease to pick up prereleases. + # This should pick up all future releases / prereleases. + gem 'cocoapods', '>=1.7.0.beta.1' +end diff --git a/nixpkgs/pkgs/development/mobile/cocoapods/Gemfile-beta.lock b/nixpkgs/pkgs/development/mobile/cocoapods/Gemfile-beta.lock new file mode 100644 index 000000000000..37b8602b4b4c --- /dev/null +++ b/nixpkgs/pkgs/development/mobile/cocoapods/Gemfile-beta.lock @@ -0,0 +1,76 @@ +GEM + remote: https://rubygems.org/ + specs: + CFPropertyList (3.0.0) + activesupport (4.2.11.1) + i18n (~> 0.7) + minitest (~> 5.1) + thread_safe (~> 0.3, >= 0.3.4) + tzinfo (~> 1.1) + atomos (0.1.3) + claide (1.0.2) + cocoapods (1.7.0.beta.3) + activesupport (>= 4.0.2, < 5) + claide (>= 1.0.2, < 2.0) + cocoapods-core (= 1.7.0.beta.3) + cocoapods-deintegrate (>= 1.0.3, < 2.0) + cocoapods-downloader (>= 1.2.2, < 2.0) + cocoapods-plugins (>= 1.0.0, < 2.0) + cocoapods-search (>= 1.0.0, < 2.0) + cocoapods-stats (>= 1.0.0, < 2.0) + cocoapods-trunk (>= 1.3.1, < 2.0) + cocoapods-try (>= 1.1.0, < 2.0) + colored2 (~> 3.1) + escape (~> 0.0.4) + fourflusher (>= 2.2.0, < 3.0) + gh_inspector (~> 1.0) + molinillo (~> 0.6.6) + nap (~> 1.0) + ruby-macho (~> 1.4) + xcodeproj (>= 1.8.2, < 2.0) + cocoapods-core (1.7.0.beta.3) + activesupport (>= 4.0.2, < 6) + fuzzy_match (~> 2.0.4) + nap (~> 1.0) + cocoapods-deintegrate (1.0.4) + cocoapods-downloader (1.2.2) + cocoapods-plugins (1.0.0) + nap + cocoapods-search (1.0.0) + cocoapods-stats (1.1.0) + cocoapods-trunk (1.3.1) + nap (>= 0.8, < 2.0) + netrc (~> 0.11) + cocoapods-try (1.1.0) + colored2 (3.1.2) + concurrent-ruby (1.1.5) + escape (0.0.4) + fourflusher (2.2.0) + fuzzy_match (2.0.4) + gh_inspector (1.1.3) + i18n (0.9.5) + concurrent-ruby (~> 1.0) + minitest (5.11.3) + molinillo (0.6.6) + nanaimo (0.2.6) + nap (1.1.0) + netrc (0.11.0) + ruby-macho (1.4.0) + thread_safe (0.3.6) + tzinfo (1.2.5) + thread_safe (~> 0.1) + xcodeproj (1.8.2) + CFPropertyList (>= 2.3.3, < 4.0) + atomos (~> 0.1.3) + claide (>= 1.0.2, < 2.0) + colored2 (~> 3.1) + nanaimo (~> 0.2.6) + +PLATFORMS + ruby + +DEPENDENCIES + cocoapods (>= 1.7.0.beta.1)! + +BUNDLED WITH + 1.17.2 diff --git a/nixpkgs/pkgs/development/mobile/cocoapods/Gemfile.lock b/nixpkgs/pkgs/development/mobile/cocoapods/Gemfile.lock index 89ba7cec32f7..9145ca181883 100644 --- a/nixpkgs/pkgs/development/mobile/cocoapods/Gemfile.lock +++ b/nixpkgs/pkgs/development/mobile/cocoapods/Gemfile.lock @@ -2,7 +2,7 @@ GEM remote: https://rubygems.org/ specs: CFPropertyList (3.0.0) - activesupport (4.2.11) + activesupport (4.2.11.1) i18n (~> 0.7) minitest (~> 5.1) thread_safe (~> 0.3, >= 0.3.4) @@ -32,7 +32,7 @@ GEM activesupport (>= 4.0.2, < 6) fuzzy_match (~> 2.0.4) nap (~> 1.0) - cocoapods-deintegrate (1.0.3) + cocoapods-deintegrate (1.0.4) cocoapods-downloader (1.2.2) cocoapods-plugins (1.0.0) nap @@ -43,7 +43,7 @@ GEM netrc (~> 0.11) cocoapods-try (1.1.0) colored2 (3.1.2) - concurrent-ruby (1.1.4) + concurrent-ruby (1.1.5) escape (0.0.4) fourflusher (2.2.0) fuzzy_match (2.0.4) @@ -59,7 +59,7 @@ GEM thread_safe (0.3.6) tzinfo (1.2.5) thread_safe (~> 0.1) - xcodeproj (1.8.1) + xcodeproj (1.8.2) CFPropertyList (>= 2.3.3, < 4.0) atomos (~> 0.1.3) claide (>= 1.0.2, < 2.0) @@ -70,7 +70,7 @@ PLATFORMS ruby DEPENDENCIES - cocoapods + cocoapods! BUNDLED WITH 1.17.2 diff --git a/nixpkgs/pkgs/development/mobile/cocoapods/default.nix b/nixpkgs/pkgs/development/mobile/cocoapods/default.nix index 56624370b14a..9a816261b3e7 100644 --- a/nixpkgs/pkgs/development/mobile/cocoapods/default.nix +++ b/nixpkgs/pkgs/development/mobile/cocoapods/default.nix @@ -1,9 +1,13 @@ -{ lib, bundlerEnv, ruby }: +{ lib, bundlerApp, ruby +, beta ? false }: -bundlerEnv rec { +bundlerApp rec { inherit ruby; pname = "cocoapods"; - gemdir = ./.; + gemfile = if beta then ./Gemfile-beta else ./Gemfile; + lockfile = if beta then ./Gemfile-beta.lock else ./Gemfile.lock; + gemset = if beta then ./gemset-beta.nix else ./gemset.nix; + exes = [ "pod" ]; meta = with lib; { description = "CocoaPods manages dependencies for your Xcode projects."; @@ -12,6 +16,7 @@ bundlerEnv rec { platforms = platforms.darwin; maintainers = with maintainers; [ peterromfeldhk + lilyball ]; }; } diff --git a/nixpkgs/pkgs/development/mobile/cocoapods/gemset-beta.nix b/nixpkgs/pkgs/development/mobile/cocoapods/gemset-beta.nix new file mode 100644 index 000000000000..0b207415eb55 --- /dev/null +++ b/nixpkgs/pkgs/development/mobile/cocoapods/gemset-beta.nix @@ -0,0 +1,300 @@ +{ + activesupport = { + dependencies = ["i18n" "minitest" "thread_safe" "tzinfo"]; + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "1vbq7a805bfvyik2q3kl9s3r418f5qzvysqbz2cwy4hr7m2q4ir6"; + type = "gem"; + }; + version = "4.2.11.1"; + }; + atomos = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "17vq6sjyswr5jfzwdccw748kgph6bdw30bakwnn6p8sl4hpv4hvx"; + type = "gem"; + }; + version = "0.1.3"; + }; + CFPropertyList = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "0ykjag3k5msz3sf1j91rb55da2xh596y06m3a4yl79fiy2id0w9z"; + type = "gem"; + }; + version = "3.0.0"; + }; + claide = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "0az54rp691hc42yl1xyix2cxv58byhaaf4gxbpghvvq29l476rzc"; + type = "gem"; + }; + version = "1.0.2"; + }; + cocoapods = { + dependencies = ["activesupport" "claide" "cocoapods-core" "cocoapods-deintegrate" "cocoapods-downloader" "cocoapods-plugins" "cocoapods-search" "cocoapods-stats" "cocoapods-trunk" "cocoapods-try" "colored2" "escape" "fourflusher" "gh_inspector" "molinillo" "nap" "ruby-macho" "xcodeproj"]; + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "03xnnj5di75wqsd1c9ca9gfnzc4lqr8k2cx5gca5979b7kxb2x1z"; + type = "gem"; + }; + version = "1.7.0.beta.3"; + }; + cocoapods-core = { + dependencies = ["activesupport" "fuzzy_match" "nap"]; + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "1wwnjdcwj4nc1cjl9pf0dlh83x2s6x0v491fpcmw3342vbs0v4sq"; + type = "gem"; + }; + version = "1.7.0.beta.3"; + }; + cocoapods-deintegrate = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "0bf524f1za92i6rlr4cr6jm3c4vfjszsdc9lsr6wk5125c76ipzn"; + type = "gem"; + }; + version = "1.0.4"; + }; + cocoapods-downloader = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "09fd4zaqkz8vz3djplacngcs4n0j6j956wgq43s1y6bwl0zyjmd3"; + type = "gem"; + }; + version = "1.2.2"; + }; + cocoapods-plugins = { + dependencies = ["nap"]; + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "16na82sfyc8801qs1n22nwq486s4j7yj6rj7fcp8cbxmj371fpbj"; + type = "gem"; + }; + version = "1.0.0"; + }; + cocoapods-search = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "02wmy5rbjk29c65zn62bffxv30qs11slql23qx65snkm0vd93mn6"; + type = "gem"; + }; + version = "1.0.0"; + }; + cocoapods-stats = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "1xhdh5v94p6l612rwrk290nd2hdfx8lbaqfbkmj34md218kilqww"; + type = "gem"; + }; + version = "1.1.0"; + }; + cocoapods-trunk = { + dependencies = ["nap" "netrc"]; + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "1plssgabdv6hcaq1c3gf43kf1d2prx883q8lzdr6chi5byzzs3yl"; + type = "gem"; + }; + version = "1.3.1"; + }; + cocoapods-try = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "1gf2zjmcjhh9psq15yfy82wz5jnlihf5bcw79f8hlv4cnqyspncj"; + type = "gem"; + }; + version = "1.1.0"; + }; + colored2 = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "0jlbqa9q4mvrm73aw9mxh23ygzbjiqwisl32d8szfb5fxvbjng5i"; + type = "gem"; + }; + version = "3.1.2"; + }; + concurrent-ruby = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "1x07r23s7836cpp5z9yrlbpljcxpax14yw4fy4bnp6crhr6x24an"; + type = "gem"; + }; + version = "1.1.5"; + }; + escape = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "0sa1xkfc9jvkwyw1jbz3jhkq0ms1zrvswi6mmfiwcisg5fp497z4"; + type = "gem"; + }; + version = "0.0.4"; + }; + fourflusher = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "1d2ksz077likjv8dcxy1rnqcjallbfa7yk2wvix3228gq7a4jkq3"; + type = "gem"; + }; + version = "2.2.0"; + }; + fuzzy_match = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "19gw1ifsgfrv7xdi6n61658vffgm1867f4xdqfswb2b5h6alzpmm"; + type = "gem"; + }; + version = "2.0.4"; + }; + gh_inspector = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "0f8r9byajj3bi2c7c5sqrc7m0zrv3nblfcd4782lw5l73cbsgk04"; + type = "gem"; + }; + version = "1.1.3"; + }; + i18n = { + dependencies = ["concurrent-ruby"]; + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "038qvz7kd3cfxk8bvagqhakx68pfbnmghpdkx7573wbf0maqp9a3"; + type = "gem"; + }; + version = "0.9.5"; + }; + minitest = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "0icglrhghgwdlnzzp4jf76b0mbc71s80njn5afyfjn4wqji8mqbq"; + type = "gem"; + }; + version = "5.11.3"; + }; + molinillo = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "1hh40z1adl4lw16dj4hxgabx4rr28mgqycih1y1d91bwww0jjdg6"; + type = "gem"; + }; + version = "0.6.6"; + }; + nanaimo = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "0ajfyaqjw3dzykk612yw8sm21savfqy292hgps8h8l4lvxww1lz6"; + type = "gem"; + }; + version = "0.2.6"; + }; + nap = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "0xm5xssxk5s03wjarpipfm39qmgxsalb46v1prsis14x1xk935ll"; + type = "gem"; + }; + version = "1.1.0"; + }; + netrc = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "0gzfmcywp1da8nzfqsql2zqi648mfnx6qwkig3cv36n9m0yy676y"; + type = "gem"; + }; + version = "0.11.0"; + }; + ruby-macho = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "0lhdjn91jkifsy2hzq2hgcm0pp8pbik87m58zmw1ifh6hkp9adjb"; + type = "gem"; + }; + version = "1.4.0"; + }; + thread_safe = { + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "0nmhcgq6cgz44srylra07bmaw99f5271l0dpsvl5f75m44l0gmwy"; + type = "gem"; + }; + version = "0.3.6"; + }; + tzinfo = { + dependencies = ["thread_safe"]; + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "1fjx9j327xpkkdlxwmkl3a8wqj7i4l4jwlrv3z13mg95z9wl253z"; + type = "gem"; + }; + version = "1.2.5"; + }; + xcodeproj = { + dependencies = ["CFPropertyList" "atomos" "claide" "colored2" "nanaimo"]; + groups = ["default"]; + platforms = []; + source = { + remotes = ["https://rubygems.org"]; + sha256 = "0ji3wmpr6xm4172vxh9y6731vm2xrvv7ccwk4ijd5n4if1dakm03"; + type = "gem"; + }; + version = "1.8.2"; + }; +} \ No newline at end of file diff --git a/nixpkgs/pkgs/development/mobile/cocoapods/gemset.nix b/nixpkgs/pkgs/development/mobile/cocoapods/gemset.nix index 8cd829d56abb..de6c524633f6 100644 --- a/nixpkgs/pkgs/development/mobile/cocoapods/gemset.nix +++ b/nixpkgs/pkgs/development/mobile/cocoapods/gemset.nix @@ -5,10 +5,10 @@ platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0pqr25wmhvvlg8av7bi5p5c7r5464clhhhhv45j63bh7xw4ad6n4"; + sha256 = "1vbq7a805bfvyik2q3kl9s3r418f5qzvysqbz2cwy4hr7m2q4ir6"; type = "gem"; }; - version = "4.2.11"; + version = "4.2.11.1"; }; atomos = { source = { @@ -61,10 +61,10 @@ platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0m3hi4va9cr7anxkjf44as14j1hsrvw00bzvz7c906hyb8qcm4fp"; + sha256 = "0bf524f1za92i6rlr4cr6jm3c4vfjszsdc9lsr6wk5125c76ipzn"; type = "gem"; }; - version = "1.0.3"; + version = "1.0.4"; }; cocoapods-downloader = { groups = ["default"]; @@ -133,10 +133,10 @@ platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "1ixcx9pfissxrga53jbdpza85qd5f6b5nq1sfqa9rnfq82qnlbp1"; + sha256 = "1x07r23s7836cpp5z9yrlbpljcxpax14yw4fy4bnp6crhr6x24an"; type = "gem"; }; - version = "1.1.4"; + version = "1.1.5"; }; escape = { source = { @@ -254,9 +254,9 @@ platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "13z3109lwq632vhazndqjlccpwadljg58sflbyl0m57j9d4blv2y"; + sha256 = "0ji3wmpr6xm4172vxh9y6731vm2xrvv7ccwk4ijd5n4if1dakm03"; type = "gem"; }; - version = "1.8.1"; + version = "1.8.2"; }; } \ No newline at end of file diff --git a/nixpkgs/pkgs/development/mobile/cocoapods/update b/nixpkgs/pkgs/development/mobile/cocoapods/update index 8215d1abe4fd..53c113046c6f 100755 --- a/nixpkgs/pkgs/development/mobile/cocoapods/update +++ b/nixpkgs/pkgs/development/mobile/cocoapods/update @@ -1,11 +1,10 @@ #!/usr/bin/env nix-shell -#! nix-shell -i bash -p bash ruby bundler bundix +#! nix-shell -i bash -p bash bundix bundler -BUNDIX_CACHE="$(mktemp -d)" +set -e -rm -f Gemfile.lock -bundler package --path "$BUNDIX_CACHE" -bundix --bundle-pack-path="$BUNDIX_CACHE" - -rm -rf "$BUNDIX_CACHE" -rm -rf .bundle +rm -f Gemfile.lock Gemfile-beta.lock +bundler lock +BUNDLE_GEMFILE=Gemfile-beta bundler lock --lockfile=Gemfile-beta.lock +bundix --gemfile=Gemfile --lockfile=Gemfile.lock --gemset=gemset.nix +bundix --gemfile=Gemfile-beta --lockfile=Gemfile-beta.lock --gemset=gemset-beta.nix diff --git a/nixpkgs/pkgs/development/node-packages/default-v10.nix b/nixpkgs/pkgs/development/node-packages/default-v10.nix index 25fd7dbb4253..c00838f3d2a0 100644 --- a/nixpkgs/pkgs/development/node-packages/default-v10.nix +++ b/nixpkgs/pkgs/development/node-packages/default-v10.nix @@ -91,7 +91,29 @@ nodePackages // { buildInputs = [ pkgs.automake pkgs.autoconf nodePackages.node-gyp-build ]; }; + tedicross = nodePackages."tedicross-git+https://github.com/TediCross/TediCross.git#v0.8.7".override { + nativeBuildInputs = [ pkgs.makeWrapper ]; + postInstall = '' + makeWrapper '${nodejs}/bin/node' "$out/bin/tedicross" \ + --add-flags "$out/lib/node_modules/tedicross/main.js" + ''; + }; + webtorrent-cli = nodePackages.webtorrent-cli.override { buildInputs = [ nodePackages.node-gyp-build ]; }; + + joplin = nodePackages.joplin.override { + nativeBuildInputs = [ pkgs.pkg-config ]; + buildInputs = with pkgs; [ + # sharp, dep list: + # http://sharp.pixelplumbing.com/en/stable/install/ + cairo expat fontconfig freetype fribidi gettext giflib + glib harfbuzz lcms libcroco libexif libffi libgsf + libjpeg_turbo libpng librsvg libtiff vips + libwebp libxml2 pango pixman zlib + + nodePackages.node-pre-gyp + ]; + }; } diff --git a/nixpkgs/pkgs/development/node-packages/node-packages-v10.json b/nixpkgs/pkgs/development/node-packages/node-packages-v10.json index 54261521a34d..d969115c3b65 100644 --- a/nixpkgs/pkgs/development/node-packages/node-packages-v10.json +++ b/nixpkgs/pkgs/development/node-packages/node-packages-v10.json @@ -48,6 +48,7 @@ , "indium" , "jake" , "javascript-typescript-langserver" +, "joplin" , "jsdoc" , "jshint" , "json" @@ -107,6 +108,7 @@ , "stackdriver-statsd-backend" , "svgo" , "swagger" +, { "tedicross": "git+https://github.com/TediCross/TediCross.git#v0.8.7" } , "tern" , "textlint" , "three" diff --git a/nixpkgs/pkgs/development/node-packages/node-packages-v10.nix b/nixpkgs/pkgs/development/node-packages/node-packages-v10.nix index ea5dd14b8c2d..797ea9b22e5d 100644 --- a/nixpkgs/pkgs/development/node-packages/node-packages-v10.nix +++ b/nixpkgs/pkgs/development/node-packages/node-packages-v10.nix @@ -13,31 +13,31 @@ let sha512 = "t4WmWoGV9gyzypwG3y3JlcK2t8fKLtvzBA7xEoFTj9SMPvOuLsf13uh4ikK0RRaaa9RPPWLgFUdOyIRaQvCpwQ=="; }; }; - "@angular-devkit/architect-0.13.6" = { + "@angular-devkit/architect-0.13.8" = { name = "_at_angular-devkit_slash_architect"; packageName = "@angular-devkit/architect"; - version = "0.13.6"; + version = "0.13.8"; src = fetchurl { - url = "https://registry.npmjs.org/@angular-devkit/architect/-/architect-0.13.6.tgz"; - sha512 = "Cg9z4lmCvjt5uD00E/0tBRz3ESjYicmqT3NL/BIsNVNb+s1GwCCoPSOIM8Ss4nyGDtrdono1XKSOmkJnlzF3Cw=="; + url = "https://registry.npmjs.org/@angular-devkit/architect/-/architect-0.13.8.tgz"; + sha512 = "gxUs5rhnP576T8ZclKqxlspiChrqRtqaJo54wqNVFvYKEjRZKyMa+1AK6p0oD9zcIToEkcjknj3BbtQa27lLHg=="; }; }; - "@angular-devkit/core-7.3.6" = { + "@angular-devkit/core-7.3.8" = { name = "_at_angular-devkit_slash_core"; packageName = "@angular-devkit/core"; - version = "7.3.6"; + version = "7.3.8"; src = fetchurl { - url = "https://registry.npmjs.org/@angular-devkit/core/-/core-7.3.6.tgz"; - sha512 = "aoarMK0DJIdwjVA0OuQIN7b8nKPcF9n5vSMF7MFmhKpTw5/uV3SynQZbm3YCgylu/2CMuiTzKuAunnWWdli//g=="; + url = "https://registry.npmjs.org/@angular-devkit/core/-/core-7.3.8.tgz"; + sha512 = "3X9uzaZXFpm5o2TSzhD6wEOtVU32CgeytKjD1Scxj+uMMVo48SWLlKiFh312T+smI9ko7tOT8VqxglwYkWosgg=="; }; }; - "@angular-devkit/schematics-7.3.6" = { + "@angular-devkit/schematics-7.3.8" = { name = "_at_angular-devkit_slash_schematics"; packageName = "@angular-devkit/schematics"; - version = "7.3.6"; + version = "7.3.8"; src = fetchurl { - url = "https://registry.npmjs.org/@angular-devkit/schematics/-/schematics-7.3.6.tgz"; - sha512 = "YXF7QusmMy3D9H0vNczc1n5BkuEHLwt7cW33euNeGNgTIsD0n6DrUhgClurXicnr2GNPSDYE5+3115lmJkhyrg=="; + url = "https://registry.npmjs.org/@angular-devkit/schematics/-/schematics-7.3.8.tgz"; + sha512 = "mvaKoORZIaW/h0VNZ3IQWP0qThRCZRX6869FNlzV0jlW0mhn07XbiIGHCGGSCDRxS7qJ0VbuIVnKXntF+iDeWw=="; }; }; "@apollographql/apollo-tools-0.3.5" = { @@ -94,13 +94,13 @@ let sha512 = "jRsuseXBo9pN197KnDwhhaaBzyZr2oIcLHHTt2oDdQrej5Qp57dCCJafWx5ivU8/alEYDpssYqv1MUqcxwQlrA=="; }; }; - "@babel/core-7.4.0" = { + "@babel/core-7.4.3" = { name = "_at_babel_slash_core"; packageName = "@babel/core"; - version = "7.4.0"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/core/-/core-7.4.0.tgz"; - sha512 = "Dzl7U0/T69DFOTwqz/FJdnOSWS57NpjNfCwMKHABr589Lg8uX1RrlBIJ7L5Dubt/xkLsx0xH5EBFzlBVes1ayA=="; + url = "https://registry.npmjs.org/@babel/core/-/core-7.4.3.tgz"; + sha512 = "oDpASqKFlbspQfzAE7yaeTmdljSH2ADIvBlb0RwbStltTuWa0+7CCI1fYVINNv9saHPa1W7oaKeuNuKj+RQCvA=="; }; }; "@babel/generator-7.0.0-beta.38" = { @@ -166,13 +166,13 @@ let sha512 = "SdqDfbVdNQCBp3WhK2mNdDvHd3BD6qbmIc43CAyjnsfCmgHMeqgDcM3BzY2lchi7HBJGJ2CVdynLWbezaE4mmQ=="; }; }; - "@babel/helper-create-class-features-plugin-7.4.0" = { + "@babel/helper-create-class-features-plugin-7.4.3" = { name = "_at_babel_slash_helper-create-class-features-plugin"; packageName = "@babel/helper-create-class-features-plugin"; - version = "7.4.0"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/helper-create-class-features-plugin/-/helper-create-class-features-plugin-7.4.0.tgz"; - sha512 = "2K8NohdOT7P6Vyp23QH4w2IleP8yG3UJsbRKwA4YP6H8fErcLkFuuEEqbF2/BYBKSNci/FWJiqm6R3VhM/QHgw=="; + url = "https://registry.npmjs.org/@babel/helper-create-class-features-plugin/-/helper-create-class-features-plugin-7.4.3.tgz"; + sha512 = "UMl3TSpX11PuODYdWGrUeW6zFkdYhDn7wRLrOuNVM6f9L+S9CzmDXYyrp3MTHcwWjnzur1f/Op8A7iYZWya2Yg=="; }; }; "@babel/helper-define-map-7.4.0" = { @@ -238,13 +238,13 @@ let sha512 = "aP/hlLq01DWNEiDg4Jn23i+CXxW/owM4WpDLFUbpjxe4NS3BhLVZQ5i7E0ZrxuQ/vwekIeciyamgB1UIYxxM6A=="; }; }; - "@babel/helper-module-transforms-7.2.2" = { + "@babel/helper-module-transforms-7.4.3" = { name = "_at_babel_slash_helper-module-transforms"; packageName = "@babel/helper-module-transforms"; - version = "7.2.2"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/helper-module-transforms/-/helper-module-transforms-7.2.2.tgz"; - sha512 = "YRD7I6Wsv+IHuTPkAmAS4HhY0dkPobgLftHp0cRGZSdrRvmZY8rFvae/GVu3bD00qscuvK3WPHB3YdNpBXUqrA=="; + url = "https://registry.npmjs.org/@babel/helper-module-transforms/-/helper-module-transforms-7.4.3.tgz"; + sha512 = "H88T9IySZW25anu5uqyaC1DaQre7ofM+joZtAaO2F8NBdFfupH0SZ4gKjgSFVcvtx/aAirqA9L9Clio2heYbZA=="; }; }; "@babel/helper-optimise-call-expression-7.0.0" = { @@ -265,13 +265,13 @@ let sha512 = "CYAOUCARwExnEixLdB6sDm2dIJ/YgEAKDM1MOeMeZu9Ld/bDgVo8aiWrXwcY7OBh+1Ea2uUcVRcxKk0GJvW7QA=="; }; }; - "@babel/helper-regex-7.0.0" = { + "@babel/helper-regex-7.4.3" = { name = "_at_babel_slash_helper-regex"; packageName = "@babel/helper-regex"; - version = "7.0.0"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/helper-regex/-/helper-regex-7.0.0.tgz"; - sha512 = "TR0/N0NDCcUIUEbqV6dCO+LptmmSQFQ7q70lfcEB4URsjD0E1HzicrwUH+ap6BAQ2jhCX9Q4UqZy4wilujWlkg=="; + url = "https://registry.npmjs.org/@babel/helper-regex/-/helper-regex-7.4.3.tgz"; + sha512 = "hnoq5u96pLCfgjXuj8ZLX3QQ+6nAulS+zSgi6HulUwFbEruRAKwbGLU5OvXkE14L8XW6XsQEKsIDfgthKLRAyA=="; }; }; "@babel/helper-remap-async-to-generator-7.1.0" = { @@ -319,13 +319,13 @@ let sha512 = "o9fP1BZLLSrYlxYEYyl2aS+Flun5gtjTIG8iln+XuEzQTs0PLagAGSXUcqruJwD5fM48jzIEggCKpIfWTcR7pQ=="; }; }; - "@babel/helpers-7.4.2" = { + "@babel/helpers-7.4.3" = { name = "_at_babel_slash_helpers"; packageName = "@babel/helpers"; - version = "7.4.2"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/helpers/-/helpers-7.4.2.tgz"; - sha512 = "gQR1eQeroDzFBikhrCccm5Gs2xBjZ57DNjGbqTaHo911IpmSxflOQWMAHPw/TXk8L3isv7s9lYzUkexOeTQUYg=="; + url = "https://registry.npmjs.org/@babel/helpers/-/helpers-7.4.3.tgz"; + sha512 = "BMh7X0oZqb36CfyhvtbSmcWc3GXocfxv3yNsAEuM0l+fAqSO22rQrUpijr3oE/10jCTrB6/0b9kzmG4VetCj8Q=="; }; }; "@babel/highlight-7.0.0" = { @@ -346,13 +346,13 @@ let sha512 = "tXZCqWtlOOP4wgCp6RjRvLmfuhnqTLy9VHwRochJBCP2nDm27JnnuFEnXFASVyQNHk36jD1tAammsCEEqgscIQ=="; }; }; - "@babel/parser-7.4.2" = { + "@babel/parser-7.4.3" = { name = "_at_babel_slash_parser"; packageName = "@babel/parser"; - version = "7.4.2"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/parser/-/parser-7.4.2.tgz"; - sha512 = "9fJTDipQFvlfSVdD/JBtkiY0br9BtfvW2R8wo6CX/Ej2eMuV0gWPk1M67Mt3eggQvBqYW1FCEk8BN7WvGm/g5g=="; + url = "https://registry.npmjs.org/@babel/parser/-/parser-7.4.3.tgz"; + sha512 = "gxpEUhTS1sGA63EGQGuA+WESPR/6tz6ng7tSHFCmaTJK/cGK8y37cBTspX+U2xCAue2IQVvF6Z0oigmjwD8YGQ=="; }; }; "@babel/plugin-external-helpers-7.0.0" = { @@ -391,13 +391,13 @@ let sha512 = "MAFV1CA/YVmYwZG0fBQyXhmj0BHCB5egZHCKWIFVv/XCxAeVGIHfos3SwDck4LvCllENIAg7xMKOG5kH0dzyUg=="; }; }; - "@babel/plugin-proposal-object-rest-spread-7.4.0" = { + "@babel/plugin-proposal-object-rest-spread-7.4.3" = { name = "_at_babel_slash_plugin-proposal-object-rest-spread"; packageName = "@babel/plugin-proposal-object-rest-spread"; - version = "7.4.0"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-proposal-object-rest-spread/-/plugin-proposal-object-rest-spread-7.4.0.tgz"; - sha512 = "uTNi8pPYyUH2eWHyYWWSYJKwKg34hhgl4/dbejEjL+64OhbHjTX7wEVWMQl82tEmdDsGeu77+s8HHLS627h6OQ=="; + url = "https://registry.npmjs.org/@babel/plugin-proposal-object-rest-spread/-/plugin-proposal-object-rest-spread-7.4.3.tgz"; + sha512 = "xC//6DNSSHVjq8O2ge0dyYlhshsH4T7XdCVoxbi5HzLYWfsC5ooFlJjrXk8RcAT+hjHAK9UjBXdylzSoDK3t4g=="; }; }; "@babel/plugin-proposal-optional-catch-binding-7.2.0" = { @@ -508,13 +508,13 @@ let sha512 = "AWyt3k+fBXQqt2qb9r97tn3iBwFpiv9xdAiG+Gr2HpAZpuayvbL55yWrsV3MyHvXk/4vmSiedhDRl1YI2Iy5nQ=="; }; }; - "@babel/plugin-transform-classes-7.4.0" = { + "@babel/plugin-transform-classes-7.4.3" = { name = "_at_babel_slash_plugin-transform-classes"; packageName = "@babel/plugin-transform-classes"; - version = "7.4.0"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-classes/-/plugin-transform-classes-7.4.0.tgz"; - sha512 = "XGg1Mhbw4LDmrO9rSTNe+uI79tQPdGs0YASlxgweYRLZqo/EQktjaOV4tchL/UZbM0F+/94uOipmdNGoaGOEYg=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-classes/-/plugin-transform-classes-7.4.3.tgz"; + sha512 = "PUaIKyFUDtG6jF5DUJOfkBdwAS/kFFV3XFk7Nn0a6vR7ZT8jYw5cGtIlat77wcnd0C6ViGqo/wyNf4ZHytF/nQ=="; }; }; "@babel/plugin-transform-computed-properties-7.2.0" = { @@ -526,22 +526,22 @@ let sha512 = "kP/drqTxY6Xt3NNpKiMomfgkNn4o7+vKxK2DDKcBG9sHj51vHqMBGy8wbDS/J4lMxnqs153/T3+DmCEAkC5cpA=="; }; }; - "@babel/plugin-transform-destructuring-7.4.0" = { + "@babel/plugin-transform-destructuring-7.4.3" = { name = "_at_babel_slash_plugin-transform-destructuring"; packageName = "@babel/plugin-transform-destructuring"; - version = "7.4.0"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-destructuring/-/plugin-transform-destructuring-7.4.0.tgz"; - sha512 = "HySkoatyYTY3ZwLI8GGvkRWCFrjAGXUHur5sMecmCIdIharnlcWWivOqDJI76vvmVZfzwb6G08NREsrY96RhGQ=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-destructuring/-/plugin-transform-destructuring-7.4.3.tgz"; + sha512 = "rVTLLZpydDFDyN4qnXdzwoVpk1oaXHIvPEOkOLyr88o7oHxVc/LyrnDx+amuBWGOwUb7D1s/uLsKBNTx08htZg=="; }; }; - "@babel/plugin-transform-dotall-regex-7.2.0" = { + "@babel/plugin-transform-dotall-regex-7.4.3" = { name = "_at_babel_slash_plugin-transform-dotall-regex"; packageName = "@babel/plugin-transform-dotall-regex"; - version = "7.2.0"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-dotall-regex/-/plugin-transform-dotall-regex-7.2.0.tgz"; - sha512 = "sKxnyHfizweTgKZf7XsXu/CNupKhzijptfTM+bozonIuyVrLWVUvYjE2bhuSBML8VQeMxq4Mm63Q9qvcvUcciQ=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-dotall-regex/-/plugin-transform-dotall-regex-7.4.3.tgz"; + sha512 = "9Arc2I0AGynzXRR/oPdSALv3k0rM38IMFyto7kOCwb5F9sLUt2Ykdo3V9yUPR+Bgr4kb6bVEyLkPEiBhzcTeoA=="; }; }; "@babel/plugin-transform-duplicate-keys-7.2.0" = { @@ -571,22 +571,22 @@ let sha512 = "PmQC9R7DwpBFA+7ATKMyzViz3zCaMNouzZMPZN2K5PnbBbtL3AXFYTkDk+Hey5crQq2A90UG5Uthz0mel+XZrA=="; }; }; - "@babel/plugin-transform-for-of-7.4.0" = { + "@babel/plugin-transform-for-of-7.4.3" = { name = "_at_babel_slash_plugin-transform-for-of"; packageName = "@babel/plugin-transform-for-of"; - version = "7.4.0"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-for-of/-/plugin-transform-for-of-7.4.0.tgz"; - sha512 = "vWdfCEYLlYSxbsKj5lGtzA49K3KANtb8qCPQ1em07txJzsBwY+cKJzBHizj5fl3CCx7vt+WPdgDLTHmydkbQSQ=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-for-of/-/plugin-transform-for-of-7.4.3.tgz"; + sha512 = "UselcZPwVWNSURnqcfpnxtMehrb8wjXYOimlYQPBnup/Zld426YzIhNEvuRsEWVHfESIECGrxoI6L5QqzuLH5Q=="; }; }; - "@babel/plugin-transform-function-name-7.2.0" = { + "@babel/plugin-transform-function-name-7.4.3" = { name = "_at_babel_slash_plugin-transform-function-name"; packageName = "@babel/plugin-transform-function-name"; - version = "7.2.0"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-function-name/-/plugin-transform-function-name-7.2.0.tgz"; - sha512 = "kWgksow9lHdvBC2Z4mxTsvc7YdY7w/V6B2vy9cTIPtLEE9NhwoWivaxdNM/S37elu5bqlLP/qOY906LukO9lkQ=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-function-name/-/plugin-transform-function-name-7.4.3.tgz"; + sha512 = "uT5J/3qI/8vACBR9I1GlAuU/JqBtWdfCrynuOkrWG6nCDieZd5przB1vfP59FRHBZQ9DC2IUfqr/xKqzOD5x0A=="; }; }; "@babel/plugin-transform-literals-7.2.0" = { @@ -598,6 +598,15 @@ let sha512 = "2ThDhm4lI4oV7fVQ6pNNK+sx+c/GM5/SaML0w/r4ZB7sAneD/piDJtwdKlNckXeyGK7wlwg2E2w33C/Hh+VFCg=="; }; }; + "@babel/plugin-transform-member-expression-literals-7.2.0" = { + name = "_at_babel_slash_plugin-transform-member-expression-literals"; + packageName = "@babel/plugin-transform-member-expression-literals"; + version = "7.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/@babel/plugin-transform-member-expression-literals/-/plugin-transform-member-expression-literals-7.2.0.tgz"; + sha512 = "HiU3zKkSU6scTidmnFJ0bMX8hz5ixC93b4MHMiYebmk2lUVNGOboPsqQvx5LzooihijUoLR/v7Nc1rbBtnc7FA=="; + }; + }; "@babel/plugin-transform-modules-amd-7.2.0" = { name = "_at_babel_slash_plugin-transform-modules-amd"; packageName = "@babel/plugin-transform-modules-amd"; @@ -616,13 +625,13 @@ let sha512 = "V6y0uaUQrQPXUrmj+hgnks8va2L0zcZymeU7TtWEgdRLNkceafKXEduv7QzgQAE4lT+suwooG9dC7LFhdRAbVQ=="; }; }; - "@babel/plugin-transform-modules-commonjs-7.4.0" = { + "@babel/plugin-transform-modules-commonjs-7.4.3" = { name = "_at_babel_slash_plugin-transform-modules-commonjs"; packageName = "@babel/plugin-transform-modules-commonjs"; - version = "7.4.0"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-modules-commonjs/-/plugin-transform-modules-commonjs-7.4.0.tgz"; - sha512 = "iWKAooAkipG7g1IY0eah7SumzfnIT3WNhT4uYB2kIsvHnNSB6MDYVa5qyICSwaTBDBY2c4SnJ3JtEa6ltJd6Jw=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-modules-commonjs/-/plugin-transform-modules-commonjs-7.4.3.tgz"; + sha512 = "sMP4JqOTbMJMimqsSZwYWsMjppD+KRyDIUVW91pd7td0dZKAvPmhCaxhOzkzLParKwgQc7bdL9UNv+rpJB0HfA=="; }; }; "@babel/plugin-transform-modules-systemjs-7.4.0" = { @@ -670,13 +679,22 @@ let sha512 = "VMyhPYZISFZAqAPVkiYb7dUe2AsVi2/wCT5+wZdsNO31FojQJa9ns40hzZ6U9f50Jlq4w6qwzdBB2uwqZ00ebg=="; }; }; - "@babel/plugin-transform-parameters-7.4.0" = { + "@babel/plugin-transform-parameters-7.4.3" = { name = "_at_babel_slash_plugin-transform-parameters"; packageName = "@babel/plugin-transform-parameters"; - version = "7.4.0"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-parameters/-/plugin-transform-parameters-7.4.0.tgz"; - sha512 = "Xqv6d1X+doyiuCGDoVJFtlZx0onAX0tnc3dY8w71pv/O0dODAbusVv2Ale3cGOwfiyi895ivOBhYa9DhAM8dUA=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-parameters/-/plugin-transform-parameters-7.4.3.tgz"; + sha512 = "ULJYC2Vnw96/zdotCZkMGr2QVfKpIT/4/K+xWWY0MbOJyMZuk660BGkr3bEKWQrrciwz6xpmft39nA4BF7hJuA=="; + }; + }; + "@babel/plugin-transform-property-literals-7.2.0" = { + name = "_at_babel_slash_plugin-transform-property-literals"; + packageName = "@babel/plugin-transform-property-literals"; + version = "7.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/@babel/plugin-transform-property-literals/-/plugin-transform-property-literals-7.2.0.tgz"; + sha512 = "9q7Dbk4RhgcLp8ebduOpCbtjh7C0itoLYHXd9ueASKAG/is5PQtMR5VJGka9NKqGhYEGn5ITahd4h9QeBMylWQ=="; }; }; "@babel/plugin-transform-react-jsx-7.3.0" = { @@ -688,22 +706,31 @@ let sha512 = "a/+aRb7R06WcKvQLOu4/TpjKOdvVEKRLWFpKcNuHhiREPgGRB4TQJxq07+EZLS8LFVYpfq1a5lDUnuMdcCpBKg=="; }; }; - "@babel/plugin-transform-regenerator-7.4.0" = { + "@babel/plugin-transform-regenerator-7.4.3" = { name = "_at_babel_slash_plugin-transform-regenerator"; packageName = "@babel/plugin-transform-regenerator"; - version = "7.4.0"; + version = "7.4.3"; + src = fetchurl { + url = "https://registry.npmjs.org/@babel/plugin-transform-regenerator/-/plugin-transform-regenerator-7.4.3.tgz"; + sha512 = "kEzotPuOpv6/iSlHroCDydPkKYw7tiJGKlmYp6iJn4a6C/+b2FdttlJsLKYxolYHgotTJ5G5UY5h0qey5ka3+A=="; + }; + }; + "@babel/plugin-transform-reserved-words-7.2.0" = { + name = "_at_babel_slash_plugin-transform-reserved-words"; + packageName = "@babel/plugin-transform-reserved-words"; + version = "7.2.0"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-regenerator/-/plugin-transform-regenerator-7.4.0.tgz"; - sha512 = "SZ+CgL4F0wm4npojPU6swo/cK4FcbLgxLd4cWpHaNXY/NJ2dpahODCqBbAwb2rDmVszVb3SSjnk9/vik3AYdBw=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-reserved-words/-/plugin-transform-reserved-words-7.2.0.tgz"; + sha512 = "fz43fqW8E1tAB3DKF19/vxbpib1fuyCwSPE418ge5ZxILnBhWyhtPgz8eh1RCGGJlwvksHkyxMxh0eenFi+kFw=="; }; }; - "@babel/plugin-transform-runtime-7.4.0" = { + "@babel/plugin-transform-runtime-7.4.3" = { name = "_at_babel_slash_plugin-transform-runtime"; packageName = "@babel/plugin-transform-runtime"; - version = "7.4.0"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-runtime/-/plugin-transform-runtime-7.4.0.tgz"; - sha512 = "1uv2h9wnRj98XX3g0l4q+O3jFM6HfayKup7aIu4pnnlzGz0H+cYckGBC74FZIWJXJSXAmeJ9Yu5Gg2RQpS4hWg=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-runtime/-/plugin-transform-runtime-7.4.3.tgz"; + sha512 = "7Q61bU+uEI7bCUFReT1NKn7/X6sDQsZ7wL1sJ9IYMAO7cI+eg6x9re1cEw2fCRMbbTVyoeUKWSV1M6azEfKCfg=="; }; }; "@babel/plugin-transform-shorthand-properties-7.2.0" = { @@ -751,13 +778,13 @@ let sha512 = "2LNhETWYxiYysBtrBTqL8+La0jIoQQnIScUJc74OYvUGRmkskNY4EzLCnjHBzdmb38wqtTaixpo1NctEcvMDZw=="; }; }; - "@babel/plugin-transform-unicode-regex-7.2.0" = { + "@babel/plugin-transform-unicode-regex-7.4.3" = { name = "_at_babel_slash_plugin-transform-unicode-regex"; packageName = "@babel/plugin-transform-unicode-regex"; - version = "7.2.0"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/plugin-transform-unicode-regex/-/plugin-transform-unicode-regex-7.2.0.tgz"; - sha512 = "m48Y0lMhrbXEJnVUaYly29jRXbQ3ksxPrS1Tg8t+MHqzXhtBYAvI51euOBaoAlZLPHsieY9XPVMf80a5x0cPcA=="; + url = "https://registry.npmjs.org/@babel/plugin-transform-unicode-regex/-/plugin-transform-unicode-regex-7.4.3.tgz"; + sha512 = "lnSNgkVjL8EMtnE8eSS7t2ku8qvKH3eqNf/IwIfnSPUqzgqYmRwzdsQWv4mNQAN9Nuo6Gz1Y0a4CSmdpu1Pp6g=="; }; }; "@babel/polyfill-7.2.5" = { @@ -778,13 +805,13 @@ let sha512 = "2mwqfYMK8weA0g0uBKOt4FE3iEodiHy9/CW0b+nWXcbL+pGzLx8ESYc+j9IIxr6LTDHWKgPm71i9smo02bw+gA=="; }; }; - "@babel/preset-env-7.4.2" = { + "@babel/preset-env-7.4.3" = { name = "_at_babel_slash_preset-env"; packageName = "@babel/preset-env"; - version = "7.4.2"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/preset-env/-/preset-env-7.4.2.tgz"; - sha512 = "OEz6VOZaI9LW08CWVS3d9g/0jZA6YCn1gsKIy/fut7yZCJti5Lm1/Hi+uo/U+ODm7g4I6gULrCP+/+laT8xAsA=="; + url = "https://registry.npmjs.org/@babel/preset-env/-/preset-env-7.4.3.tgz"; + sha512 = "FYbZdV12yHdJU5Z70cEg0f6lvtpZ8jFSDakTm7WXeJbLXh4R0ztGEu/SW7G1nJ2ZvKwDhz8YrbA84eYyprmGqw=="; }; }; "@babel/preset-stage-2-7.0.0" = { @@ -814,13 +841,13 @@ let sha512 = "IvfvnMdSaLBateu0jfsYIpZTxAc2cKEXEMiezGGN75QcBcecDUKd3PgLAncT0oOgxKy8dd8hrJKj9MfzgfZd6g=="; }; }; - "@babel/runtime-7.4.2" = { + "@babel/runtime-7.4.3" = { name = "_at_babel_slash_runtime"; packageName = "@babel/runtime"; - version = "7.4.2"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/runtime/-/runtime-7.4.2.tgz"; - sha512 = "7Bl2rALb7HpvXFL7TETNzKSAeBVCPHELzc0C//9FCxN8nsiueWSJBqaF+2oIJScyILStASR/Cx5WMkXGYTiJFA=="; + url = "https://registry.npmjs.org/@babel/runtime/-/runtime-7.4.3.tgz"; + sha512 = "9lsJwJLxDh/T3Q3SZszfWOTkk3pHbkmH+3KY+zwIDmsNlxsumuhS2TH3NIpktU4kNvfzy+k3eLT7aTJSPTo0OA=="; }; }; "@babel/template-7.2.2" = { @@ -850,13 +877,13 @@ let sha512 = "TvTHKp6471OYEcE/91uWmhR6PrrYywQntCHSaZ8CM8Vmp+pjAusal4nGB2WCCQd0rvI7nOMKn9GnbcvTUz3/ZQ=="; }; }; - "@babel/traverse-7.4.0" = { + "@babel/traverse-7.4.3" = { name = "_at_babel_slash_traverse"; packageName = "@babel/traverse"; - version = "7.4.0"; + version = "7.4.3"; src = fetchurl { - url = "https://registry.npmjs.org/@babel/traverse/-/traverse-7.4.0.tgz"; - sha512 = "/DtIHKfyg2bBKnIN+BItaIlEg5pjAnzHOIQe5w+rHAw/rg9g0V7T4rqPX8BJPfW11kt3koyjAnTNwCzb28Y1PA=="; + url = "https://registry.npmjs.org/@babel/traverse/-/traverse-7.4.3.tgz"; + sha512 = "HmA01qrtaCwwJWpSKpA948cBvU5BrmviAief/b3AVw936DtcdsTexlbyzNuDnthwhOQ37xshn7hvQaEQk7ISYQ=="; }; }; "@babel/types-7.0.0-beta.38" = { @@ -931,6 +958,15 @@ let sha512 = "9hKVIN2+maygxkngnXDsZXRZqCYDY4pxIRljJqqJ5A+eJZzW3k/NZj5lixEmStjWFjlPlOHGYBytBehpf0l+hA=="; }; }; + "@cronvel/get-pixels-3.3.1" = { + name = "_at_cronvel_slash_get-pixels"; + packageName = "@cronvel/get-pixels"; + version = "3.3.1"; + src = fetchurl { + url = "https://registry.npmjs.org/@cronvel/get-pixels/-/get-pixels-3.3.1.tgz"; + sha512 = "jgDb8vGPkpjRDbiYyHTI2Bna4HJysjPNSiERzBnRJjCR/YqC3u0idTae0tmNECsaZLOpAWmlK9wiIwnLGIT9Bg=="; + }; + }; "@cycle/dom-18.3.0" = { name = "_at_cycle_slash_dom"; packageName = "@cycle/dom"; @@ -1111,13 +1147,13 @@ let sha512 = "CNVsCrMge/jq6DCT5buNZ8PACY9RTvPJbCNoIcndfkJOCsNxOx9dnc5qw4pHZdHi8GS6l3qlgkuFKp33iD8J2Q=="; }; }; - "@lerna/add-3.13.1" = { + "@lerna/add-3.13.3" = { name = "_at_lerna_slash_add"; packageName = "@lerna/add"; - version = "3.13.1"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/add/-/add-3.13.1.tgz"; - sha512 = "cXk42YbuhzEnADCK8Qte5laC9Qo03eJLVnr0qKY85jQUM/T4URe3IIUemqpg0CpVATrB+Vz+iNdeqw9ng1iALw=="; + url = "https://registry.npmjs.org/@lerna/add/-/add-3.13.3.tgz"; + sha512 = "T3/Lsbo9ZFq+vL3ssaHxA8oKikZAPTJTGFe4CRuQgWCDd/M61+51jeWsngdaHpwzSSRDRjxg8fJTG10y10pnfA=="; }; }; "@lerna/batch-packages-3.13.0" = { @@ -1129,49 +1165,49 @@ let sha512 = "TgLBTZ7ZlqilGnzJ3xh1KdAHcySfHytgNRTdG9YomfriTU6kVfp1HrXxKJYVGs7ClPUNt2CTFEOkw0tMBronjw=="; }; }; - "@lerna/bootstrap-3.13.1" = { + "@lerna/bootstrap-3.13.3" = { name = "_at_lerna_slash_bootstrap"; packageName = "@lerna/bootstrap"; - version = "3.13.1"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/bootstrap/-/bootstrap-3.13.1.tgz"; - sha512 = "mKdi5Ds5f82PZwEFyB9/W60I3iELobi1i87sTeVrbJh/um7GvqpSPy7kG/JPxyOdMpB2njX6LiJgw+7b6BEPWw=="; + url = "https://registry.npmjs.org/@lerna/bootstrap/-/bootstrap-3.13.3.tgz"; + sha512 = "2XzijnLHRZOVQh8pwS7+5GR3cG4uh+EiLrWOishCq2TVzkqgjaS3GGBoef7KMCXfWHoLqAZRr/jEdLqfETLVqg=="; }; }; - "@lerna/changed-3.13.1" = { + "@lerna/changed-3.13.3" = { name = "_at_lerna_slash_changed"; packageName = "@lerna/changed"; - version = "3.13.1"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/changed/-/changed-3.13.1.tgz"; - sha512 = "BRXitEJGOkoudbxEewW7WhjkLxFD+tTk4PrYpHLyCBk63pNTWtQLRE6dc1hqwh4emwyGncoyW6RgXfLgMZgryw=="; + url = "https://registry.npmjs.org/@lerna/changed/-/changed-3.13.3.tgz"; + sha512 = "REMZ/1UvYrizUhN7ktlbfMUa0vhMf1ogAe97WQC4I8r3s973Orfhs3aselo1GwudUwM4tMHBH8A9vnll9or3iA=="; }; }; - "@lerna/check-working-tree-3.13.0" = { + "@lerna/check-working-tree-3.13.3" = { name = "_at_lerna_slash_check-working-tree"; packageName = "@lerna/check-working-tree"; - version = "3.13.0"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/check-working-tree/-/check-working-tree-3.13.0.tgz"; - sha512 = "dsdO15NXX5To+Q53SYeCrBEpiqv4m5VkaPZxbGQZNwoRen1MloXuqxSymJANQn+ZLEqarv5V56gydebeROPH5A=="; + url = "https://registry.npmjs.org/@lerna/check-working-tree/-/check-working-tree-3.13.3.tgz"; + sha512 = "LoGZvTkne+V1WpVdCTU0XNzFKsQa2AiAFKksGRT0v8NQj6VAPp0jfVYDayTqwaWt2Ne0OGKOFE79Y5LStOuhaQ=="; }; }; - "@lerna/child-process-3.13.0" = { + "@lerna/child-process-3.13.3" = { name = "_at_lerna_slash_child-process"; packageName = "@lerna/child-process"; - version = "3.13.0"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/child-process/-/child-process-3.13.0.tgz"; - sha512 = "0iDS8y2jiEucD4fJHEzKoc8aQJgm7s+hG+0RmDNtfT0MM3n17pZnf5JOMtS1FJp+SEXOjMKQndyyaDIPFsnp6A=="; + url = "https://registry.npmjs.org/@lerna/child-process/-/child-process-3.13.3.tgz"; + sha512 = "3/e2uCLnbU+bydDnDwyadpOmuzazS01EcnOleAnuj9235CU2U97DH6OyoG1EW/fU59x11J+HjIqovh5vBaMQjQ=="; }; }; - "@lerna/clean-3.13.1" = { + "@lerna/clean-3.13.3" = { name = "_at_lerna_slash_clean"; packageName = "@lerna/clean"; - version = "3.13.1"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/clean/-/clean-3.13.1.tgz"; - sha512 = "myGIaXv7RUO2qCFZXvx8SJeI+eN6y9SUD5zZ4/LvNogbOiEIlujC5lUAqK65rAHayQ9ltSa/yK6Xv510xhZXZQ=="; + url = "https://registry.npmjs.org/@lerna/clean/-/clean-3.13.3.tgz"; + sha512 = "xmNauF1PpmDaKdtA2yuRc23Tru4q7UMO6yB1a/TTwxYPYYsAWG/CBK65bV26J7x4RlZtEv06ztYGMa9zh34UXA=="; }; }; "@lerna/cli-3.13.0" = { @@ -1183,22 +1219,22 @@ let sha512 = "HgFGlyCZbYaYrjOr3w/EsY18PdvtsTmDfpUQe8HwDjXlPeCCUgliZjXLOVBxSjiOvPeOSwvopwIHKWQmYbwywg=="; }; }; - "@lerna/collect-updates-3.13.0" = { + "@lerna/collect-updates-3.13.3" = { name = "_at_lerna_slash_collect-updates"; packageName = "@lerna/collect-updates"; - version = "3.13.0"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/collect-updates/-/collect-updates-3.13.0.tgz"; - sha512 = "uR3u6uTzrS1p46tHQ/mlHog/nRJGBqskTHYYJbgirujxm6FqNh7Do+I1Q/7zSee407G4lzsNxZdm8IL927HemQ=="; + url = "https://registry.npmjs.org/@lerna/collect-updates/-/collect-updates-3.13.3.tgz"; + sha512 = "sTpALOAxli/ZS+Mjq6fbmjU9YXqFJ2E4FrE1Ijl4wPC5stXEosg2u0Z1uPY+zVKdM+mOIhLxPVdx83rUgRS+Cg=="; }; }; - "@lerna/command-3.13.1" = { + "@lerna/command-3.13.3" = { name = "_at_lerna_slash_command"; packageName = "@lerna/command"; - version = "3.13.1"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/command/-/command-3.13.1.tgz"; - sha512 = "SYWezxX+iheWvzRoHCrbs8v5zHPaxAx3kWvZhqi70vuGsdOVAWmaG4IvHLn11ztS+Vpd5PM+ztBWSbnykpLFKQ=="; + url = "https://registry.npmjs.org/@lerna/command/-/command-3.13.3.tgz"; + sha512 = "WHFIQCubJV0T8gSLRNr6exZUxTswrh+iAtJCb86SE0Sa+auMPklE8af7w2Yck5GJfewmxSjke3yrjNxQrstx7w=="; }; }; "@lerna/conventional-commits-3.13.0" = { @@ -1210,13 +1246,13 @@ let sha512 = "BeAgcNXuocmLhPxnmKU2Vy8YkPd/Uo+vu2i/p3JGsUldzrPC8iF3IDxH7fuXpEFN2Nfogu7KHachd4tchtOppA=="; }; }; - "@lerna/create-3.13.1" = { + "@lerna/create-3.13.3" = { name = "_at_lerna_slash_create"; packageName = "@lerna/create"; - version = "3.13.1"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/create/-/create-3.13.1.tgz"; - sha512 = "pLENMXgTkQuvKxAopjKeoLOv9fVUCnpTUD7aLrY5d95/1xqSZlnsOcQfUYcpMf3GpOvHc8ILmI5OXkPqjAf54g=="; + url = "https://registry.npmjs.org/@lerna/create/-/create-3.13.3.tgz"; + sha512 = "4M5xT1AyUMwt1gCDph4BfW3e6fZmt0KjTa3FoXkUotf/w/eqTsc2IQ+ULz2+gOFQmtuNbqIZEOK3J4P9ArJJ/A=="; }; }; "@lerna/create-symlink-3.13.0" = { @@ -1228,40 +1264,40 @@ let sha512 = "PTvg3jAAJSAtLFoZDsuTMv1wTOC3XYIdtg54k7uxIHsP8Ztpt+vlilY/Cni0THAqEMHvfiToel76Xdta4TU21Q=="; }; }; - "@lerna/describe-ref-3.13.0" = { + "@lerna/describe-ref-3.13.3" = { name = "_at_lerna_slash_describe-ref"; packageName = "@lerna/describe-ref"; - version = "3.13.0"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/describe-ref/-/describe-ref-3.13.0.tgz"; - sha512 = "UJefF5mLxLae9I2Sbz5RLYGbqbikRuMqdgTam0MS5OhXnyuuKYBUpwBshCURNb1dPBXTQhSwc7+oUhORx8ojCg=="; + url = "https://registry.npmjs.org/@lerna/describe-ref/-/describe-ref-3.13.3.tgz"; + sha512 = "5KcLTvjdS4gU5evW8ESbZ0BF44NM5HrP3dQNtWnOUSKJRgsES8Gj0lq9AlB2+YglZfjEftFT03uOYOxnKto4Uw=="; }; }; - "@lerna/diff-3.13.1" = { + "@lerna/diff-3.13.3" = { name = "_at_lerna_slash_diff"; packageName = "@lerna/diff"; - version = "3.13.1"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/diff/-/diff-3.13.1.tgz"; - sha512 = "cKqmpONO57mdvxtp8e+l5+tjtmF04+7E+O0QEcLcNUAjC6UR2OSM77nwRCXDukou/1h72JtWs0jjcdYLwAmApg=="; + url = "https://registry.npmjs.org/@lerna/diff/-/diff-3.13.3.tgz"; + sha512 = "/DRS2keYbnKaAC+5AkDyZRGkP/kT7v1GlUS0JGZeiRDPQ1H6PzhX09EgE5X6nj0Ytrm0sUasDeN++CDVvgaI+A=="; }; }; - "@lerna/exec-3.13.1" = { + "@lerna/exec-3.13.3" = { name = "_at_lerna_slash_exec"; packageName = "@lerna/exec"; - version = "3.13.1"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/exec/-/exec-3.13.1.tgz"; - sha512 = "I34wEP9lrAqqM7tTXLDxv/6454WFzrnXDWpNDbiKQiZs6SIrOOjmm6I4FiQsx+rU3o9d+HkC6tcUJRN5mlJUgA=="; + url = "https://registry.npmjs.org/@lerna/exec/-/exec-3.13.3.tgz"; + sha512 = "c0bD4XqM96CTPV8+lvkxzE7mkxiFyv/WNM4H01YvvbFAJzk+S4Y7cBtRkIYFTfkFZW3FLo8pEgtG1ONtIdM+tg=="; }; }; - "@lerna/filter-options-3.13.0" = { + "@lerna/filter-options-3.13.3" = { name = "_at_lerna_slash_filter-options"; packageName = "@lerna/filter-options"; - version = "3.13.0"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/filter-options/-/filter-options-3.13.0.tgz"; - sha512 = "SRp7DCo9zrf+7NkQxZMkeyO1GRN6GICoB9UcBAbXhLbWisT37Cx5/6+jh49gYB63d/0/WYHSEPMlheUrpv1Srw=="; + url = "https://registry.npmjs.org/@lerna/filter-options/-/filter-options-3.13.3.tgz"; + sha512 = "DbtQX4eRgrBz1wCFWRP99JBD7ODykYme9ykEK79+RrKph40znhJQRlLg4idogj6IsUEzwo1OHjihCzSfnVo6Cg=="; }; }; "@lerna/filter-packages-3.13.0" = { @@ -1291,13 +1327,13 @@ let sha512 = "EgSim24sjIjqQDC57bgXD9l22/HCS93uQBbGpkzEOzxAVzEgpZVm7Fm1t8BVlRcT2P2zwGnRadIvxTbpQuDPTg=="; }; }; - "@lerna/github-client-3.13.1" = { + "@lerna/github-client-3.13.3" = { name = "_at_lerna_slash_github-client"; packageName = "@lerna/github-client"; - version = "3.13.1"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/github-client/-/github-client-3.13.1.tgz"; - sha512 = "iPLUp8FFoAKGURksYEYZzfuo9TRA+NepVlseRXFaWlmy36dCQN20AciINpoXiXGoHcEUHXUKHQvY3ARFdMlf3w=="; + url = "https://registry.npmjs.org/@lerna/github-client/-/github-client-3.13.3.tgz"; + sha512 = "fcJkjab4kX0zcLLSa/DCUNvU3v8wmy2c1lhdIbL7s7gABmDcV0QZq93LhnEee3VkC9UpnJ6GKG4EkD7eIifBnA=="; }; }; "@lerna/global-options-3.13.0" = { @@ -1309,49 +1345,49 @@ let sha512 = "SlZvh1gVRRzYLVluz9fryY1nJpZ0FHDGB66U9tFfvnnxmueckRQxLopn3tXj3NU1kc3QANT2I5BsQkOqZ4TEFQ=="; }; }; - "@lerna/has-npm-version-3.13.0" = { + "@lerna/has-npm-version-3.13.3" = { name = "_at_lerna_slash_has-npm-version"; packageName = "@lerna/has-npm-version"; - version = "3.13.0"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/has-npm-version/-/has-npm-version-3.13.0.tgz"; - sha512 = "Oqu7DGLnrMENPm+bPFGOHnqxK8lCnuYr6bk3g/CoNn8/U0qgFvHcq6Iv8/Z04TsvleX+3/RgauSD2kMfRmbypg=="; + url = "https://registry.npmjs.org/@lerna/has-npm-version/-/has-npm-version-3.13.3.tgz"; + sha512 = "mQzoghRw4dBg0R9FFfHrj0TH0glvXyzdEZmYZ8Isvx5BSuEEwpsryoywuZSdppcvLu8o7NAdU5Tac8cJ/mT52w=="; }; }; - "@lerna/import-3.13.1" = { + "@lerna/import-3.13.3" = { name = "_at_lerna_slash_import"; packageName = "@lerna/import"; - version = "3.13.1"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/import/-/import-3.13.1.tgz"; - sha512 = "A1Vk1siYx1XkRl6w+zkaA0iptV5TIynVlHPR9S7NY0XAfhykjztYVvwtxarlh6+VcNrO9We6if0+FXCrfDEoIg=="; + url = "https://registry.npmjs.org/@lerna/import/-/import-3.13.3.tgz"; + sha512 = "gDjLAFVavG/CMvj9leBfiwd7vrXqtdFXPIz1oXmghBMnje7nCTbodbNWFe4VDDWx7reDaZIN+6PxTSvrPcF//A=="; }; }; - "@lerna/init-3.13.1" = { + "@lerna/init-3.13.3" = { name = "_at_lerna_slash_init"; packageName = "@lerna/init"; - version = "3.13.1"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/init/-/init-3.13.1.tgz"; - sha512 = "M59WACqim8WkH5FQEGOCEZ89NDxCKBfFTx4ZD5ig3LkGyJ8RdcJq5KEfpW/aESuRE9JrZLzVr0IjKbZSxzwEMA=="; + url = "https://registry.npmjs.org/@lerna/init/-/init-3.13.3.tgz"; + sha512 = "bK/mp0sF6jT0N+c+xrbMCqN4xRoiZCXQzlYsyACxPK99KH/mpHv7hViZlTYUGlYcymtew6ZC770miv5A9wF9hA=="; }; }; - "@lerna/link-3.13.1" = { + "@lerna/link-3.13.3" = { name = "_at_lerna_slash_link"; packageName = "@lerna/link"; - version = "3.13.1"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/link/-/link-3.13.1.tgz"; - sha512 = "N3h3Fj1dcea+1RaAoAdy4g2m3fvU7m89HoUn5X/Zcw5n2kPoK8kTO+NfhNAatfRV8VtMXst8vbNrWQQtfm0FFw=="; + url = "https://registry.npmjs.org/@lerna/link/-/link-3.13.3.tgz"; + sha512 = "IHhtdhA0KlIdevCsq6WHkI2rF3lHWHziJs2mlrEWAKniVrFczbELON1KJAgdJS1k3kAP/WeWVqmIYZ2hJDxMvg=="; }; }; - "@lerna/list-3.13.1" = { + "@lerna/list-3.13.3" = { name = "_at_lerna_slash_list"; packageName = "@lerna/list"; - version = "3.13.1"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/list/-/list-3.13.1.tgz"; - sha512 = "635iRbdgd9gNvYLLIbYdQCQLr+HioM5FGJLFS0g3DPGygr6iDR8KS47hzCRGH91LU9NcM1mD1RoT/AChF+QbiA=="; + url = "https://registry.npmjs.org/@lerna/list/-/list-3.13.3.tgz"; + sha512 = "rLRDsBCkydMq2FL6WY1J/elvnXIjxxRtb72lfKHdvDEqVdquT5Qgt9ci42hwjmcocFwWcFJgF6BZozj5pbc13A=="; }; }; "@lerna/listable-3.13.0" = { @@ -1390,31 +1426,31 @@ let sha512 = "mcuhw34JhSRFrbPn0vedbvgBTvveG52bR2lVE3M3tfE8gmR/cKS/EJFO4AUhfRKGCTFn9rjaSEzlFGYV87pemQ=="; }; }; - "@lerna/npm-install-3.13.0" = { + "@lerna/npm-install-3.13.3" = { name = "_at_lerna_slash_npm-install"; packageName = "@lerna/npm-install"; - version = "3.13.0"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/npm-install/-/npm-install-3.13.0.tgz"; - sha512 = "qNyfts//isYQxore6fsPorNYJmPVKZ6tOThSH97tP0aV91zGMtrYRqlAoUnDwDdAjHPYEM16hNujg2wRmsqqIw=="; + url = "https://registry.npmjs.org/@lerna/npm-install/-/npm-install-3.13.3.tgz"; + sha512 = "7Jig9MLpwAfcsdQ5UeanAjndChUjiTjTp50zJ+UZz4CbIBIDhoBehvNMTCL2G6pOEC7sGEg6sAqJINAqred6Tg=="; }; }; - "@lerna/npm-publish-3.13.0" = { + "@lerna/npm-publish-3.13.2" = { name = "_at_lerna_slash_npm-publish"; packageName = "@lerna/npm-publish"; - version = "3.13.0"; + version = "3.13.2"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/npm-publish/-/npm-publish-3.13.0.tgz"; - sha512 = "y4WO0XTaf9gNRkI7as6P2ItVDOxmYHwYto357fjybcnfXgMqEA94c3GJ++jU41j0A9vnmYC6/XxpTd9sVmH9tA=="; + url = "https://registry.npmjs.org/@lerna/npm-publish/-/npm-publish-3.13.2.tgz"; + sha512 = "HMucPyEYZfom5tRJL4GsKBRi47yvSS2ynMXYxL3kO0ie+j9J7cb0Ir8NmaAMEd3uJWJVFCPuQarehyfTDZsSxg=="; }; }; - "@lerna/npm-run-script-3.13.0" = { + "@lerna/npm-run-script-3.13.3" = { name = "_at_lerna_slash_npm-run-script"; packageName = "@lerna/npm-run-script"; - version = "3.13.0"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/npm-run-script/-/npm-run-script-3.13.0.tgz"; - sha512 = "hiL3/VeVp+NFatBjkGN8mUdX24EfZx9rQlSie0CMgtjc7iZrtd0jCguLomSCRHYjJuvqgbp+LLYo7nHVykfkaQ=="; + url = "https://registry.npmjs.org/@lerna/npm-run-script/-/npm-run-script-3.13.3.tgz"; + sha512 = "qR4o9BFt5hI8Od5/DqLalOJydnKpiQFEeN0h9xZi7MwzuX1Ukwh3X22vqsX4YRbipIelSFtrDzleNVUm5jj0ow=="; }; }; "@lerna/output-3.13.0" = { @@ -1471,13 +1507,13 @@ let sha512 = "P+lWSFokdyvYpkwC3it9cE0IF2U5yy2mOUbGvvE4iDb9K7TyXGE+7lwtx2thtPvBAfIb7O13POMkv7df03HJeA=="; }; }; - "@lerna/publish-3.13.1" = { + "@lerna/publish-3.13.3" = { name = "_at_lerna_slash_publish"; packageName = "@lerna/publish"; - version = "3.13.1"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/publish/-/publish-3.13.1.tgz"; - sha512 = "KhCJ9UDx76HWCF03i5TD7z5lX+2yklHh5SyO8eDaLptgdLDQ0Z78lfGj3JhewHU2l46FztmqxL/ss0IkWHDL+g=="; + url = "https://registry.npmjs.org/@lerna/publish/-/publish-3.13.3.tgz"; + sha512 = "Ni3pZKueIfgJJoL0OXfbAuWhGlJrDNwGx3CYWp2dbNqJmKD6uBZmsDtmeARKDp92oUK60W0drXCMydkIFFHMDQ=="; }; }; "@lerna/pulse-till-done-3.13.0" = { @@ -1498,22 +1534,22 @@ let sha512 = "Lc0USSFxwDxUs5JvIisS8JegjA6SHSAWJCMvi2osZx6wVRkEDlWG2B1JAfXUzCMNfHoZX0/XX9iYZ+4JIpjAtg=="; }; }; - "@lerna/rimraf-dir-3.13.0" = { + "@lerna/rimraf-dir-3.13.3" = { name = "_at_lerna_slash_rimraf-dir"; packageName = "@lerna/rimraf-dir"; - version = "3.13.0"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/rimraf-dir/-/rimraf-dir-3.13.0.tgz"; - sha512 = "kte+pMemulre8cmPqljxIYjCmdLByz8DgHBHXB49kz2EiPf8JJ+hJFt0PzEubEyJZ2YE2EVAx5Tv5+NfGNUQyQ=="; + url = "https://registry.npmjs.org/@lerna/rimraf-dir/-/rimraf-dir-3.13.3.tgz"; + sha512 = "d0T1Hxwu3gpYVv73ytSL+/Oy8JitsmvOYUR5ouRSABsmqS7ZZCh5t6FgVDDGVXeuhbw82+vuny1Og6Q0k4ilqw=="; }; }; - "@lerna/run-3.13.1" = { + "@lerna/run-3.13.3" = { name = "_at_lerna_slash_run"; packageName = "@lerna/run"; - version = "3.13.1"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/run/-/run-3.13.1.tgz"; - sha512 = "nv1oj7bsqppWm1M4ifN+/IIbVu9F4RixrbQD2okqDGYne4RQPAXyb5cEZuAzY/wyGTWWiVaZ1zpj5ogPWvH0bw=="; + url = "https://registry.npmjs.org/@lerna/run/-/run-3.13.3.tgz"; + sha512 = "ygnLIfIYS6YY1JHWOM4CsdZiY8kTYPsDFOLAwASlRnlAXF9HiMT08GFXLmMHIblZJ8yJhsM2+QgraCB0WdxzOQ=="; }; }; "@lerna/run-lifecycle-3.13.0" = { @@ -1570,13 +1606,13 @@ let sha512 = "SiJP75nwB8GhgwLKQfdkSnDufAaCbkZWJqEDlKOUPUvVOplRGnfL+BPQZH5nvq2BYSRXsksXWZ4UHVnQZI/HYA=="; }; }; - "@lerna/version-3.13.1" = { + "@lerna/version-3.13.3" = { name = "_at_lerna_slash_version"; packageName = "@lerna/version"; - version = "3.13.1"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/@lerna/version/-/version-3.13.1.tgz"; - sha512 = "WpfKc5jZBBOJ6bFS4atPJEbHSiywQ/Gcd+vrwaEGyQHWHQZnPTvhqLuq3q9fIb9sbuhH5pSY6eehhuBrKqTnjg=="; + url = "https://registry.npmjs.org/@lerna/version/-/version-3.13.3.tgz"; + sha512 = "o/yQGAwDHmyu17wTj4Kat1/uDhjYFMeG+H0Y0HC4zJ4a/T6rEiXx7jJrnucPTmTQTDcUBoH/It5LrPYGOPsExA=="; }; }; "@lerna/write-log-file-3.13.0" = { @@ -1597,58 +1633,58 @@ let sha512 = "bPHp6Ji8b41szTOcaP63VlnbbO5Ny6dwAATtY6JTjh5N2OLrb5Qk/Th5cRkRQhkWCt+EJsYrNB0MiL+Gpn6e3g=="; }; }; - "@node-red/editor-api-0.20.3" = { + "@node-red/editor-api-0.20.5" = { name = "_at_node-red_slash_editor-api"; packageName = "@node-red/editor-api"; - version = "0.20.3"; + version = "0.20.5"; src = fetchurl { - url = "https://registry.npmjs.org/@node-red/editor-api/-/editor-api-0.20.3.tgz"; - sha512 = "FQtWytVp3JrsDz9m7KIUwKh3TFwi+8yuBZ9y5sx7v6vj9LICcaLH5CZALH9SSeWUBLpwJ6ZesKEm2fGVCgty4Q=="; + url = "https://registry.npmjs.org/@node-red/editor-api/-/editor-api-0.20.5.tgz"; + sha512 = "UPVrqqoz2LLP1LVF0BZs1sAqQL1z7bYeF3JTx93Jxl6OFKOvH9NDn0DXMVbaxatANdfNu0PZ8E++oW2c5kj59A=="; }; }; - "@node-red/editor-client-0.20.3" = { + "@node-red/editor-client-0.20.5" = { name = "_at_node-red_slash_editor-client"; packageName = "@node-red/editor-client"; - version = "0.20.3"; + version = "0.20.5"; src = fetchurl { - url = "https://registry.npmjs.org/@node-red/editor-client/-/editor-client-0.20.3.tgz"; - sha512 = "5R0A2vqv36fSWkoiU3LMg4Q1Arvvy5iq7nFlowlZlcw3/RJBUdw+EJjd05RRBfnUkgk/Z2ycwus23G9BbIyCAw=="; + url = "https://registry.npmjs.org/@node-red/editor-client/-/editor-client-0.20.5.tgz"; + sha512 = "ujuCM1FdkL3bUOsiQJyLs7MGyjKFcy8F7fFMfev8P+JEa558WeGr/Va0paexMe6h7YEu3zq8r7U/EK/lgIXHZA=="; }; }; - "@node-red/nodes-0.20.3" = { + "@node-red/nodes-0.20.5" = { name = "_at_node-red_slash_nodes"; packageName = "@node-red/nodes"; - version = "0.20.3"; + version = "0.20.5"; src = fetchurl { - url = "https://registry.npmjs.org/@node-red/nodes/-/nodes-0.20.3.tgz"; - sha512 = "ZqFQPPn2lMxhELuzftm7K7YJ7NOm83iFWNe9IV89B0+8eRxcLjNHKLFp4SN/CzDvN/EM/vNadpUC8wNLtSLVcA=="; + url = "https://registry.npmjs.org/@node-red/nodes/-/nodes-0.20.5.tgz"; + sha512 = "aAf0rBsfkOi113J/7w2YxAt0jtq0Qg4AsIU2M3ggO1h+JUkZkKvmvxhZTKkBF5j/EGuLb00++n0z/cMkERfLPA=="; }; }; - "@node-red/registry-0.20.3" = { + "@node-red/registry-0.20.5" = { name = "_at_node-red_slash_registry"; packageName = "@node-red/registry"; - version = "0.20.3"; + version = "0.20.5"; src = fetchurl { - url = "https://registry.npmjs.org/@node-red/registry/-/registry-0.20.3.tgz"; - sha512 = "KiQ39lxNJEHz3tce0c/ipe1HsKtU49u4xte+cjCqne0GECwEeMWie+L+WYL1dK05LoURxm0TFFnNCWRHmqq+Gg=="; + url = "https://registry.npmjs.org/@node-red/registry/-/registry-0.20.5.tgz"; + sha512 = "OlyO6MZWlQmEVTSewgPOrdKOnkwBKnUSNeJ9eg/0AZirL9PG2Q+7/8ViwW2qWvNqt5TqImmg3kmnOZ1zteaB4g=="; }; }; - "@node-red/runtime-0.20.3" = { + "@node-red/runtime-0.20.5" = { name = "_at_node-red_slash_runtime"; packageName = "@node-red/runtime"; - version = "0.20.3"; + version = "0.20.5"; src = fetchurl { - url = "https://registry.npmjs.org/@node-red/runtime/-/runtime-0.20.3.tgz"; - sha512 = "eIVf5VonAHGf9MI4XBdRlNUIbgy4WJsHZWjEYYZ5AdqV5+mv9R4nXoeZLWHHWcHXmoQrWuW+1EEITss9z90W4w=="; + url = "https://registry.npmjs.org/@node-red/runtime/-/runtime-0.20.5.tgz"; + sha512 = "pUMRfeO8K1fDFYagAZfE4jzdnQqJdjYl4efKOP9CblLE3VFKn58xs+r1TsdaXZoUkuspllGNEkTXtOqqJw9bzw=="; }; }; - "@node-red/util-0.20.3" = { + "@node-red/util-0.20.5" = { name = "_at_node-red_slash_util"; packageName = "@node-red/util"; - version = "0.20.3"; + version = "0.20.5"; src = fetchurl { - url = "https://registry.npmjs.org/@node-red/util/-/util-0.20.3.tgz"; - sha512 = "dvUkwhf9jXp8ME9cRWsgeN7IOKa678BtKBmWvSZEbCfyhrLZ6ng3EncaPvwNYIdW2YU2hX03z6tWt7PsYxuG0A=="; + url = "https://registry.npmjs.org/@node-red/util/-/util-0.20.5.tgz"; + sha512 = "oTRVjGWBs4K1n26CrRs4ts9MBzrdqr04yVZ4Z5Cg/NOynK+Had+nPDFZyD575U0Yaj6H3IVickDvG2FBw+tlxw=="; }; }; "@nodelib/fs.stat-1.1.3" = { @@ -1660,13 +1696,13 @@ let sha512 = "shAmDyaQC4H92APFoIaVDHCx5bStIocgvbwQyxPRrbUY20V1EYTbSDchWbuwlMG3V17cprZhA6+78JfB+3DTPw=="; }; }; - "@octokit/endpoint-3.2.3" = { + "@octokit/endpoint-4.0.0" = { name = "_at_octokit_slash_endpoint"; packageName = "@octokit/endpoint"; - version = "3.2.3"; + version = "4.0.0"; src = fetchurl { - url = "https://registry.npmjs.org/@octokit/endpoint/-/endpoint-3.2.3.tgz"; - sha512 = "yUPCt4vMIOclox13CUxzuKiPJIFo46b/6GhUnUTw5QySczN1L0DtSxgmIZrZV4SAb9EyAqrceoyrWoYVnfF2AA=="; + url = "https://registry.npmjs.org/@octokit/endpoint/-/endpoint-4.0.0.tgz"; + sha512 = "b8sptNUekjREtCTJFpOfSIL4SKh65WaakcyxWzRcSPOk5RxkZJ/S8884NGZFxZ+jCB2rDURU66pSHn14cVgWVg=="; }; }; "@octokit/plugin-enterprise-rest-2.2.2" = { @@ -1678,22 +1714,22 @@ let sha512 = "CTZr64jZYhGWNTDGlSJ2mvIlFsm9OEO3LqWn9I/gmoHI4jRBp4kpHoFYNemG4oA75zUAcmbuWblb7jjP877YZw=="; }; }; - "@octokit/request-2.4.2" = { + "@octokit/request-3.0.0" = { name = "_at_octokit_slash_request"; packageName = "@octokit/request"; - version = "2.4.2"; + version = "3.0.0"; src = fetchurl { - url = "https://registry.npmjs.org/@octokit/request/-/request-2.4.2.tgz"; - sha512 = "lxVlYYvwGbKSHXfbPk5vxEA8w4zHOH1wobado4a9EfsyD3Cbhuhus1w0Ye9Ro0eMubGO8kNy5d+xNFisM3Tvaw=="; + url = "https://registry.npmjs.org/@octokit/request/-/request-3.0.0.tgz"; + sha512 = "DZqmbm66tq+a9FtcKrn0sjrUpi0UaZ9QPUCxxyk/4CJ2rseTMpAWRf6gCwOSUCzZcx/4XVIsDk+kz5BVdaeenA=="; }; }; - "@octokit/rest-16.20.0" = { + "@octokit/rest-16.25.0" = { name = "_at_octokit_slash_rest"; packageName = "@octokit/rest"; - version = "16.20.0"; + version = "16.25.0"; src = fetchurl { - url = "https://registry.npmjs.org/@octokit/rest/-/rest-16.20.0.tgz"; - sha512 = "tN5j64P6QymlMzKo94DG1LRNHCwMnLg5poZlVhsCfkHhEWKpofZ1qBDr2/0w6qDLav4EA1XXMmZdNpvGhc9BDQ=="; + url = "https://registry.npmjs.org/@octokit/rest/-/rest-16.25.0.tgz"; + sha512 = "QKIzP0gNYjyIGmY3Gpm3beof0WFwxFR+HhRZ+Wi0fYYhkEUvkJiXqKF56Pf5glzzfhEwOrggfluEld5F/ZxsKw=="; }; }; "@parcel/fs-1.11.0" = { @@ -1831,22 +1867,22 @@ let sha1 = "a777360b5b39a1a2e5106f8e858f2fd2d060c570"; }; }; - "@schematics/angular-7.3.6" = { + "@schematics/angular-7.3.8" = { name = "_at_schematics_slash_angular"; packageName = "@schematics/angular"; - version = "7.3.6"; + version = "7.3.8"; src = fetchurl { - url = "https://registry.npmjs.org/@schematics/angular/-/angular-7.3.6.tgz"; - sha512 = "Q4VXAjVaCDb2zXFXoIdOfNPsn+EQjqDBHK4a97omytnSNAmu1erl3l2FkEMi6x/VuzK2mQSzBbmHJIgauMmOAA=="; + url = "https://registry.npmjs.org/@schematics/angular/-/angular-7.3.8.tgz"; + sha512 = "7o90bnIxXNpJhWPDY/zCedcG6KMIihz7a4UQe6UdlhEX21MNZLYFiDiR5Vmsx39wjm2EfPh3JTuBIHGmMCXkQQ=="; }; }; - "@schematics/update-0.13.6" = { + "@schematics/update-0.13.8" = { name = "_at_schematics_slash_update"; packageName = "@schematics/update"; - version = "0.13.6"; + version = "0.13.8"; src = fetchurl { - url = "https://registry.npmjs.org/@schematics/update/-/update-0.13.6.tgz"; - sha512 = "TkeigdQTHG40ZGj4CAAzQHh7/rSotg0J6nkBBtc4Y+9md7IGg6dzSFJAvYbDX5JZ9tk7DpukdRHOVVopS/J0AQ=="; + url = "https://registry.npmjs.org/@schematics/update/-/update-0.13.8.tgz"; + sha512 = "2jP9w7Nnn24jOdrJtWjoS9LsNPmO9/Eu/+gDxBAVERCqR71mtNW+DopgWDtxleE9jri/pZWrHwShGFCSS7w23g=="; }; }; "@sindresorhus/is-0.14.0" = { @@ -1867,13 +1903,13 @@ let sha512 = "ONhaKPIufzzrlNbqtWFFd+jlnemX6lJAgq9ZeiZtS7I1PIf/la7CW4m83rTXRnVnsMbW2k56pGYu7AUFJD9Pow=="; }; }; - "@snyk/dep-graph-1.4.0" = { + "@snyk/dep-graph-1.4.1" = { name = "_at_snyk_slash_dep-graph"; packageName = "@snyk/dep-graph"; - version = "1.4.0"; + version = "1.4.1"; src = fetchurl { - url = "https://registry.npmjs.org/@snyk/dep-graph/-/dep-graph-1.4.0.tgz"; - sha512 = "dz4Fo4L9sN0Rt8hMe+zMYZ4mAiljtyIeWvujLyCxhIT5iHSlceUYlba5TDsOFuKyuZKkuhVjORWY1oFEuRzCcA=="; + url = "https://registry.npmjs.org/@snyk/dep-graph/-/dep-graph-1.4.1.tgz"; + sha512 = "7L096NNuNggcSjyOlITaU17n0dz0J4K4WpIHvatP4K0kIbhxolil1QbJF/+xKMRpW6OuaXILiP0hp7szhkEIzQ=="; }; }; "@snyk/gemfile-1.2.0" = { @@ -2074,13 +2110,13 @@ let sha512 = "V0clmJow23WeyblmACoxbHBu2JKlE5TiIme6Lem14FnPW9gsttyHtk6wq7njcdIWH1njAaFgR8gW09lgY98gQg=="; }; }; - "@types/express-serve-static-core-4.16.2" = { + "@types/express-serve-static-core-4.16.3" = { name = "_at_types_slash_express-serve-static-core"; packageName = "@types/express-serve-static-core"; - version = "4.16.2"; + version = "4.16.3"; src = fetchurl { - url = "https://registry.npmjs.org/@types/express-serve-static-core/-/express-serve-static-core-4.16.2.tgz"; - sha512 = "qgc8tjnDrc789rAQed8NoiFLV5VGcItA4yWNFphqGU0RcuuQngD00g3LHhWIK3HQ2XeDgVCmlNPDlqi3fWBHnQ=="; + url = "https://registry.npmjs.org/@types/express-serve-static-core/-/express-serve-static-core-4.16.3.tgz"; + sha512 = "HFgBmRDTvdnrRFXqBr2NM2NUCu6fIpzJsUTlRVENF8lxvstof7cl9Fxfwq5S0kJbO/FsPVcjlxpOM3ZxIkn7Rw=="; }; }; "@types/glob-7.1.1" = { @@ -2119,40 +2155,40 @@ let sha512 = "tHq6qdbT9U1IRSGf14CL0pUlULksvY9OZ+5eEgl1N7t+OA3tGvNpxJCzuKQlsNgCVwbAs670L1vcVQi8j9HjnA=="; }; }; - "@types/node-10.14.1" = { + "@types/node-10.14.5" = { name = "_at_types_slash_node"; packageName = "@types/node"; - version = "10.14.1"; + version = "10.14.5"; src = fetchurl { - url = "https://registry.npmjs.org/@types/node/-/node-10.14.1.tgz"; - sha512 = "Rymt08vh1GaW4vYB6QP61/5m/CFLGnFZP++bJpWbiNxceNa6RBipDmb413jvtSf/R1gg5a/jQVl2jY4XVRscEA=="; + url = "https://registry.npmjs.org/@types/node/-/node-10.14.5.tgz"; + sha512 = "Ja7d4s0qyGFxjGeDq5S7Si25OFibSAHUi6i17UWnwNnpitADN7hah9q0Tl25gxuV5R1u2Bx+np6w4LHXfHyj/g=="; }; }; - "@types/node-11.11.4" = { + "@types/node-11.13.7" = { name = "_at_types_slash_node"; packageName = "@types/node"; - version = "11.11.4"; + version = "11.13.7"; src = fetchurl { - url = "https://registry.npmjs.org/@types/node/-/node-11.11.4.tgz"; - sha512 = "02tIL+QIi/RW4E5xILdoAMjeJ9kYq5t5S2vciUdFPXv/ikFTb0zK8q9vXkg4+WAJuYXGiVT1H28AkD2C+IkXVw=="; + url = "https://registry.npmjs.org/@types/node/-/node-11.13.7.tgz"; + sha512 = "suFHr6hcA9mp8vFrZTgrmqW2ZU3mbWsryQtQlY/QvwTISCw7nw/j+bCQPPohqmskhmqa5wLNuMHTTsc+xf1MQg=="; }; }; - "@types/node-6.14.4" = { + "@types/node-6.14.5" = { name = "_at_types_slash_node"; packageName = "@types/node"; - version = "6.14.4"; + version = "6.14.5"; src = fetchurl { - url = "https://registry.npmjs.org/@types/node/-/node-6.14.4.tgz"; - sha512 = "UqB7h2dVJr/KdZXRMJIhNUWT0HXVe9UNvfLCOsqiSGKAVaAp0QniYHlU9yegxyG6Ug2rc7VdAD4hYj3VghqvAw=="; + url = "https://registry.npmjs.org/@types/node/-/node-6.14.5.tgz"; + sha512 = "50PRp2qLJYjnFV/BWc839MN/9YeSrNz5DWzCiKYw3GVF/YyMClcHxTWGsVc0CPNpJpk3CIp0dOqLxqP3U/Pc+A=="; }; }; - "@types/node-8.10.44" = { + "@types/node-8.10.46" = { name = "_at_types_slash_node"; packageName = "@types/node"; - version = "8.10.44"; + version = "8.10.46"; src = fetchurl { - url = "https://registry.npmjs.org/@types/node/-/node-8.10.44.tgz"; - sha512 = "HY3SK7egERHGUfY8p6ztXIEQWcIPHouYhCGcLAPQin7gE2G/fALFz+epnMwcxKUS6aKqTVoAFdi+t1llQd3xcw=="; + url = "https://registry.npmjs.org/@types/node/-/node-8.10.46.tgz"; + sha512 = "PfnRbk836fFs9T9QnZh0G1k9oC6YXCqIK3LX6vU/6oiXtEBSFCiJFj6UnLZtqIIHTsgMn8Dojq3yhmpwY7QWcw=="; }; }; "@types/q-1.5.2" = { @@ -2209,40 +2245,40 @@ let sha512 = "te5lMAWii1uEJ4FwLjzdlbw3+n0FZNOvFXHxQDKeT0dilh7HOzdMzV2TrJVUzq8ep7J4Na8OUYPRLSQkJHAlrg=="; }; }; - "@vue/cli-shared-utils-3.5.1" = { + "@vue/cli-shared-utils-3.6.0" = { name = "_at_vue_slash_cli-shared-utils"; packageName = "@vue/cli-shared-utils"; - version = "3.5.1"; + version = "3.6.0"; src = fetchurl { - url = "https://registry.npmjs.org/@vue/cli-shared-utils/-/cli-shared-utils-3.5.1.tgz"; - sha512 = "hCB7UbKeeC41w2Q8+Q7jmw3gHdq+ltRqp80S3uDRRGxwiOhxrSmdBHMzKUjh01L8bXOBRgvLey+BERi1Nj9n6Q=="; + url = "https://registry.npmjs.org/@vue/cli-shared-utils/-/cli-shared-utils-3.6.0.tgz"; + sha512 = "C8nTiJ7o+dncNLyOIOZF8P4bMJdOVXhWOuwyZKqn8k3CcsQVzuLyCKUHHezWc+sI+PJi4wIg2ZffCiueeIXZ+w=="; }; }; - "@vue/cli-ui-3.5.1" = { + "@vue/cli-ui-3.6.2" = { name = "_at_vue_slash_cli-ui"; packageName = "@vue/cli-ui"; - version = "3.5.1"; + version = "3.6.2"; src = fetchurl { - url = "https://registry.npmjs.org/@vue/cli-ui/-/cli-ui-3.5.1.tgz"; - sha512 = "/yobVdHcQ8/t13YmDmJylrlHXiItl5PLkm2AYc4HrSSbl/jAc/ws3vr3oVqwtLGem2o54nF99sBhxK0L7uhOrw=="; + url = "https://registry.npmjs.org/@vue/cli-ui/-/cli-ui-3.6.2.tgz"; + sha512 = "ZDsR0S5G54s/OXXFCiqB7I4hJCtnmWG+IYH4FPv7k/YSCNas7idOPt5iqhKiRArk9igMchg4K+XTIWz2m5/wdQ=="; }; }; - "@vue/cli-ui-addon-webpack-3.5.1" = { + "@vue/cli-ui-addon-webpack-3.6.3" = { name = "_at_vue_slash_cli-ui-addon-webpack"; packageName = "@vue/cli-ui-addon-webpack"; - version = "3.5.1"; + version = "3.6.3"; src = fetchurl { - url = "https://registry.npmjs.org/@vue/cli-ui-addon-webpack/-/cli-ui-addon-webpack-3.5.1.tgz"; - sha512 = "PaS6m6ipAJefjwngx3WVJ1B4ZxOH4yAGxbQzJcweG98CUppAzBQVvXWQNjqlMDaizMjIUdmpfWhmr5Z6dQ8tJg=="; + url = "https://registry.npmjs.org/@vue/cli-ui-addon-webpack/-/cli-ui-addon-webpack-3.6.3.tgz"; + sha512 = "l8tZjcjAdsz2fOe/DOhgTg9NcxyeLtqamT3kAjUkOen3+TsMBiFUxDMfbpfg0lSBsCL77rWTzq8ZriZ4mAUR6Q=="; }; }; - "@vue/cli-ui-addon-widgets-3.5.1" = { + "@vue/cli-ui-addon-widgets-3.6.0" = { name = "_at_vue_slash_cli-ui-addon-widgets"; packageName = "@vue/cli-ui-addon-widgets"; - version = "3.5.1"; + version = "3.6.0"; src = fetchurl { - url = "https://registry.npmjs.org/@vue/cli-ui-addon-widgets/-/cli-ui-addon-widgets-3.5.1.tgz"; - sha512 = "wGKDhmqvknLvQWdNHJEDPD5nRsaC7XspNiCkOXZg7Qm+uutFcu0I83ChKnvYRijhp4Mpmv0o5G50P7UKutM+AQ=="; + url = "https://registry.npmjs.org/@vue/cli-ui-addon-widgets/-/cli-ui-addon-widgets-3.6.0.tgz"; + sha512 = "qIBjUvHVJDynWCLI8MkSAoWtmEv8Ri8cNKaRbLgNzpa4vzG1TC9erKgMUntsC0T+1XuAUPgzsUWH4yBzlO8NHg=="; }; }; "@webassemblyjs/ast-1.8.1" = { @@ -2848,13 +2884,13 @@ let sha1 = "55bb5e98691507b74579d0513413217c380c54cf"; }; }; - "acorn-globals-4.3.0" = { + "acorn-globals-4.3.2" = { name = "acorn-globals"; packageName = "acorn-globals"; - version = "4.3.0"; + version = "4.3.2"; src = fetchurl { - url = "https://registry.npmjs.org/acorn-globals/-/acorn-globals-4.3.0.tgz"; - sha512 = "hMtHj3s5RnuhvHPowpBYvJVj3rAar82JiDQHvGs1zO0l10ocX/xEdBShNHTJaboucJUsScghp74pH3s7EnHHQw=="; + url = "https://registry.npmjs.org/acorn-globals/-/acorn-globals-4.3.2.tgz"; + sha512 = "BbzvZhVtZP+Bs1J1HcwrQe8ycfO0wStkSGxuul3He3GkHOIZ6eTqOkPuw9IP1X3+IkOo4wiJmwkobzXYz4wewQ=="; }; }; "acorn-jsx-3.0.1" = { @@ -3010,6 +3046,15 @@ let sha1 = "888344dad0220a72e3af50906117f48771925fac"; }; }; + "aggregate-error-2.2.0" = { + name = "aggregate-error"; + packageName = "aggregate-error"; + version = "2.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/aggregate-error/-/aggregate-error-2.2.0.tgz"; + sha512 = "E5n+IZkhh22/pFdUvHUU/o9z752lc+7tgHt+FXS/g6BjlbE9249dGmuS/SxIWMPhTljZJkFN+7OXE0+O5+WT8w=="; + }; + }; "airplay-js-0.2.16" = { name = "airplay-js"; packageName = "airplay-js"; @@ -3127,15 +3172,6 @@ let sha512 = "0mAYXMSauA8RZ7r+B4+EAOYcZEcO9OK5EiQCR7W7Cv4E44pJj56ZnkKLJ9/PAcOc0dT+LlV9fdDcq2TxVJfOYw=="; }; }; - "aliasify-2.1.0" = { - name = "aliasify"; - packageName = "aliasify"; - version = "2.1.0"; - src = fetchurl { - url = "https://registry.npmjs.org/aliasify/-/aliasify-2.1.0.tgz"; - sha1 = "7c30825b9450b9e6185ba27533eaf6e2067d4b42"; - }; - }; "align-text-0.1.4" = { name = "align-text"; packageName = "align-text"; @@ -3145,13 +3181,13 @@ let sha1 = "0cd90a561093f35d0a99256c22b7069433fad117"; }; }; - "aligned-block-file-1.1.5" = { + "aligned-block-file-1.2.0" = { name = "aligned-block-file"; packageName = "aligned-block-file"; - version = "1.1.5"; + version = "1.2.0"; src = fetchurl { - url = "https://registry.npmjs.org/aligned-block-file/-/aligned-block-file-1.1.5.tgz"; - sha512 = "is4MUrvNeD1NT6hs44n1GcHqTlm27oZJkgcrAeNytiGMKS/J2l72wtlLezdBzyQq7M6COZoBQR+P6lpaPyI12A=="; + url = "https://registry.npmjs.org/aligned-block-file/-/aligned-block-file-1.2.0.tgz"; + sha512 = "kBF1xv3mlGBuMxJ/5IrbQD43q7Pi3yyM5IedXxuTbbc6QV3vEnZK18fH9MadoA5LvIKkgCVWRPEMlHemfz5tMg=="; }; }; "almond-0.3.3" = { @@ -3244,6 +3280,15 @@ let sha512 = "XnTdFDQzbEewrDx8epWXdw7oqHMvv315vEtfqDiEhhWghIf4++h26c3/FMz7iTLhNrnj56DNIXpbxHZq+3s6qw=="; }; }; + "ansi-escape-sequences-4.1.0" = { + name = "ansi-escape-sequences"; + packageName = "ansi-escape-sequences"; + version = "4.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/ansi-escape-sequences/-/ansi-escape-sequences-4.1.0.tgz"; + sha512 = "dzW9kHxH011uBsidTXd14JXgzye/YLb2LzeKZ4bsgl/Knwx8AtbSFkkGxagdNOoh0DlqHCmfiEjWKBaqjOanVw=="; + }; + }; "ansi-escapes-1.4.0" = { name = "ansi-escapes"; packageName = "ansi-escapes"; @@ -3262,6 +3307,15 @@ let sha512 = "cBhpre4ma+U0T1oM5fXg7Dy1Jw7zzwv7lt/GoCpr+hDQJoYnKVPLL4dCvSEFMmQurOQvSrwT7SL/DAlhBI97RQ=="; }; }; + "ansi-escapes-4.1.0" = { + name = "ansi-escapes"; + packageName = "ansi-escapes"; + version = "4.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/ansi-escapes/-/ansi-escapes-4.1.0.tgz"; + sha512 = "2VY/iCUZTDLD/qxptS3Zn3c6k2MeIbYqjRXqM8T5oC7N2mMjh3xIU3oYru6cHGbldFa9h5i8N0fP65UaUqrMWA=="; + }; + }; "ansi-gray-0.1.1" = { name = "ansi-gray"; packageName = "ansi-gray"; @@ -3757,6 +3811,15 @@ let sha512 = "RRj/vu8WhmMM71G9BxMLRvcwpr1QUJZ9NXURGGo1v3fPiauzkQfNi31kM7irRNqR87NV+lJ/qI62iTzcAc+V0Q=="; }; }; + "app-module-path-2.2.0" = { + name = "app-module-path"; + packageName = "app-module-path"; + version = "2.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/app-module-path/-/app-module-path-2.2.0.tgz"; + sha1 = "641aa55dfb7d6a6f0a8141c4b9c0aa50b6c24dd5"; + }; + }; "append-0.1.1" = { name = "append"; packageName = "append"; @@ -4018,6 +4081,24 @@ let sha1 = "e39b09aea9def866a8f206e288af63919bae39c4"; }; }; + "array-back-2.0.0" = { + name = "array-back"; + packageName = "array-back"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/array-back/-/array-back-2.0.0.tgz"; + sha512 = "eJv4pLLufP3g5kcZry0j6WXpIbzYw9GUB4mVJZno9wfwiBxbizTnHCw3VJb07cBihbFX48Y7oSrW9y+gt4glyw=="; + }; + }; + "array-back-3.1.0" = { + name = "array-back"; + packageName = "array-back"; + version = "3.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/array-back/-/array-back-3.1.0.tgz"; + sha512 = "TkuxA4UCOvxuDK6NZYXCalszEzj+TLszyASooky+i742l9TqsOdYCMJJupxRic61hwquNtppB3hgcuq9SVSH1Q=="; + }; + }; "array-differ-1.0.0" = { name = "array-differ"; packageName = "array-differ"; @@ -4378,13 +4459,13 @@ let sha1 = "59667f41fadd4f20ccbc2bb96b8d4f7f78ec0367"; }; }; - "ast-types-0.12.2" = { + "ast-types-0.12.3" = { name = "ast-types"; packageName = "ast-types"; - version = "0.12.2"; + version = "0.12.3"; src = fetchurl { - url = "https://registry.npmjs.org/ast-types/-/ast-types-0.12.2.tgz"; - sha512 = "8c83xDLJM/dLDyXNLiR6afRRm4dPKN6KAnKqytRK3DBJul9lA+atxdQkNDkSVPdTqea5HiRq3lnnOIZ0MBpvdg=="; + url = "https://registry.npmjs.org/ast-types/-/ast-types-0.12.3.tgz"; + sha512 = "wJUcAfrdW+IgDoMGNz5MmcvahKgB7BwIbLupdKVVHxHNYt+HVR2k35swdYNv9aZpF8nvlkjbnkp2rrNwxGckZA=="; }; }; "ast-types-0.9.6" = { @@ -4513,13 +4594,13 @@ let sha512 = "R1BaUeJ4PMoLNJuk+0tLJgjmEqVsdN118+Z8O+alhnQDQgy0kmD5Mqi0DNEmMx2LM0Ed5yekKu+ZXYvIHceicg=="; }; }; - "async-each-1.0.2" = { + "async-each-1.0.3" = { name = "async-each"; packageName = "async-each"; - version = "1.0.2"; + version = "1.0.3"; src = fetchurl { - url = "https://registry.npmjs.org/async-each/-/async-each-1.0.2.tgz"; - sha512 = "6xrbvN0MOBKSJDdonmSSz2OwFSgxRaVtBDes26mj9KIGtDo+g9xosFRSC+i1gQh2oAN/tQ62AI/pGZGQjVOiRg=="; + url = "https://registry.npmjs.org/async-each/-/async-each-1.0.3.tgz"; + sha512 = "z/WhQ5FPySLdvREByI2vZiTWwCnF0moMJ1hK9YQwDTHKh6I7/uSckMetoRGb5UBZPC1z0jlw+n/XCgjeH7y1AQ=="; }; }; "async-limiter-1.0.0" = { @@ -4531,6 +4612,15 @@ let sha512 = "jp/uFnooOiO+L211eZOoSyzpOITMXx1rBITauYykG3BRYPu8h0UcxsPNB04RR5vo4Tyz3+ay17tR6JVf9qzYWg=="; }; }; + "async-mutex-0.1.3" = { + name = "async-mutex"; + packageName = "async-mutex"; + version = "0.1.3"; + src = fetchurl { + url = "https://registry.npmjs.org/async-mutex/-/async-mutex-0.1.3.tgz"; + sha1 = "0aad2112369795ab3f17e33744556d2ecf547566"; + }; + }; "async-retry-1.2.3" = { name = "async-retry"; packageName = "async-retry"; @@ -4594,6 +4684,15 @@ let sha512 = "Wm6ukoaOGJi/73p/cl2GvLjTI5JM1k/O14isD73YML8StrH/7/lRFgmg8nICZgD3bZZvjwCGxtMOD3wWNAu8cg=="; }; }; + "atob-lite-2.0.0" = { + name = "atob-lite"; + packageName = "atob-lite"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/atob-lite/-/atob-lite-2.0.0.tgz"; + sha1 = "0fef5ad46f1bd7a8502c65727f0367d5ee43d696"; + }; + }; "atomic-batcher-1.0.2" = { name = "atomic-batcher"; packageName = "atomic-batcher"; @@ -4612,6 +4711,15 @@ let sha512 = "TG+5YFiaKQ6CZiSQsosGMJ/IJzwMZ4V/rSdEXlD6+DwKyv8OyeUcprq34kp4yuS6bfQYXhxBC2Vm8PWo+iKBGQ=="; }; }; + "atomic-file-2.0.0" = { + name = "atomic-file"; + packageName = "atomic-file"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/atomic-file/-/atomic-file-2.0.0.tgz"; + sha512 = "8acsmdYLYCzawXHRV+ryvRppE6dkkPrSywy6wiCzjp2T0wX4rzxw1tJbPgUgZPdi3OQ3AMvvZ3Anrnq6bERvLg=="; + }; + }; "attach-ware-1.1.1" = { name = "attach-ware"; packageName = "attach-ware"; @@ -4648,13 +4756,13 @@ let sha1 = "00f35b2d27ac91b1f0d3ef2084c98cf1d1f0adc3"; }; }; - "aws-sdk-2.425.0" = { + "aws-sdk-2.441.0" = { name = "aws-sdk"; packageName = "aws-sdk"; - version = "2.425.0"; + version = "2.441.0"; src = fetchurl { - url = "https://registry.npmjs.org/aws-sdk/-/aws-sdk-2.425.0.tgz"; - sha512 = "SM2qZJPlZUKVzSSqNuCvONOhJ2kcFvU+hAwutjQeje2VKpSAbUbFCFWl6cki2FjiyGZYEPfl0Q+3ANJO8gx9BA=="; + url = "https://registry.npmjs.org/aws-sdk/-/aws-sdk-2.441.0.tgz"; + sha512 = "PnS2lih7p6sPJYUeUSxab7VNsldcHEsCJddHXnnAZRxd2nVa8pAAbPSAauJIN9E6Z4DBhvX3nuQjj+roP/KBTg=="; }; }; "aws-sign2-0.6.0" = { @@ -5287,6 +5395,15 @@ let sha512 = "5T6P4xPgpp0YDFvSWwEZ4NoE3aM4QBQXDzmVbraCkFj8zHM+mba8SyqB5DbZWyR7mYHo6Y7BdQo3MoA4m0TeQg=="; }; }; + "base-64-0.1.0" = { + name = "base-64"; + packageName = "base-64"; + version = "0.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/base-64/-/base-64-0.1.0.tgz"; + sha1 = "780a99c84e7d600260361511c4877613bf24f6bb"; + }; + }; "base62-0.1.1" = { name = "base62"; packageName = "base62"; @@ -5323,24 +5440,6 @@ let sha1 = "1101e9544f4a76b1bc3b26d452ca96d7a35e7978"; }; }; - "base64-js-1.1.2" = { - name = "base64-js"; - packageName = "base64-js"; - version = "1.1.2"; - src = fetchurl { - url = "https://registry.npmjs.org/base64-js/-/base64-js-1.1.2.tgz"; - sha1 = "d6400cac1c4c660976d90d07a04351d89395f5e8"; - }; - }; - "base64-js-1.2.0" = { - name = "base64-js"; - packageName = "base64-js"; - version = "1.2.0"; - src = fetchurl { - url = "https://registry.npmjs.org/base64-js/-/base64-js-1.2.0.tgz"; - sha1 = "a39992d723584811982be5e290bb6a53d86700f1"; - }; - }; "base64-js-1.2.3" = { name = "base64-js"; packageName = "base64-js"; @@ -5368,13 +5467,13 @@ let sha1 = "199fd661702a0e7b7dcae6e0698bb089c52f6d78"; }; }; - "base64-url-2.2.0" = { + "base64-url-2.2.1" = { name = "base64-url"; packageName = "base64-url"; - version = "2.2.0"; + version = "2.2.1"; src = fetchurl { - url = "https://registry.npmjs.org/base64-url/-/base64-url-2.2.0.tgz"; - sha512 = "Y4qHHAE+rWjmAFPQmHPiiD+hWwM/XvuFLlP6kVxlwZJK7rjiE2uIQR9tZ37iEr1E6iCj9799yxMAmiXzITb3lQ=="; + url = "https://registry.npmjs.org/base64-url/-/base64-url-2.2.1.tgz"; + sha512 = "RWaW1M7+pLUikK1bnGyiDe1oY2BKOtbS30Ua1pSAH41st59qDxi/XiggjVhHVPIejXY1eqJ21W3uxHtZpM6KQw=="; }; }; "base64id-0.1.0" = { @@ -5449,13 +5548,13 @@ let sha1 = "dc34314f4e679318093fc760272525f94bf25c16"; }; }; - "bcrypt-2.0.1" = { + "bcrypt-3.0.5" = { name = "bcrypt"; packageName = "bcrypt"; - version = "2.0.1"; + version = "3.0.5"; src = fetchurl { - url = "https://registry.npmjs.org/bcrypt/-/bcrypt-2.0.1.tgz"; - sha512 = "DwB7WgJPdskbR+9Y3OTJtwRq09Lmm7Na6b+4ewvXjkD0nfNRi1OozxljHm5ETlDCBq9DTy04lQz+rj+T2ztIJg=="; + url = "https://registry.npmjs.org/bcrypt/-/bcrypt-3.0.5.tgz"; + sha512 = "m4o91nB+Ce8696Ao4R3B/WtVWTc1Lszgd098/OIjU9D/URmdYwT3ooBs9uv1b97J5YhZweTq9lldPefTYZ0TwA=="; }; }; "bcrypt-nodejs-0.0.3" = { @@ -5566,13 +5665,13 @@ let sha1 = "159a49b9a9714c1fb102f2e0ed1906fab6a450f4"; }; }; - "big-integer-1.6.42" = { + "big-integer-1.6.43" = { name = "big-integer"; packageName = "big-integer"; - version = "1.6.42"; + version = "1.6.43"; src = fetchurl { - url = "https://registry.npmjs.org/big-integer/-/big-integer-1.6.42.tgz"; - sha512 = "3UQFKcRMx+5Z+IK5vYTMYK2jzLRJkt+XqyDdacgWgtMjjuifKpKTFneJLEgeBElOE2/lXZ1LcMcb5s8pwG2U8Q=="; + url = "https://registry.npmjs.org/big-integer/-/big-integer-1.6.43.tgz"; + sha512 = "9dULc9jsKmXl0Aeunug8wbF+58n+hQoFjqClN7WeZwGLh0XJUWyJJ9Ee+Ep+Ql/J9fRsTVaeThp8MhiCCrY0Jg=="; }; }; "big.js-5.2.2" = { @@ -5620,13 +5719,13 @@ let sha1 = "9f60553bc5ce8c3386f3b553cff47462adecaa79"; }; }; - "binary-extensions-1.13.0" = { + "binary-extensions-1.13.1" = { name = "binary-extensions"; packageName = "binary-extensions"; - version = "1.13.0"; + version = "1.13.1"; src = fetchurl { - url = "https://registry.npmjs.org/binary-extensions/-/binary-extensions-1.13.0.tgz"; - sha512 = "EgmjVLMn22z7eGGv3kcnHwSnJXmFHjISTY9E/S5lIcTD3Oxw05QTcBLNkJFzcb3cNueUdF/IN4U+d78V0zO8Hw=="; + url = "https://registry.npmjs.org/binary-extensions/-/binary-extensions-1.13.1.tgz"; + sha512 = "Un7MIEDdUC5gNpcGDV97op1Ywk748MpHcFTHoYs6qnj1Z3j7I53VG3nwZhKzoBZmbdRNnb6WRdFlwl7tSDuZGw=="; }; }; "binary-search-1.3.5" = { @@ -5764,13 +5863,13 @@ let sha1 = "ffd2eabc141d36ed5c1817df7e992f91fd7fc65c"; }; }; - "bittorrent-tracker-9.10.1" = { + "bittorrent-tracker-9.11.0" = { name = "bittorrent-tracker"; packageName = "bittorrent-tracker"; - version = "9.10.1"; + version = "9.11.0"; src = fetchurl { - url = "https://registry.npmjs.org/bittorrent-tracker/-/bittorrent-tracker-9.10.1.tgz"; - sha512 = "n5zTL/g6Wt0rb2EnkiyiaGYhth7I/N0/xMqGUpvGX/7g1scDGBVPhJnXR8lfp3/OMj681fv40o4q/otECMtZSA=="; + url = "https://registry.npmjs.org/bittorrent-tracker/-/bittorrent-tracker-9.11.0.tgz"; + sha512 = "T1zvW/kSeEnWT4I3JE+6c7aZbO5jtleZyQe911SyzIxFF9DvtUNWXud3p5ZUkXaoI2xXwfpvlks5VFj5SKEB+A=="; }; }; "bl-0.8.2" = { @@ -5899,13 +5998,13 @@ let sha1 = "f72d760be09b7f76d08ed8fae98b289a8d05fab3"; }; }; - "bluebird-3.5.3" = { + "bluebird-3.5.4" = { name = "bluebird"; packageName = "bluebird"; - version = "3.5.3"; + version = "3.5.4"; src = fetchurl { - url = "https://registry.npmjs.org/bluebird/-/bluebird-3.5.3.tgz"; - sha512 = "/qKPUQlaW1OyR51WeCPBvRnAlnZFUJkCSG5HzGnuIqhgyJtF+T94lFnn33eiazjRm2LAHVy2guNnaq48X9SJuw=="; + url = "https://registry.npmjs.org/bluebird/-/bluebird-3.5.4.tgz"; + sha512 = "FG+nFEZChJrbQ9tIccIfZJBz3J7mLrAhxakAbnrJWn8d7aKOC+LWifa0G+p4ZqKp4y13T7juYvdhq9NzKdsrjw=="; }; }; "blueimp-md5-2.10.0" = { @@ -6079,15 +6178,6 @@ let sha1 = "fef069bee85975b2ddcc2264aaa7c50dc17a3c7e"; }; }; - "bplist-creator-0.0.7" = { - name = "bplist-creator"; - packageName = "bplist-creator"; - version = "0.0.7"; - src = fetchurl { - url = "https://registry.npmjs.org/bplist-creator/-/bplist-creator-0.0.7.tgz"; - sha1 = "37df1536092824b87c42f957b01344117372ae45"; - }; - }; "bplist-parser-0.1.1" = { name = "bplist-parser"; packageName = "bplist-parser"; @@ -6205,22 +6295,13 @@ let sha512 = "qhAVI1+Av2X7qelOfAIYwXONood6XlZE/fXaBSmW/T5SzLAmCgzi+eiWE7fUvbHaeNBQH13UftjpXxsfLkMpgw=="; }; }; - "browserify-13.3.0" = { - name = "browserify"; - packageName = "browserify"; - version = "13.3.0"; - src = fetchurl { - url = "https://registry.npmjs.org/browserify/-/browserify-13.3.0.tgz"; - sha1 = "b5a9c9020243f0c70e4675bec8223bc627e415ce"; - }; - }; - "browserify-14.4.0" = { + "browserify-16.2.3" = { name = "browserify"; packageName = "browserify"; - version = "14.4.0"; + version = "16.2.3"; src = fetchurl { - url = "https://registry.npmjs.org/browserify/-/browserify-14.4.0.tgz"; - sha1 = "089a3463af58d0e48d8cd4070b3f74654d5abca9"; + url = "https://registry.npmjs.org/browserify/-/browserify-16.2.3.tgz"; + sha512 = "zQt/Gd1+W+IY+h/xX2NYMW4orQWhqSwyV+xsblycTtpOuB27h1fZhhNQuipJ4t79ohw4P4mMem0jp/ZkISQtjQ=="; }; }; "browserify-aes-1.2.0" = { @@ -6295,24 +6376,6 @@ let sha1 = "aa4eb68e5d7b658baa6bf6a57e630cbd7a93d298"; }; }; - "browserify-transform-tools-1.7.0" = { - name = "browserify-transform-tools"; - packageName = "browserify-transform-tools"; - version = "1.7.0"; - src = fetchurl { - url = "https://registry.npmjs.org/browserify-transform-tools/-/browserify-transform-tools-1.7.0.tgz"; - sha1 = "83e277221f63259bed2e7eb2a283a970a501f4c4"; - }; - }; - "browserify-zlib-0.1.4" = { - name = "browserify-zlib"; - packageName = "browserify-zlib"; - version = "0.1.4"; - src = fetchurl { - url = "https://registry.npmjs.org/browserify-zlib/-/browserify-zlib-0.1.4.tgz"; - sha1 = "bb35f8a519f600e0fa6b8485241c979d0141fb2d"; - }; - }; "browserify-zlib-0.2.0" = { name = "browserify-zlib"; packageName = "browserify-zlib"; @@ -6322,13 +6385,13 @@ let sha512 = "Z942RysHXmJrhqk88FmKBVq/v5tqmSkDz7p54G/MGyjMnCFFnC79XWNbg+Vta8W6Wb2qtSZTSxIGkJrRpCFEiA=="; }; }; - "browserslist-4.5.2" = { + "browserslist-4.5.5" = { name = "browserslist"; packageName = "browserslist"; - version = "4.5.2"; + version = "4.5.5"; src = fetchurl { - url = "https://registry.npmjs.org/browserslist/-/browserslist-4.5.2.tgz"; - sha512 = "zmJVLiKLrzko0iszd/V4SsjTaomFeoVzQGYYOYgRgsbh7WNh95RgDB0CmBdFWYs/3MyFSt69NypjL/h3iaddKQ=="; + url = "https://registry.npmjs.org/browserslist/-/browserslist-4.5.5.tgz"; + sha512 = "0QFO1r/2c792Ohkit5XI8Cm8pDtZxgNl2H6HU4mHrpYz7314pEYcsAVVatM0l/YmxPnEzh9VygXouj4gkFUTKA=="; }; }; "bser-2.0.0" = { @@ -6610,13 +6673,13 @@ let sha1 = "6c2a622efcf47c57bbbe1e2a9c37ad36c7925453"; }; }; - "busboy-0.3.0" = { + "busboy-0.3.1" = { name = "busboy"; packageName = "busboy"; - version = "0.3.0"; + version = "0.3.1"; src = fetchurl { - url = "https://registry.npmjs.org/busboy/-/busboy-0.3.0.tgz"; - sha512 = "e+kzZRAbbvJPLjQz2z+zAyr78BSi9IFeBTyLwF76g78Q2zRt/RZ1NtS3MS17v2yLqYfLz69zHdC+1L4ja8PwqQ=="; + url = "https://registry.npmjs.org/busboy/-/busboy-0.3.1.tgz"; + sha512 = "y7tTxhGKXcyBxRKAni+awqx8uqaJKrSFSNFSeRG5CsWNdmy2BIK+6VGWEW7TZnIO/533mtMEA4rOevQV815YJw=="; }; }; "byline-5.0.0" = { @@ -6853,13 +6916,13 @@ let sha1 = "06eb84f00eea413da86affefacbffb36093b3c50"; }; }; - "callsites-3.0.0" = { + "callsites-3.1.0" = { name = "callsites"; packageName = "callsites"; - version = "3.0.0"; + version = "3.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/callsites/-/callsites-3.0.0.tgz"; - sha512 = "tWnkwu9YEq2uzlBDI4RcLn8jrFvF9AOi8PxDNU3hZZjJcjkcRAq3vCI+vZcg1SuxISDYe86k9VZFwAxDiJGoAw=="; + url = "https://registry.npmjs.org/callsites/-/callsites-3.1.0.tgz"; + sha512 = "P8BjAsXvZS+VIDUI11hHCQEv74YT67YUi5JJFNWIqL235sBmjX4+qx9Muvls5ivyNENctx46xQLQ3aTuE7ssaQ=="; }; }; "camel-case-3.0.0" = { @@ -6907,13 +6970,13 @@ let sha1 = "d545635be1e33c542649c69173e5de6acfae34dd"; }; }; - "camelcase-5.2.0" = { + "camelcase-5.3.1" = { name = "camelcase"; packageName = "camelcase"; - version = "5.2.0"; + version = "5.3.1"; src = fetchurl { - url = "https://registry.npmjs.org/camelcase/-/camelcase-5.2.0.tgz"; - sha512 = "IXFsBS2pC+X0j0N/GE7Dm7j3bsEBp+oTpb7F50dwEVX7rf3IgwO9XatnegTsDtniKCUtEJH4fSU6Asw7uoVLfQ=="; + url = "https://registry.npmjs.org/camelcase/-/camelcase-5.3.1.tgz"; + sha512 = "L28STB170nwWS63UjtlEOE3dldQApaJXZkOI1uMFfzf3rRuPegHaHesyee+YxQ+W6SvRDQV6UrdOdRiR153wJg=="; }; }; "camelcase-keys-2.1.0" = { @@ -6943,13 +7006,13 @@ let sha512 = "bsTwuIg/BZZK/vreVTYYbSWoe2F+71P7K5QGEX+pT250DZbfU1MQ5prOKpPR+LL6uWKK3KMwMCAS74QB3Um1uw=="; }; }; - "caniuse-lite-1.0.30000951" = { + "caniuse-lite-1.0.30000962" = { name = "caniuse-lite"; packageName = "caniuse-lite"; - version = "1.0.30000951"; + version = "1.0.30000962"; src = fetchurl { - url = "https://registry.npmjs.org/caniuse-lite/-/caniuse-lite-1.0.30000951.tgz"; - sha512 = "eRhP+nQ6YUkIcNQ6hnvdhMkdc7n3zadog0KXNRxAZTT2kHjUb1yGn71OrPhSn8MOvlX97g5CR97kGVj8fMsXWg=="; + url = "https://registry.npmjs.org/caniuse-lite/-/caniuse-lite-1.0.30000962.tgz"; + sha512 = "WXYsW38HK+6eaj5IZR16Rn91TGhU3OhbwjKZvJ4HN/XBIABLKfbij9Mnd3pM0VEwZSlltWjoWg3I8FQ0DGgNOA=="; }; }; "capture-exit-2.0.0" = { @@ -7267,13 +7330,13 @@ let sha512 = "pmmkpIQRcnDA7EawKcg9+ncSZNTYfXqDx+K3oqqYvpZlqVBChjTomTfw+hePnkqYR3Y013818c0R1Q5P/7PGrQ=="; }; }; - "chloride-2.2.12" = { + "chloride-2.2.14" = { name = "chloride"; packageName = "chloride"; - version = "2.2.12"; + version = "2.2.14"; src = fetchurl { - url = "https://registry.npmjs.org/chloride/-/chloride-2.2.12.tgz"; - sha512 = "NNi7PsCL8gKKr3b2Lrg5WbMi76yqZBq01FyT2chj0ISE3UXjr1YezLkiJi2Lw9WFc5CeJteYsJY6hbh38KbUDQ=="; + url = "https://registry.npmjs.org/chloride/-/chloride-2.2.14.tgz"; + sha512 = "Jp3kpDIO4MlcJCFi4jER9P7k3sAVvIwbe4QJtM9Nkp43e/GQ/98HU1wJS6NdU6cbzfGrKWmMdRB+VNRrCynzfw=="; }; }; "chloride-test-1.2.4" = { @@ -7321,6 +7384,15 @@ let sha512 = "IwXUx0FXc5ibYmPC2XeEj5mpXoV66sR+t3jqu2NS2GYwCktt3KF1/Qqjws/NkegajBA4RbZ5+DDwlOiJsxDHEg=="; }; }; + "chokidar-2.1.5" = { + name = "chokidar"; + packageName = "chokidar"; + version = "2.1.5"; + src = fetchurl { + url = "https://registry.npmjs.org/chokidar/-/chokidar-2.1.5.tgz"; + sha512 = "i0TprVWp+Kj4WRPtInjexJ8Q+BqTE909VpH8xVhXrJkoc5QC8VO9TryGOqTr+2hljzc1sC62t22h5tZePodM/A=="; + }; + }; "chownr-0.0.2" = { name = "chownr"; packageName = "chownr"; @@ -7483,6 +7555,15 @@ let sha1 = "9e821501ae979986c46b1d66d2d432db2fd4ae31"; }; }; + "clean-stack-2.1.0" = { + name = "clean-stack"; + packageName = "clean-stack"; + version = "2.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/clean-stack/-/clean-stack-2.1.0.tgz"; + sha512 = "uQWrpRm+iZZUCAp7ZZJQbd4Za9I3AjR/3YTjmcnAtkauaIm/T5CT6U8zVI6e60T6OANqBFAzuR9/HB3NzuZCRA=="; + }; + }; "cli-1.0.1" = { name = "cli"; packageName = "cli"; @@ -7555,13 +7636,13 @@ let sha512 = "1QL4544moEsDVH9T/l6Cemov/37iv1RtoKf7NJ04A60+4MREXNfx/QvavbH6QoGdsD4N4Mwy49cmaINR/o2mdg=="; }; }; - "cli-spinners-2.0.0" = { + "cli-spinners-2.1.0" = { name = "cli-spinners"; packageName = "cli-spinners"; - version = "2.0.0"; + version = "2.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/cli-spinners/-/cli-spinners-2.0.0.tgz"; - sha512 = "yiEBmhaKPPeBj7wWm4GEdtPZK940p9pl3EANIrnJ3JnvWyrPjcFcsEq6qRUuQ7fzB0+Y82ld3p6B34xo95foWw=="; + url = "https://registry.npmjs.org/cli-spinners/-/cli-spinners-2.1.0.tgz"; + sha512 = "8B00fJOEh1HPrx4fo5eW16XmE1PcL1tGpGrxy63CXGP9nHdPBN63X75hA1zhvQuhVztJWLqV58Roj2qlNM7cAA=="; }; }; "cli-table-0.3.1" = { @@ -7654,6 +7735,15 @@ let sha512 = "2WNImOvCRe6r63Gk9pShfkwXsVtKCroMAevIbiae021mS850UkWPbevxsBz3tnvjZIEGvlwaqCPsw+4ulzNgJA=="; }; }; + "cliss-0.0.2" = { + name = "cliss"; + packageName = "cliss"; + version = "0.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/cliss/-/cliss-0.0.2.tgz"; + sha512 = "6rj9pgdukjT994Md13JCUAgTk91abAKrygL9sAvmHY4F6AKMOV8ccGaxhUUfcBuyg3sundWnn3JE0Mc9W6ZYqw=="; + }; + }; "cliui-2.1.0" = { name = "cliui"; packageName = "cliui"; @@ -8005,13 +8095,13 @@ let sha512 = "jCpd5+s0s0t7p3pHQKpnJ0TpQKKdleP71LWcA0aqiljpiuAkOSUFN/dyH8ZwF0hRmFlrIuRhufds1QyEP9EB+w=="; }; }; - "color-3.1.0" = { + "color-3.1.1" = { name = "color"; packageName = "color"; - version = "3.1.0"; + version = "3.1.1"; src = fetchurl { - url = "https://registry.npmjs.org/color/-/color-3.1.0.tgz"; - sha512 = "CwyopLkuRYO5ei2EpzpIh6LqJMt6Mt+jZhO5VI5f/wJLZriXQE32/SSqzmrh+QB+AZT81Cj8yv+7zwToW8ahZg=="; + url = "https://registry.npmjs.org/color/-/color-3.1.1.tgz"; + sha512 = "PvUltIXRjehRKPSy89VnDWFKY58xyhTLyxIg21vwQBI6qLwZNPmC8k3C1uytIgFKEpOIzN4y32iPm8231zFHIg=="; }; }; "color-convert-1.9.3" = { @@ -8194,6 +8284,15 @@ let sha512 = "PM54PkseWbiiD/mMsbvW351/u+dafwTJ0ye2qB60G1aGQP9j3xK2gmMDc+R34L3nDtx4qMCitXT75mkbkGJDLw=="; }; }; + "command-line-usage-4.1.0" = { + name = "command-line-usage"; + packageName = "command-line-usage"; + version = "4.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/command-line-usage/-/command-line-usage-4.1.0.tgz"; + sha512 = "MxS8Ad995KpdAC0Jopo/ovGIroV/m0KHwzKfXxKag6FHOkGsH8/lv5yjgablcRxCJJC0oJeUMuO/gmaq+Wq46g=="; + }; + }; "commander-0.6.1" = { name = "commander"; packageName = "commander"; @@ -8248,22 +8347,22 @@ let sha512 = "wPMUt6FnH2yzG95SA6mzjQOEKUU3aLaDEmzs1ti+1E9h+CsrZghRlqEM/EJ4KscsQVG8uNN4uVreUeT8+drlgg=="; }; }; - "commander-2.18.0" = { + "commander-2.19.0" = { name = "commander"; packageName = "commander"; - version = "2.18.0"; + version = "2.19.0"; src = fetchurl { - url = "https://registry.npmjs.org/commander/-/commander-2.18.0.tgz"; - sha512 = "6CYPa+JP2ftfRU2qkDK+UTVeQYosOg/2GbcjIcKPHfinyOLPVGXu/ovN86RP49Re5ndJK1N0kuiidFFuepc4ZQ=="; + url = "https://registry.npmjs.org/commander/-/commander-2.19.0.tgz"; + sha512 = "6tvAOO+D6OENvRAh524Dh9jcfKTYDQAqvqezbCW82xj5X0pSrcpxtvRKHLG0yBY6SD7PSDrJaj+0AiOcKVd1Xg=="; }; }; - "commander-2.19.0" = { + "commander-2.20.0" = { name = "commander"; packageName = "commander"; - version = "2.19.0"; + version = "2.20.0"; src = fetchurl { - url = "https://registry.npmjs.org/commander/-/commander-2.19.0.tgz"; - sha512 = "6tvAOO+D6OENvRAh524Dh9jcfKTYDQAqvqezbCW82xj5X0pSrcpxtvRKHLG0yBY6SD7PSDrJaj+0AiOcKVd1Xg=="; + url = "https://registry.npmjs.org/commander/-/commander-2.20.0.tgz"; + sha512 = "7j2y+40w61zy6YC2iRNpUe/NwhNyoXrYpHMrSunaMG64nRnaf96zO/KMQR4OyN/UnE5KLyEBnKHd4aG3rskjpQ=="; }; }; "commander-2.3.0" = { @@ -8365,6 +8464,15 @@ let sha1 = "99dd0ba457e1f9bc722b12c08ec33eeab31fa648"; }; }; + "compare-version-0.1.2" = { + name = "compare-version"; + packageName = "compare-version"; + version = "0.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/compare-version/-/compare-version-0.1.2.tgz"; + sha1 = "0162ec2d9351f5ddd59a9202cba935366a725080"; + }; + }; "component-bind-1.0.0" = { name = "component-bind"; packageName = "component-bind"; @@ -8392,6 +8500,15 @@ let sha1 = "137918d6d78283f7df7a6b7c5a63e140e69425e6"; }; }; + "component-emitter-1.3.0" = { + name = "component-emitter"; + packageName = "component-emitter"; + version = "1.3.0"; + src = fetchurl { + url = "https://registry.npmjs.org/component-emitter/-/component-emitter-1.3.0.tgz"; + sha512 = "Rd3se6QB+sO1TwqZjscQrurpEPIfO0/yYnSin6Q/rD3mOutHvUrCAhJub3r90uNb+SESBuE0QYoB90YdfatsRg=="; + }; + }; "component-inherit-0.0.3" = { name = "component-inherit"; packageName = "component-inherit"; @@ -8455,15 +8572,6 @@ let sha1 = "d8a96bd77fd68df7793a73036a3ba0d5405d477b"; }; }; - "concat-stream-1.5.2" = { - name = "concat-stream"; - packageName = "concat-stream"; - version = "1.5.2"; - src = fetchurl { - url = "https://registry.npmjs.org/concat-stream/-/concat-stream-1.5.2.tgz"; - sha1 = "708978624d856af41a5a741defdd261da752c266"; - }; - }; "concat-stream-1.6.2" = { name = "concat-stream"; packageName = "concat-stream"; @@ -8500,22 +8608,22 @@ let sha512 = "a1eOIcu8+7lUInge4Rpf/n4Krkf3Dd9lqhljRzII1/Zno/kRtUWnznPO3jOKBmTEktkt3fkxisUcivoj0ebzoA=="; }; }; - "configstore-1.4.0" = { + "configstore-3.1.2" = { name = "configstore"; packageName = "configstore"; - version = "1.4.0"; + version = "3.1.2"; src = fetchurl { - url = "https://registry.npmjs.org/configstore/-/configstore-1.4.0.tgz"; - sha1 = "c35781d0501d268c25c54b8b17f6240e8a4fb021"; + url = "https://registry.npmjs.org/configstore/-/configstore-3.1.2.tgz"; + sha512 = "vtv5HtGjcYUgFrXc6Kx747B83MRRVS5R1VTEQoXvuP+kMI+if6uywV0nDGoiydJRy4yk7h9od5Og0kxx4zUXmw=="; }; }; - "configstore-3.1.2" = { + "configstore-4.0.0" = { name = "configstore"; packageName = "configstore"; - version = "3.1.2"; + version = "4.0.0"; src = fetchurl { - url = "https://registry.npmjs.org/configstore/-/configstore-3.1.2.tgz"; - sha512 = "vtv5HtGjcYUgFrXc6Kx747B83MRRVS5R1VTEQoXvuP+kMI+if6uywV0nDGoiydJRy4yk7h9od5Og0kxx4zUXmw=="; + url = "https://registry.npmjs.org/configstore/-/configstore-4.0.0.tgz"; + sha512 = "CmquAXFBocrzaSM8mtGPMM/HiWmyIpr4CcJl/rgY2uCObZ/S7cKU0silxslqJejl+t/T9HS8E0PUNQD81JGUEQ=="; }; }; "connect-1.9.2" = { @@ -8788,58 +8896,58 @@ let sha512 = "YD1xzH7r9yXQte/HF9JBuEDfvjxxwDGGwZU1+ndanbY0oFgA+Po1T9JDSpPLdP0pZT6MhCAsdvFKC4TJ4MTJTA=="; }; }; - "conventional-changelog-core-3.1.6" = { + "conventional-changelog-core-3.2.2" = { name = "conventional-changelog-core"; packageName = "conventional-changelog-core"; - version = "3.1.6"; + version = "3.2.2"; src = fetchurl { - url = "https://registry.npmjs.org/conventional-changelog-core/-/conventional-changelog-core-3.1.6.tgz"; - sha512 = "5teTAZOtJ4HLR6384h50nPAaKdDr+IaU0rnD2Gg2C3MS7hKsEPH8pZxrDNqam9eOSPQg9tET6uZY79zzgSz+ig=="; + url = "https://registry.npmjs.org/conventional-changelog-core/-/conventional-changelog-core-3.2.2.tgz"; + sha512 = "cssjAKajxaOX5LNAJLB+UOcoWjAIBvXtDMedv/58G+YEmAXMNfC16mmPl0JDOuVJVfIqM0nqQiZ8UCm8IXbE0g=="; }; }; - "conventional-changelog-preset-loader-2.0.2" = { + "conventional-changelog-preset-loader-2.1.1" = { name = "conventional-changelog-preset-loader"; packageName = "conventional-changelog-preset-loader"; - version = "2.0.2"; + version = "2.1.1"; src = fetchurl { - url = "https://registry.npmjs.org/conventional-changelog-preset-loader/-/conventional-changelog-preset-loader-2.0.2.tgz"; - sha512 = "pBY+qnUoJPXAXXqVGwQaVmcye05xi6z231QM98wHWamGAmu/ghkBprQAwmF5bdmyobdVxiLhPY3PrCfSeUNzRQ=="; + url = "https://registry.npmjs.org/conventional-changelog-preset-loader/-/conventional-changelog-preset-loader-2.1.1.tgz"; + sha512 = "K4avzGMLm5Xw0Ek/6eE3vdOXkqnpf9ydb68XYmCc16cJ99XMMbc2oaNMuPwAsxVK6CC1yA4/I90EhmWNj0Q6HA=="; }; }; - "conventional-changelog-writer-4.0.3" = { + "conventional-changelog-writer-4.0.5" = { name = "conventional-changelog-writer"; packageName = "conventional-changelog-writer"; - version = "4.0.3"; + version = "4.0.5"; src = fetchurl { - url = "https://registry.npmjs.org/conventional-changelog-writer/-/conventional-changelog-writer-4.0.3.tgz"; - sha512 = "bIlpSiQtQZ1+nDVHEEh798Erj2jhN/wEjyw9sfxY9es6h7pREE5BNJjfv0hXGH/FTrAsEpHUq4xzK99eePpwuA=="; + url = "https://registry.npmjs.org/conventional-changelog-writer/-/conventional-changelog-writer-4.0.5.tgz"; + sha512 = "g/Myp4MaJ1A+f7Ai+SnVhkcWtaHk6flw0SYN7A+vQ+MTu0+gSovQWs4Pg4NtcNUcIztYQ9YHsoxHP+GGQplI7Q=="; }; }; - "conventional-commits-filter-2.0.1" = { + "conventional-commits-filter-2.0.2" = { name = "conventional-commits-filter"; packageName = "conventional-commits-filter"; - version = "2.0.1"; + version = "2.0.2"; src = fetchurl { - url = "https://registry.npmjs.org/conventional-commits-filter/-/conventional-commits-filter-2.0.1.tgz"; - sha512 = "92OU8pz/977udhBjgPEbg3sbYzIxMDFTlQT97w7KdhR9igNqdJvy8smmedAAgn4tPiqseFloKkrVfbXCVd+E7A=="; + url = "https://registry.npmjs.org/conventional-commits-filter/-/conventional-commits-filter-2.0.2.tgz"; + sha512 = "WpGKsMeXfs21m1zIw4s9H5sys2+9JccTzpN6toXtxhpw2VNF2JUXwIakthKBy+LN4DvJm+TzWhxOMWOs1OFCFQ=="; }; }; - "conventional-commits-parser-3.0.1" = { + "conventional-commits-parser-3.0.2" = { name = "conventional-commits-parser"; packageName = "conventional-commits-parser"; - version = "3.0.1"; + version = "3.0.2"; src = fetchurl { - url = "https://registry.npmjs.org/conventional-commits-parser/-/conventional-commits-parser-3.0.1.tgz"; - sha512 = "P6U5UOvDeidUJ8ebHVDIoXzI7gMlQ1OF/id6oUvp8cnZvOXMt1n8nYl74Ey9YMn0uVQtxmCtjPQawpsssBWtGg=="; + url = "https://registry.npmjs.org/conventional-commits-parser/-/conventional-commits-parser-3.0.2.tgz"; + sha512 = "y5eqgaKR0F6xsBNVSQ/5cI5qIF3MojddSUi1vKIggRkqUTbkqFKH9P5YX/AT1BVZp9DtSzBTIkvjyVLotLsVog=="; }; }; - "conventional-recommended-bump-4.0.4" = { + "conventional-recommended-bump-4.1.1" = { name = "conventional-recommended-bump"; packageName = "conventional-recommended-bump"; - version = "4.0.4"; + version = "4.1.1"; src = fetchurl { - url = "https://registry.npmjs.org/conventional-recommended-bump/-/conventional-recommended-bump-4.0.4.tgz"; - sha512 = "9mY5Yoblq+ZMqJpBzgS+RpSq+SUfP2miOR3H/NR9drGf08WCrY9B6HAGJZEm6+ThsVP917VHAahSOjM6k1vhPg=="; + url = "https://registry.npmjs.org/conventional-recommended-bump/-/conventional-recommended-bump-4.1.1.tgz"; + sha512 = "JT2vKfSP9kR18RXXf55BRY1O3AHG8FPg5btP3l7LYfcWJsiXI6MCf30DepQ98E8Qhowvgv7a8iev0J1bEDkTFA=="; }; }; "convert-source-map-1.1.3" = { @@ -9004,76 +9112,58 @@ let sha512 = "7cjuUME+p+S3HZlbllgsn2CDwS+5eCCX16qBgNC4jgSTf49qR1VKy/Zhl400m0IQXl/bPGEVqncgUUMjrr4s8A=="; }; }; - "cordova-app-hello-world-3.12.0" = { + "cordova-app-hello-world-4.0.0" = { name = "cordova-app-hello-world"; packageName = "cordova-app-hello-world"; - version = "3.12.0"; + version = "4.0.0"; src = fetchurl { - url = "https://registry.npmjs.org/cordova-app-hello-world/-/cordova-app-hello-world-3.12.0.tgz"; - sha1 = "270e06b67b2ae94bcfee6592ed39eb42303d186f"; + url = "https://registry.npmjs.org/cordova-app-hello-world/-/cordova-app-hello-world-4.0.0.tgz"; + sha512 = "hTNYHUJT5YyMa1cQQE1naGyU6Eh5D5Jl33sMnCh3+q15ZwWTL/TOy3k8+mUvjTp8bwhO5eECGKULYoVO+fp9ZA=="; }; }; - "cordova-common-2.2.5" = { + "cordova-common-3.1.0" = { name = "cordova-common"; packageName = "cordova-common"; - version = "2.2.5"; + version = "3.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/cordova-common/-/cordova-common-2.2.5.tgz"; - sha1 = "f93cef2ad494cfcbf56c46e3d612aaa9cb5fcc32"; + url = "https://registry.npmjs.org/cordova-common/-/cordova-common-3.1.0.tgz"; + sha512 = "J2MM1ioyLlBYdwdXH40OZL4hN7XEBOfurddKYifF4aTkb2PkbeM+KVORt53eyU+8ScA0QmRLuTG0EM55eLms0A=="; }; }; - "cordova-create-1.1.2" = { + "cordova-create-2.0.0" = { name = "cordova-create"; packageName = "cordova-create"; - version = "1.1.2"; + version = "2.0.0"; src = fetchurl { - url = "https://registry.npmjs.org/cordova-create/-/cordova-create-1.1.2.tgz"; - sha1 = "83b09271b378d1c03bc7d9a786fedd60485c3ccf"; + url = "https://registry.npmjs.org/cordova-create/-/cordova-create-2.0.0.tgz"; + sha512 = "72CaGg/7x+tiZlzeXKQXLTc8Jh4tbwLdu4Ib97kJ6+R3bcew/Yv/l2cVA2E0CaCuOCtouTqwi+YLcA2I4dPFTQ=="; }; }; - "cordova-fetch-1.3.1" = { + "cordova-fetch-2.0.1" = { name = "cordova-fetch"; packageName = "cordova-fetch"; - version = "1.3.1"; - src = fetchurl { - url = "https://registry.npmjs.org/cordova-fetch/-/cordova-fetch-1.3.1.tgz"; - sha512 = "/0PNQUPxHvVcjlzVQcydD5BQtfx1XdCfzQ2KigdtZma5oVVUtR4IxfnYB15RuT/GVb/SGRLvR5AIi2Gd5Gb+mg=="; - }; - }; - "cordova-js-4.2.4" = { - name = "cordova-js"; - packageName = "cordova-js"; - version = "4.2.4"; + version = "2.0.1"; src = fetchurl { - url = "https://registry.npmjs.org/cordova-js/-/cordova-js-4.2.4.tgz"; - sha512 = "Qy0O3w/gwbIqIJzlyCy60nPwJlF1c74ELpsfDIGXB92/uST5nQSSUDVDP4UOfb/c6OU7yPqxhCWOGROyTYKPDw=="; + url = "https://registry.npmjs.org/cordova-fetch/-/cordova-fetch-2.0.1.tgz"; + sha512 = "q21PeobERzE3Drli5htcl5X9Mtfvodih5VkqIwdRUsjDBCPv+I6ZonRjYGbNnXhYrYx7dm0m0j/7/Smf6Av3hg=="; }; }; - "cordova-lib-8.1.1" = { + "cordova-lib-9.0.1" = { name = "cordova-lib"; packageName = "cordova-lib"; - version = "8.1.1"; + version = "9.0.1"; src = fetchurl { - url = "https://registry.npmjs.org/cordova-lib/-/cordova-lib-8.1.1.tgz"; - sha512 = "PcrlEGRGubV2c9ThcSwoVtN/1hKQ0qtwRopl4188rD10gjtt8K+NSKrnRqh6Ia5PouVUUOZBrlhBxDd5BRbfeg=="; + url = "https://registry.npmjs.org/cordova-lib/-/cordova-lib-9.0.1.tgz"; + sha512 = "P9nQhq91gLOyKZkamvKNzzK89gLDpq8rKue/Vu7NUSgNzhPkiWW0w+6VRTbj/9QGVM9w2uDVhB9c9f6rrTXzCw=="; }; }; - "cordova-registry-mapper-1.1.15" = { - name = "cordova-registry-mapper"; - packageName = "cordova-registry-mapper"; - version = "1.1.15"; - src = fetchurl { - url = "https://registry.npmjs.org/cordova-registry-mapper/-/cordova-registry-mapper-1.1.15.tgz"; - sha1 = "e244b9185b8175473bff6079324905115f83dc7c"; - }; - }; - "cordova-serve-2.0.1" = { + "cordova-serve-3.0.0" = { name = "cordova-serve"; packageName = "cordova-serve"; - version = "2.0.1"; + version = "3.0.0"; src = fetchurl { - url = "https://registry.npmjs.org/cordova-serve/-/cordova-serve-2.0.1.tgz"; - sha512 = "3Xl1D5eyiQlY5ow6Kn/say0us2TqSw/zgQmyTLxbewTngQZ1CIqxmqD7EFGoCNBrB4HsdPmpiSpFCitybKQN9g=="; + url = "https://registry.npmjs.org/cordova-serve/-/cordova-serve-3.0.0.tgz"; + sha512 = "h479g/5a0PXn//yiFuMrD5MDEbB+mtihNkWcE6uD/aCh/6z0FRZ9sWH3NfZbHDB+Bp1yGLYsjbH8LZBL8KOQ0w=="; }; }; "core-js-2.5.7" = { @@ -9094,40 +9184,40 @@ let sha512 = "klh/kDpwX8hryYL14M9w/xei6vrv6sE8gTHDG7/T/+SEovB/G4ejwcfE/CBzO6Edsu+OETZMZ3wcX/EjUkrl5A=="; }; }; - "core-js-3.0.0" = { + "core-js-3.0.0-beta.13" = { name = "core-js"; packageName = "core-js"; - version = "3.0.0"; + version = "3.0.0-beta.13"; src = fetchurl { - url = "https://registry.npmjs.org/core-js/-/core-js-3.0.0.tgz"; - sha512 = "WBmxlgH2122EzEJ6GH8o9L/FeoUKxxxZ6q6VUxoTlsE4EvbTWKJb447eyVxTEuq0LpXjlq/kCB2qgBvsYRkLvQ=="; + url = "https://registry.npmjs.org/core-js/-/core-js-3.0.0-beta.13.tgz"; + sha512 = "16Q43c/3LT9NyePUJKL8nRIQgYWjcBhjJSMWg96PVSxoS0PeE0NHitPI3opBrs9MGGHjte1KoEVr9W63YKlTXQ=="; }; }; - "core-js-3.0.0-beta.13" = { + "core-js-3.0.1" = { name = "core-js"; packageName = "core-js"; - version = "3.0.0-beta.13"; + version = "3.0.1"; src = fetchurl { - url = "https://registry.npmjs.org/core-js/-/core-js-3.0.0-beta.13.tgz"; - sha512 = "16Q43c/3LT9NyePUJKL8nRIQgYWjcBhjJSMWg96PVSxoS0PeE0NHitPI3opBrs9MGGHjte1KoEVr9W63YKlTXQ=="; + url = "https://registry.npmjs.org/core-js/-/core-js-3.0.1.tgz"; + sha512 = "sco40rF+2KlE0ROMvydjkrVMMG1vYilP2ALoRXcYR4obqbYIuV3Bg+51GEDW+HF8n7NRA+iaA4qD0nD9lo9mew=="; }; }; - "core-js-compat-3.0.0" = { + "core-js-compat-3.0.1" = { name = "core-js-compat"; packageName = "core-js-compat"; - version = "3.0.0"; + version = "3.0.1"; src = fetchurl { - url = "https://registry.npmjs.org/core-js-compat/-/core-js-compat-3.0.0.tgz"; - sha512 = "W/Ppz34uUme3LmXWjMgFlYyGnbo1hd9JvA0LNQ4EmieqVjg2GPYbj3H6tcdP2QGPGWdRKUqZVbVKLNIFVs/HiA=="; + url = "https://registry.npmjs.org/core-js-compat/-/core-js-compat-3.0.1.tgz"; + sha512 = "2pC3e+Ht/1/gD7Sim/sqzvRplMiRnFQVlPpDVaHtY9l7zZP7knamr3VRD6NyGfHd84MrDC0tAM9ulNxYMW0T3g=="; }; }; - "core-js-pure-3.0.0" = { + "core-js-pure-3.0.1" = { name = "core-js-pure"; packageName = "core-js-pure"; - version = "3.0.0"; + version = "3.0.1"; src = fetchurl { - url = "https://registry.npmjs.org/core-js-pure/-/core-js-pure-3.0.0.tgz"; - sha512 = "yPiS3fQd842RZDgo/TAKGgS0f3p2nxssF1H65DIZvZv0Od5CygP8puHXn3IQiM/39VAvgCbdaMQpresrbGgt9g=="; + url = "https://registry.npmjs.org/core-js-pure/-/core-js-pure-3.0.1.tgz"; + sha512 = "mSxeQ6IghKW3MoyF4cz19GJ1cMm7761ON+WObSyLfTu/Jn3x7w4NwNFnrZxgl4MTSvYYepVLNuRtlB4loMwJ5g=="; }; }; "core-util-is-1.0.2" = { @@ -9184,13 +9274,13 @@ let sha512 = "6e5vDdrXZD+t5v0L8CrurPeybg4Fmf+FCSYxXKYVAqLUtyCSbuyqE059d0kDthTNRzKVjL7QMgNpEUlsoYH3iQ=="; }; }; - "cosmiconfig-5.1.0" = { + "cosmiconfig-5.2.0" = { name = "cosmiconfig"; packageName = "cosmiconfig"; - version = "5.1.0"; + version = "5.2.0"; src = fetchurl { - url = "https://registry.npmjs.org/cosmiconfig/-/cosmiconfig-5.1.0.tgz"; - sha512 = "kCNPvthka8gvLtzAxQXvWo4FxqRB+ftRZyPZNuab5ngvM9Y7yw7hbEysglptLgpkGX9nAOKTBVkHUAe8xtYR6Q=="; + url = "https://registry.npmjs.org/cosmiconfig/-/cosmiconfig-5.2.0.tgz"; + sha512 = "nxt+Nfc3JAqf4WIWd0jXLjTJZmsPLrA9DDc4nRw2KFJQJK7DNooqSXrNI7tzLG50CF8axczly5UV929tBmh/7g=="; }; }; "couch-login-0.1.20" = { @@ -9211,22 +9301,22 @@ let sha1 = "aba6c5833be410d45b1eca3e6d583844ce682c77"; }; }; - "cp-file-6.1.0" = { + "cp-file-6.2.0" = { name = "cp-file"; packageName = "cp-file"; - version = "6.1.0"; + version = "6.2.0"; src = fetchurl { - url = "https://registry.npmjs.org/cp-file/-/cp-file-6.1.0.tgz"; - sha512 = "an34I0lJwKncRKjxe3uGWUuiIIVYsHHjBGKld3OQB56hfoPCYom31VysvfuysKqHLbz6drnqP5YrCfLw17I2kw=="; + url = "https://registry.npmjs.org/cp-file/-/cp-file-6.2.0.tgz"; + sha512 = "fmvV4caBnofhPe8kOcitBwSn2f39QLjnAnGq3gO9dfd75mUytzKNZB1hde6QHunW2Rt+OwuBOMc3i1tNElbszA=="; }; }; - "cpy-7.1.0" = { + "cpy-7.2.0" = { name = "cpy"; packageName = "cpy"; - version = "7.1.0"; + version = "7.2.0"; src = fetchurl { - url = "https://registry.npmjs.org/cpy/-/cpy-7.1.0.tgz"; - sha512 = "HT6xnKeHwACUObD3LEFAsjeQ9IUVhC1Pn6Qbk0q6CEWy0WG061khT3ZxQU6IuMXPEEyb+vvluyUOyTdl+9EPWQ=="; + url = "https://registry.npmjs.org/cpy/-/cpy-7.2.0.tgz"; + sha512 = "CUYi9WYd7vdtEcq1NKqiS/yY2WdaDCNOBA/AoTQHVJzlpJMqctB8py9JrHgGIft6TgO5m8ZidI4l1ZD+RMr/wA=="; }; }; "crc-0.2.0" = { @@ -9328,13 +9418,13 @@ let sha512 = "KMd0KuvwVUg1grlRd5skG9ZkSbBYDDkAjDUMLnvxdRn0rL7ph3IwoOk7I8u1yLX4HYjGiLVlWYO55YWNNPjJFA=="; }; }; - "creato-1.0.4" = { + "creato-1.0.5" = { name = "creato"; packageName = "creato"; - version = "1.0.4"; + version = "1.0.5"; src = fetchurl { - url = "https://registry.npmjs.org/creato/-/creato-1.0.4.tgz"; - sha512 = "JwtxKvu+1zbzZoV6SPCRagkU3v5wylfvfsG/KCf6TUE0gjCE8V/Ft447WyV1JPTont080EVvz07k6qov0GDY1w=="; + url = "https://registry.npmjs.org/creato/-/creato-1.0.5.tgz"; + sha512 = "bneB5jF+I0XNe4d3E6PYszPRb+5S8B3UQ3hDlY6ZSkfEU34RKqCfbUodxwwJn8DhmPYiuefEqEGxvstXEwXuUA=="; }; }; "cron-1.7.0" = { @@ -9373,15 +9463,6 @@ let sha1 = "8254774ab4786b8c5b3cf4dfba66ce563932c252"; }; }; - "cross-spawn-4.0.2" = { - name = "cross-spawn"; - packageName = "cross-spawn"; - version = "4.0.2"; - src = fetchurl { - url = "https://registry.npmjs.org/cross-spawn/-/cross-spawn-4.0.2.tgz"; - sha1 = "7b9247621c23adfdd3856004a823cbe397424d41"; - }; - }; "cross-spawn-5.0.1" = { name = "cross-spawn"; packageName = "cross-spawn"; @@ -9733,13 +9814,13 @@ let sha1 = "541097234cb2513c83ceed3acddc27ff27987d54"; }; }; - "cssstyle-1.2.1" = { + "cssstyle-1.2.2" = { name = "cssstyle"; packageName = "cssstyle"; - version = "1.2.1"; + version = "1.2.2"; src = fetchurl { - url = "https://registry.npmjs.org/cssstyle/-/cssstyle-1.2.1.tgz"; - sha512 = "7DYm8qe+gPx/h77QlCyFmX80+fGaE/6A/Ekl0zaszYOubvySO2saYFdQ78P29D0UsULxFKCetDGNaNRUdSF+2A=="; + url = "https://registry.npmjs.org/cssstyle/-/cssstyle-1.2.2.tgz"; + sha512 = "43wY3kl1CVQSvL7wUY1qXkxVGkStjpkDmVjiIKX8R97uhajy8Bybay78uOtqvh7Q5GK75dNPfW0geWjE6qQQow=="; }; }; "csurf-1.8.3" = { @@ -9850,6 +9931,15 @@ let sha1 = "5d02a46850adf1b4a317946a3928fccb5bfd0425"; }; }; + "cwise-compiler-1.1.3" = { + name = "cwise-compiler"; + packageName = "cwise-compiler"; + version = "1.1.3"; + src = fetchurl { + url = "https://registry.npmjs.org/cwise-compiler/-/cwise-compiler-1.1.3.tgz"; + sha1 = "f4d667410e850d3a313a7d2db7b1e505bb034cc5"; + }; + }; "cycle-1.0.3" = { name = "cycle"; packageName = "cycle"; @@ -9949,13 +10039,13 @@ let sha1 = "bf533fedaa455ed8fee11519ebfb9ad66170dcdf"; }; }; - "dat-dns-3.2.0" = { + "dat-dns-3.2.1" = { name = "dat-dns"; packageName = "dat-dns"; - version = "3.2.0"; + version = "3.2.1"; src = fetchurl { - url = "https://registry.npmjs.org/dat-dns/-/dat-dns-3.2.0.tgz"; - sha512 = "3U17SGiMgSflp0CnqMxoOkyNPZF93q/ieITIjogmWc/gVwZ/z0PgAALgPxrwmebxf8rYCPukVRWfue/8F9PRMg=="; + url = "https://registry.npmjs.org/dat-dns/-/dat-dns-3.2.1.tgz"; + sha512 = "gCfU2FBg41Qg7RgqYBRD3bjYWAaJFO6UvKfCU9SA1LBy6vZ3EoTZH5doCYdTTQmVEsAxMef18W0lnvr1Z7rx0g=="; }; }; "dat-doctor-2.1.2" = { @@ -10012,13 +10102,13 @@ let sha512 = "oK6R74WV8TdhGR9VCLym7D/vlN8lXND5AyhJhrjtm1WNDrg/6Clx1Tk7k3Dt8quy2AmmGO7vbIk7iwFtzTAJfA=="; }; }; - "dat-node-3.5.14" = { + "dat-node-3.5.15" = { name = "dat-node"; packageName = "dat-node"; - version = "3.5.14"; + version = "3.5.15"; src = fetchurl { - url = "https://registry.npmjs.org/dat-node/-/dat-node-3.5.14.tgz"; - sha512 = "NTkLhalHkXseLcI/l8CA2yhf1TBnbuc0d98ojFuzQfEoVr0y+VPd5KrCm5u7Uhaj3YTGkpOxbDk5N6WH10ODMQ=="; + url = "https://registry.npmjs.org/dat-node/-/dat-node-3.5.15.tgz"; + sha512 = "hL7JlZr17x25t+RHJN5saBEOn/fZFt6d8FyS2p+XxnVIQug9bGjnbAXdWbRVO9UJLfnZyKfB23wjvHND1015+g=="; }; }; "dat-registry-4.0.1" = { @@ -10057,22 +10147,13 @@ let sha512 = "gz9RuhUxq3coYBrelzuFXCNyC579aO3Bm1Wlwa12/9tJr1NP0AAGxpHJYA1HZvt8X7ZdrtMzpFyNvs2Y9PFG6w=="; }; }; - "data-uri-to-buffer-1.2.0" = { - name = "data-uri-to-buffer"; - packageName = "data-uri-to-buffer"; - version = "1.2.0"; - src = fetchurl { - url = "https://registry.npmjs.org/data-uri-to-buffer/-/data-uri-to-buffer-1.2.0.tgz"; - sha512 = "vKQ9DTQPN1FLYiiEEOQ6IBGFqvjCa5rSK3cWMy/Nespm5d/x3dGFT9UBZnkLxCwua/IXBi2TYnwTEpsOvhC4UQ=="; - }; - }; - "data-uri-to-buffer-2.0.0" = { + "data-uri-to-buffer-2.0.1" = { name = "data-uri-to-buffer"; packageName = "data-uri-to-buffer"; - version = "2.0.0"; + version = "2.0.1"; src = fetchurl { - url = "https://registry.npmjs.org/data-uri-to-buffer/-/data-uri-to-buffer-2.0.0.tgz"; - sha512 = "YbKCNLPPP4inc0E5If4OaalBc7gpaM2MRv77Pv2VThVComLKfbGYtJcdDCViDyp1Wd4SebhHLz94vp91zbK6bw=="; + url = "https://registry.npmjs.org/data-uri-to-buffer/-/data-uri-to-buffer-2.0.1.tgz"; + sha512 = "OkVVLrerfAKZlW2ZZ3Ve2y65jgiWqBKsTfUIAFbn8nVbPcCZg6l6gikKlEYv0kXcmzqGm6mFq/Jf2vriuEkv8A=="; }; }; "data-urls-1.1.0" = { @@ -10444,6 +10525,15 @@ let sha512 = "LOHxIOaPYdHlJRtCQfDIVZtfw/ufM8+rVj649RIHzcm/vGwQRXFt6OPqIFWsm2XEMrNIEtWR64sY1LEKD2vAOA=="; }; }; + "deep-is-0.1.2" = { + name = "deep-is"; + packageName = "deep-is"; + version = "0.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/deep-is/-/deep-is-0.1.2.tgz"; + sha1 = "9ced65ea0bc0b09f42a6d79c1b1903f9d913cc18"; + }; + }; "deep-is-0.1.3" = { name = "deep-is"; packageName = "deep-is"; @@ -10678,13 +10768,13 @@ let sha1 = "84c6e159b81904fdca59a0ef44cd870d31250f9a"; }; }; - "denque-1.4.0" = { + "denque-1.4.1" = { name = "denque"; packageName = "denque"; - version = "1.4.0"; + version = "1.4.1"; src = fetchurl { - url = "https://registry.npmjs.org/denque/-/denque-1.4.0.tgz"; - sha512 = "gh513ac7aiKrAgjiIBWZG0EASyDF9p4JMWwKA8YU5s9figrL5SRNEMT6FDynsegakuhWd1wVqTvqvqAoDxw7wQ=="; + url = "https://registry.npmjs.org/denque/-/denque-1.4.1.tgz"; + sha512 = "OfzPuSZKGcgr96rf1oODnfjqBFmr1DVoc/TrItj3Ohe0Ah1C5WX5Baquw/9U9KovnQ88EqmJbD66rKYUQYN1tQ=="; }; }; "dep-graph-1.1.0" = { @@ -10714,15 +10804,6 @@ let sha1 = "9bcd52e14c097763e749b274c4346ed2e560b5a9"; }; }; - "dependency-ls-1.1.1" = { - name = "dependency-ls"; - packageName = "dependency-ls"; - version = "1.1.1"; - src = fetchurl { - url = "https://registry.npmjs.org/dependency-ls/-/dependency-ls-1.1.1.tgz"; - sha1 = "0481b07f023d74ce311192e5c690d13e18600054"; - }; - }; "deprecated-0.0.1" = { name = "deprecated"; packageName = "deprecated"; @@ -10876,6 +10957,15 @@ let sha1 = "806649326ceaa7caa3306d75d985ea2748ba913c"; }; }; + "diacritics-1.3.0" = { + name = "diacritics"; + packageName = "diacritics"; + version = "1.3.0"; + src = fetchurl { + url = "https://registry.npmjs.org/diacritics/-/diacritics-1.3.0.tgz"; + sha1 = "3efa87323ebb863e6696cebb0082d48ff3d6f7a1"; + }; + }; "diagnostics-1.1.1" = { name = "diagnostics"; packageName = "diagnostics"; @@ -10939,13 +11029,13 @@ let sha512 = "s2+XdvhPCOF01LRQBC8hf4vhbVmI2CGS5aZnxLJlT5FtdhPCDFq80q++zK2KlrVorVDdL5BOGZ/VfLrVtYNF+Q=="; }; }; - "diff2html-2.4.0" = { + "diff2html-2.7.0" = { name = "diff2html"; packageName = "diff2html"; - version = "2.4.0"; + version = "2.7.0"; src = fetchurl { - url = "https://registry.npmjs.org/diff2html/-/diff2html-2.4.0.tgz"; - sha1 = "de632384eefa5a7f6b0e92eafb1fa25d22dc88ab"; + url = "https://registry.npmjs.org/diff2html/-/diff2html-2.7.0.tgz"; + sha512 = "xaVsOea1ONo4lYIXd4G+pBLZ6RDkSM82My7irpuwXYK1WymbVdHgmWkBUGJKZyTyJhDHM3E30ml1nSOl5AyyEQ=="; }; }; "diffie-hellman-5.0.3" = { @@ -11020,6 +11110,15 @@ let sha1 = "e38331f0844bba49b9a9cb71c771585aab1bc65a"; }; }; + "discord.js-11.4.2" = { + name = "discord.js"; + packageName = "discord.js"; + version = "11.4.2"; + src = fetchurl { + url = "https://registry.npmjs.org/discord.js/-/discord.js-11.4.2.tgz"; + sha512 = "MDwpu0lMFTjqomijDl1Ed9miMQe6kB4ifKdP28QZllmLv/HVOJXhatRgjS8urp/wBlOfx+qAYSXcdI5cKGYsfg=="; + }; + }; "discovery-channel-5.5.1" = { name = "discovery-channel"; packageName = "discovery-channel"; @@ -11218,15 +11317,6 @@ let sha512 = "begvh4z5GV0kyxx+YgJZ7sIo/jsELx/v7MQxoLZpOvT5yFo18X8dfgtUmKAwdGuyMeugncylarLHlO4gIK6YNw=="; }; }; - "domain-browser-1.1.7" = { - name = "domain-browser"; - packageName = "domain-browser"; - version = "1.1.7"; - src = fetchurl { - url = "https://registry.npmjs.org/domain-browser/-/domain-browser-1.1.7.tgz"; - sha1 = "867aa4b093faa05f1de08c06f4d7b21fdf8698bc"; - }; - }; "domain-browser-1.2.0" = { name = "domain-browser"; packageName = "domain-browser"; @@ -11641,13 +11731,13 @@ let sha512 = "0xy4A/twfrRCnkhfk8ErDi5DqdAsAqeGxht4xkCUrsvhhbQNs7E+4jV0CN7+NKIY0aHE72+XvqtBIXzD31ZbXQ=="; }; }; - "electron-to-chromium-1.3.118" = { + "electron-to-chromium-1.3.125" = { name = "electron-to-chromium"; packageName = "electron-to-chromium"; - version = "1.3.118"; + version = "1.3.125"; src = fetchurl { - url = "https://registry.npmjs.org/electron-to-chromium/-/electron-to-chromium-1.3.118.tgz"; - sha512 = "/1FpHvmKmKo2Z6CCza2HfkrKvKhU7Rq4nvyX1FOherdTrdTufhVrJbCrcrIqgqUCI+BG6JC2rlY4z5QA1G0NOw=="; + url = "https://registry.npmjs.org/electron-to-chromium/-/electron-to-chromium-1.3.125.tgz"; + sha512 = "XxowpqQxJ4nDwUXHtVtmEhRqBpm2OnjBomZmZtHD0d2Eo0244+Ojezhk3sD/MBSSe2nxCdGQFRXHIsf/LUTL9A=="; }; }; "elegant-spinner-1.0.1" = { @@ -11659,15 +11749,6 @@ let sha1 = "db043521c95d7e303fd8f345bedc3349cfb0729e"; }; }; - "elementtree-0.1.6" = { - name = "elementtree"; - packageName = "elementtree"; - version = "0.1.6"; - src = fetchurl { - url = "https://registry.npmjs.org/elementtree/-/elementtree-0.1.6.tgz"; - sha1 = "2ac4c46ea30516c8c4cbdb5e3ac7418e592de20c"; - }; - }; "elementtree-0.1.7" = { name = "elementtree"; packageName = "elementtree"; @@ -11768,6 +11849,15 @@ let sha1 = "4daa4d9db00f9819880c79fa457ae5b09a1fd389"; }; }; + "emphasize-1.5.0" = { + name = "emphasize"; + packageName = "emphasize"; + version = "1.5.0"; + src = fetchurl { + url = "https://registry.npmjs.org/emphasize/-/emphasize-1.5.0.tgz"; + sha1 = "e3c5af2ddccb4982822a3349b471613cc7cecc92"; + }; + }; "enable-1.3.2" = { name = "enable"; packageName = "enable"; @@ -11849,6 +11939,15 @@ let sha512 = "1MkrZNvWTKCaigbn+W15elq2BB/L22nqrSY5DKlo3X6+vclJm8Bb5djXJBmEX6fS3+zCh/F4VBK5Z2KxJt4s2Q=="; }; }; + "endent-1.3.0" = { + name = "endent"; + packageName = "endent"; + version = "1.3.0"; + src = fetchurl { + url = "https://registry.npmjs.org/endent/-/endent-1.3.0.tgz"; + sha512 = "C8AryqPPwtydqcpO5AF6k9Bd1EpFkQtvsefJqS3y3n8TG13Jy63MascDxTOULZYqrUde+dK6BjNc6LIMr3iI2A=="; + }; + }; "ends-with-0.2.0" = { name = "ends-with"; packageName = "ends-with"; @@ -12020,22 +12119,22 @@ let sha512 = "zoB603vQReOFvTg5xMl9I1P2PnHsHQQKTEowsKKD7nseUfJq6UWzK+4YtlWUO1nhiQUxe6XMkk+JleSZD1NZFA=="; }; }; - "envinfo-5.11.1" = { + "envinfo-7.1.0" = { name = "envinfo"; packageName = "envinfo"; - version = "5.11.1"; + version = "7.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/envinfo/-/envinfo-5.11.1.tgz"; - sha512 = "rmEr5fZLYYSRCj3kDhriz6ju/oMgEzC92MwF3mggFba2EMjK+CUE13MQo17Ua2CDT+KFFPAGFosodUoL/wxjug=="; + url = "https://registry.npmjs.org/envinfo/-/envinfo-7.1.0.tgz"; + sha512 = "38LJhrmyQafVInoYlaEDxomIfjtK+HUtp1JsInWdKtpxk0MlTU60fqYHg0LrKgxxJuq6H89ddw4IkxfQejZ77g=="; }; }; - "envinfo-6.0.1" = { + "envinfo-7.2.0" = { name = "envinfo"; packageName = "envinfo"; - version = "6.0.1"; + version = "7.2.0"; src = fetchurl { - url = "https://registry.npmjs.org/envinfo/-/envinfo-6.0.1.tgz"; - sha512 = "IbMWvMQulMm1hiky1Zt5YTcSDEdZs0r9bt77mcLa4RUAKRYTGZvrb3MtAt47FuldPxwL+u2LtQex1FajIW1/Cw=="; + url = "https://registry.npmjs.org/envinfo/-/envinfo-7.2.0.tgz"; + sha512 = "hHDGx34o8V0IULC6MnMb+jIMEJ5LBuK/EIbFi8/aw81r6q+wXCvu9QCA9RPbpOaBxtlH/16cYB2HkBnRFTy4SQ=="; }; }; "epidemic-broadcast-trees-6.3.5" = { @@ -12218,6 +12317,15 @@ let sha512 = "aRVgGdnmW2OiySVPUC9e6m+plolMAJKjZnQlCwNSuK5yQ0JN61DZSO1X1Ufd1foqWRAlig0rhduTCHe7sVtK5Q=="; }; }; + "es6-promise-pool-2.5.0" = { + name = "es6-promise-pool"; + packageName = "es6-promise-pool"; + version = "2.5.0"; + src = fetchurl { + url = "https://registry.npmjs.org/es6-promise-pool/-/es6-promise-pool-2.5.0.tgz"; + sha1 = "147c612b36b47f105027f9d2bf54a598a99d9ccb"; + }; + }; "es6-promisify-5.0.0" = { name = "es6-promisify"; packageName = "es6-promisify"; @@ -12371,13 +12479,13 @@ let sha512 = "nqD5WQMisciZC5EHZowejLKQjWGuFS5c70fxqSKlnDME+oz9zmE8KTlX+lHSg+/5wsC/kf9Q9eMkC8qS3oM2fg=="; }; }; - "eslint-5.15.3" = { + "eslint-5.16.0" = { name = "eslint"; packageName = "eslint"; - version = "5.15.3"; + version = "5.16.0"; src = fetchurl { - url = "https://registry.npmjs.org/eslint/-/eslint-5.15.3.tgz"; - sha512 = "vMGi0PjCHSokZxE0NLp2VneGw5sio7SSiDNgIUn2tC0XkWJRNOIoHIg3CliLVfXnJsiHxGAYrkw0PieAu8+KYQ=="; + url = "https://registry.npmjs.org/eslint/-/eslint-5.16.0.tgz"; + sha512 = "S3Rz11i7c8AA5JPv7xAH+dOyq/Cu/VXHiHXBPOU1k/JAM5dXqQPt3qcrhpHSorXmrpu2g0gkIBVXAqCpzfoZIg=="; }; }; "eslint-plugin-no-unsafe-innerhtml-1.0.16" = { @@ -12425,13 +12533,13 @@ let sha512 = "qzm/XxIbxm/FHyH341ZrbnMUpe+5Bocte9xkmFMzPMjRaZMcXww+MpBptFvtU+79L362nqiLhekCxCxDPaUMBQ=="; }; }; - "esm-3.2.19" = { + "esm-3.2.22" = { name = "esm"; packageName = "esm"; - version = "3.2.19"; + version = "3.2.22"; src = fetchurl { - url = "https://registry.npmjs.org/esm/-/esm-3.2.19.tgz"; - sha512 = "thFxRC6o0gKfSiSwuUI2JO01f9JOm1qRWGfBLry0LF3L8mSeSTK58DqCE3+0ZKq02e/DClCJf3BW9nVwd/ejCw=="; + url = "https://registry.npmjs.org/esm/-/esm-3.2.22.tgz"; + sha512 = "z8YG7U44L82j1XrdEJcqZOLUnjxco8pO453gKOlaMD1/md1n/5QrscAmYG+oKUspsmDLuBFZrpbxI6aQ67yRxA=="; }; }; "espree-3.5.4" = { @@ -12893,6 +13001,15 @@ let sha1 = "a299effd335fe2721ebae8e257ec79644fc85337"; }; }; + "expand-template-1.1.1" = { + name = "expand-template"; + packageName = "expand-template"; + version = "1.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/expand-template/-/expand-template-1.1.1.tgz"; + sha512 = "cebqLtV8KOZfw0UI8TEFWxtczxxC1jvyUvx6H4fyp1K1FN7A4Q+uggVUlOsI1K8AGU0rwOGqP8nCapdrw8CYQg=="; + }; + }; "expand-template-2.0.3" = { name = "expand-template"; packageName = "expand-template"; @@ -13307,13 +13424,22 @@ let sha512 = "0BvMaZc1k9F+MeWWMe8pL6YltFzZYcJsYU7D4JyDA6PAczaXvxqQQ/z+mDF7/4Mw01DeUc+i3CTKajnkANkV4w=="; }; }; - "fast-json-patch-2.0.7" = { + "fast-json-parse-1.0.3" = { + name = "fast-json-parse"; + packageName = "fast-json-parse"; + version = "1.0.3"; + src = fetchurl { + url = "https://registry.npmjs.org/fast-json-parse/-/fast-json-parse-1.0.3.tgz"; + sha512 = "FRWsaZRWEJ1ESVNbDWmsAlqDk96gPQezzLghafp5J4GUKjbCz3OkAHuZs5TuPEtkbVQERysLp9xv6c24fBm8Aw=="; + }; + }; + "fast-json-patch-2.1.0" = { name = "fast-json-patch"; packageName = "fast-json-patch"; - version = "2.0.7"; + version = "2.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/fast-json-patch/-/fast-json-patch-2.0.7.tgz"; - sha512 = "DQeoEyPYxdTtfmB3yDlxkLyKTdbJ6ABfFGcMynDqjvGhPYLto/pZyb/dG2Nyd/n9CArjEWN9ZST++AFmgzgbGw=="; + url = "https://registry.npmjs.org/fast-json-patch/-/fast-json-patch-2.1.0.tgz"; + sha512 = "PipOsAKamRw7+CXtKiieehyjUeDVPJ5J7b2kdJYerEf6TSUQoD2ijpVyZ88KQm5YXziff4h762bz3+vzf56khg=="; }; }; "fast-json-stable-stringify-2.0.0" = { @@ -13514,6 +13640,15 @@ let sha512 = "bCg29ictuBaKUwwArK4ouCaqDgLZcysCFLmM/Yn/FDoqndh/9vNuQfXRDvTuXKLxfD/JtZQGKFT8MGcJBK644g=="; }; }; + "file-type-10.11.0" = { + name = "file-type"; + packageName = "file-type"; + version = "10.11.0"; + src = fetchurl { + url = "https://registry.npmjs.org/file-type/-/file-type-10.11.0.tgz"; + sha512 = "uzk64HRpUZyTGZtVuvrjP0FYxzQrBf4rojot6J65YMEbwBLB0CWm0CLojVpwpmFmxcE/lkvYICgfcGozbBq6rw=="; + }; + }; "file-type-3.9.0" = { name = "file-type"; packageName = "file-type"; @@ -13793,13 +13928,22 @@ let sha1 = "9326b1488c22d1a6088650a86901b2d9a90a2cbc"; }; }; - "fined-1.1.1" = { + "findup-sync-3.0.0" = { + name = "findup-sync"; + packageName = "findup-sync"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/findup-sync/-/findup-sync-3.0.0.tgz"; + sha512 = "YbffarhcicEhOrm4CtrwdKBdCuz576RLdhJDsIfvNtxUuhdRet1qZcsMjqbePtAseKdAnDyM/IyXbu7PRPRLYg=="; + }; + }; + "fined-1.2.0" = { name = "fined"; packageName = "fined"; - version = "1.1.1"; + version = "1.2.0"; src = fetchurl { - url = "https://registry.npmjs.org/fined/-/fined-1.1.1.tgz"; - sha512 = "jQp949ZmEbiYHk3gkbdtpJ0G1+kgtLQBNdP5edFP7Fh+WAYceLQz6yO1SBj72Xkg8GVyTB3bBzAYrHJVh5Xd5g=="; + url = "https://registry.npmjs.org/fined/-/fined-1.2.0.tgz"; + sha512 = "ZYDqPLGxDkDhDZBjZBb+oD1+j0rA4E0pXY50eplAAOPg2N/gUBSSk5IM1/QhPfyVo19lJ+CvXpqfvk+b2p/8Ng=="; }; }; "firefox-profile-1.2.0" = { @@ -13856,6 +14000,15 @@ let sha512 = "AHe4x/k9xHlSNPRya0FOCd42qa6ggmW4gtdy6mR0R1vdWtNq9zMd8nmMR5LB7fTNOA1f1nOU+uqaQHP7NMWmVA=="; }; }; + "fkill-6.1.0" = { + name = "fkill"; + packageName = "fkill"; + version = "6.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/fkill/-/fkill-6.1.0.tgz"; + sha512 = "538NpH8/vyIvi0offMGGlqT4Uhg05njCnMMuHIU0zohApV+hDi9lUzkrKhz3OcGrw6X8UZ2hPi3SCwvfYk2gBA=="; + }; + }; "flagged-respawn-1.0.1" = { name = "flagged-respawn"; packageName = "flagged-respawn"; @@ -13982,6 +14135,15 @@ let sha1 = "36ce06abe2e0e01c44dd69f2a165305a2320649b"; }; }; + "flumecodec-0.0.1" = { + name = "flumecodec"; + packageName = "flumecodec"; + version = "0.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/flumecodec/-/flumecodec-0.0.1.tgz"; + sha1 = "ae049a714386bb83e342657a82924b70364a90d6"; + }; + }; "flumedb-1.0.6" = { name = "flumedb"; packageName = "flumedb"; @@ -14036,13 +14198,13 @@ let sha512 = "3HkgA4u5aIrUIFJ+uRfEpRy/xFwTresz05wf/Sg3NigWrw8JWaGMmHToJpoL8ec9EvYKgP3JNj5wHLw9WEocsA=="; }; }; - "flumeview-reduce-1.3.15" = { + "flumeview-reduce-1.3.16" = { name = "flumeview-reduce"; packageName = "flumeview-reduce"; - version = "1.3.15"; + version = "1.3.16"; src = fetchurl { - url = "https://registry.npmjs.org/flumeview-reduce/-/flumeview-reduce-1.3.15.tgz"; - sha512 = "zxDvjzRKA9uvit6Za7u2qTLyeziZIzeEPtJT9X7UcsOKxrjydkq6k6AlCq9hM7mZLS7msYqRyn4XfItC4cZtYQ=="; + url = "https://registry.npmjs.org/flumeview-reduce/-/flumeview-reduce-1.3.16.tgz"; + sha512 = "4ATidV3QARML74eNdi+HPzGa4JtSZfnQpW6QQArlKZ6NRkjax3EFLt42hK2VJyADxnVnsVInt/ItqJL/4G1/5g=="; }; }; "flush-write-stream-1.1.1" = { @@ -14072,6 +14234,24 @@ let sha512 = "jqYfLp7mo9vIyQf8ykW2v7A+2N4QjeCeI5+Dz9XraiO1ign81wjiH7Fb9vSOWvQfNtmSa4H2RoQTrrXivdUZmw=="; }; }; + "for-each-property-0.0.4" = { + name = "for-each-property"; + packageName = "for-each-property"; + version = "0.0.4"; + src = fetchurl { + url = "https://registry.npmjs.org/for-each-property/-/for-each-property-0.0.4.tgz"; + sha1 = "cfa857aec1422e1d126ff08784fcf62629bc83f6"; + }; + }; + "for-each-property-deep-0.0.3" = { + name = "for-each-property-deep"; + packageName = "for-each-property-deep"; + version = "0.0.3"; + src = fetchurl { + url = "https://registry.npmjs.org/for-each-property-deep/-/for-each-property-deep-0.0.3.tgz"; + sha1 = "31309a4afc38a9cca06f1b223f53d64a6d083fad"; + }; + }; "for-in-0.1.8" = { name = "for-in"; packageName = "for-in"; @@ -14342,6 +14522,15 @@ let sha512 = "y6OAwoSIf7FyjMIv94u+b5rdheZEjzR63GTyZJm5qh4Bi+2YgwLCcI/fPFZkL5PSixOt6ZNKm+w+Hfp/Bciwow=="; }; }; + "fs-copy-file-sync-1.1.1" = { + name = "fs-copy-file-sync"; + packageName = "fs-copy-file-sync"; + version = "1.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/fs-copy-file-sync/-/fs-copy-file-sync-1.1.1.tgz"; + sha512 = "2QY5eeqVv4m2PfyMiEuy9adxNP+ajf+8AR05cEi+OAzPcOj90hvFImeZhTmKLBgSd9EvG33jsD7ZRxsx9dThkQ=="; + }; + }; "fs-exists-sync-0.1.0" = { name = "fs-exists-sync"; packageName = "fs-exists-sync"; @@ -14495,13 +14684,13 @@ let sha512 = "z8H8/diyk76B7q5wg+Ud0+CqzcAF3mBBI/bA5ne5zrRUUIvNkJY//D3BqyH571KuAC4Nr7Rw7CjWX4r0y9DvNg=="; }; }; - "fsevents-1.2.7" = { + "fsevents-1.2.8" = { name = "fsevents"; packageName = "fsevents"; - version = "1.2.7"; + version = "1.2.8"; src = fetchurl { - url = "https://registry.npmjs.org/fsevents/-/fsevents-1.2.7.tgz"; - sha512 = "Pxm6sI2MeBD7RdD12RYsqaP0nMiwx8eZBXCa6z2L+mRHm2DYrOYwihmhjpkdjUHwQhslWQjRpEgNq4XvBmaAuw=="; + url = "https://registry.npmjs.org/fsevents/-/fsevents-1.2.8.tgz"; + sha512 = "tPvHgPGB7m40CZ68xqFGkKuzN+RnpGmSV+hgeKxhRpbxdqKXUFJGC3yonBOLzQBcJyGpdZFDfCsdOC2KFsXzeA=="; }; }; "fsevents-2.0.1" = { @@ -14630,6 +14819,15 @@ let sha1 = "40b709537d24d1d45767db5a908689dfe69ac44f"; }; }; + "gaze-1.1.3" = { + name = "gaze"; + packageName = "gaze"; + version = "1.1.3"; + src = fetchurl { + url = "https://registry.npmjs.org/gaze/-/gaze-1.1.3.tgz"; + sha512 = "BRdNm8hbWzFzWHERTrejLqwHDfS4GibPoq5wjTPIoJHoBtKGPg3xAFfxmM+9ztbXelxcf2hwQcaz1PtmFeue8g=="; + }; + }; "generate-function-1.1.0" = { name = "generate-function"; packageName = "generate-function"; @@ -14729,6 +14927,15 @@ let sha1 = "dd7ce7de187c06c8bf353796ac71e099f0980ebc"; }; }; + "get-prototype-chain-1.0.1" = { + name = "get-prototype-chain"; + packageName = "get-prototype-chain"; + version = "1.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/get-prototype-chain/-/get-prototype-chain-1.0.1.tgz"; + sha1 = "a171a115ea1e4906c6ed3843a1f001c18510416f"; + }; + }; "get-proxy-2.1.0" = { name = "get-proxy"; packageName = "get-proxy"; @@ -14792,15 +14999,6 @@ let sha512 = "GMat4EJ5161kIy2HevLlr4luNjBgvmj413KaQA7jt4V8B4RDsfpHk7WQ9GVqfYyyx8OS/L66Kox+rJRNklLK7w=="; }; }; - "get-uri-2.0.2" = { - name = "get-uri"; - packageName = "get-uri"; - version = "2.0.2"; - src = fetchurl { - url = "https://registry.npmjs.org/get-uri/-/get-uri-2.0.2.tgz"; - sha512 = "ZD325dMZOgerGqF/rF6vZXyFGTAay62svjQIT+X/oU2PtxYpFxvSkbsdi+oxIrsNxlZVd4y8wUDqkaExWTI/Cw=="; - }; - }; "get-uri-2.0.3" = { name = "get-uri"; packageName = "get-uri"; @@ -15225,13 +15423,13 @@ let sha512 = "yTzMmKygLp8RUpG1Ymu2VXPSJQZjNAZPD4ywgYEaG7e4tBJeUQBO8OpXrf1RCNcEs5alsoJYPAMiIHP0cmeC7w=="; }; }; - "globby-9.1.0" = { + "globby-9.2.0" = { name = "globby"; packageName = "globby"; - version = "9.1.0"; + version = "9.2.0"; src = fetchurl { - url = "https://registry.npmjs.org/globby/-/globby-9.1.0.tgz"; - sha512 = "VtYjhHr7ncls724Of5W6Kaahz0ag7dB4G62/2HsN+xEKG6SrPzM1AJMerGxQTwJGnN9reeyxdvXbuZYpfssCvg=="; + url = "https://registry.npmjs.org/globby/-/globby-9.2.0.tgz"; + sha512 = "ollPHROa5mcxDEkwg6bPt3QbEf4pDQSNtd6JPL1YvOvAo/7/0VAm9TccUeoTmarjPw4pfUthSCqcyfNB1I3ZSg=="; }; }; "globule-0.1.0" = { @@ -15243,6 +15441,15 @@ let sha1 = "d9c8edde1da79d125a151b79533b978676346ae5"; }; }; + "globule-1.2.1" = { + name = "globule"; + packageName = "globule"; + version = "1.2.1"; + src = fetchurl { + url = "https://registry.npmjs.org/globule/-/globule-1.2.1.tgz"; + sha512 = "g7QtgWF4uYSL5/dn71WxubOrS7JVGCnFPEnoeChJmBnyR9Mw8nGoEwOgJL/RC2Te0WhbsEUCejfH8SZNJ+adYQ=="; + }; + }; "glogg-1.0.2" = { name = "glogg"; packageName = "glogg"; @@ -15414,13 +15621,13 @@ let sha512 = "QZ5BL8ZO/B20VA8APauGBg3GyEgZ19eduvpLWoq5x7gMmWnHoy8rlQWPLmWgFvo1yNgjSEFMesmS4R6pPr7xog=="; }; }; - "graphql-14.1.1" = { + "graphql-14.2.1" = { name = "graphql"; packageName = "graphql"; - version = "14.1.1"; + version = "14.2.1"; src = fetchurl { - url = "https://registry.npmjs.org/graphql/-/graphql-14.1.1.tgz"; - sha512 = "C5zDzLqvfPAgTtP8AUPIt9keDabrdRAqSWjj2OPRKrKxI9Fb65I36s1uCs1UUBFnSWTdO7hyHi7z1ZbwKMKF6Q=="; + url = "https://registry.npmjs.org/graphql/-/graphql-14.2.1.tgz"; + sha512 = "2PL1UbvKeSjy/lUeJqHk+eR9CvuErXoCNwJI4jm3oNFEeY+9ELqHNKO1ZuSxAkasPkpWbmT/iMRMFxd3cEL3tQ=="; }; }; "graphql-anywhere-4.2.1" = { @@ -15549,13 +15756,13 @@ let sha512 = "C8+EqwNCiQxUhbrWEokxN16oINAkhIDBzEpKHXeatBRaAyMczXm0J6HMaMSKOuQmk7P1PbDHIVW3FVZwXF2WJQ=="; }; }; - "graphql-subscriptions-1.0.0" = { + "graphql-subscriptions-1.1.0" = { name = "graphql-subscriptions"; packageName = "graphql-subscriptions"; - version = "1.0.0"; + version = "1.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/graphql-subscriptions/-/graphql-subscriptions-1.0.0.tgz"; - sha512 = "+ytmryoHF1LVf58NKEaNPRUzYyXplm120ntxfPcgOBC7TnK7Tv/4VRHeh4FAR9iL+O1bqhZs4nkibxQ+OA5cDQ=="; + url = "https://registry.npmjs.org/graphql-subscriptions/-/graphql-subscriptions-1.1.0.tgz"; + sha512 = "6WzlBFC0lWmXJbIVE8OgFgXIP4RJi3OQgTPa0DVMsDXdpRDjTsM1K9wfl5HSYX7R87QAGlvcv2Y4BIZa/ItonA=="; }; }; "graphql-tag-2.10.1" = { @@ -15576,22 +15783,22 @@ let sha512 = "chF12etTIGVVGy3fCTJ1ivJX2KB7OSG4c6UOJQuqOHCmBQwTyNgCDuejZKvpYxNZiEx7bwIjrodDgDe9RIkjlw=="; }; }; - "graphql-type-json-0.2.2" = { + "graphql-type-json-0.2.4" = { name = "graphql-type-json"; packageName = "graphql-type-json"; - version = "0.2.2"; + version = "0.2.4"; src = fetchurl { - url = "https://registry.npmjs.org/graphql-type-json/-/graphql-type-json-0.2.2.tgz"; - sha512 = "srKbRJWxvZ8J6b7P3F0PrOtKgWg3pxlUPb1xbSIB+aMdK+UPKpp4aDzPV1A+IUTlea6lk9FWwI08UXQApC03lw=="; + url = "https://registry.npmjs.org/graphql-type-json/-/graphql-type-json-0.2.4.tgz"; + sha512 = "/tq02ayMQjrG4oDFDRLLrPk0KvJXue0nVXoItBe7uAdbNXjQUu+HYCBdAmPLQoseVzUKKMzrhq2P/sfI76ON6w=="; }; }; - "graphql-upload-8.0.4" = { + "graphql-upload-8.0.5" = { name = "graphql-upload"; packageName = "graphql-upload"; - version = "8.0.4"; + version = "8.0.5"; src = fetchurl { - url = "https://registry.npmjs.org/graphql-upload/-/graphql-upload-8.0.4.tgz"; - sha512 = "jsTfVYXJ5mU6BXiiJ20CUCAcf41ICCQJ2ltwQFUuaFKiY4JhlG99uZZp5S3hbpQ/oA1kS7hz4pRtsnxPCa7Yfg=="; + url = "https://registry.npmjs.org/graphql-upload/-/graphql-upload-8.0.5.tgz"; + sha512 = "iv8R/E1b0GJ203Z2sdPgnCnU8tl9hQY+jkebiTNAjsWBT3j/I5VLBnPJdDhJSKIreWJ4/1LZjgOt60qjnH4/EQ=="; }; }; "gray-matter-2.1.1" = { @@ -15666,13 +15873,13 @@ let sha512 = "7Isf9Y690o/Q5MVjEylH1H7L8WeZ89woW7DnhD5unTintOdZb67KdOayRgp9trUFo+f9UyJtuatV42e/+kghPg=="; }; }; - "gulp-cli-2.0.1" = { + "gulp-cli-2.2.0" = { name = "gulp-cli"; packageName = "gulp-cli"; - version = "2.0.1"; + version = "2.2.0"; src = fetchurl { - url = "https://registry.npmjs.org/gulp-cli/-/gulp-cli-2.0.1.tgz"; - sha512 = "RxujJJdN8/O6IW2nPugl7YazhmrIEjmiVfPKrWt68r71UCaLKS71Hp0gpKT+F6qOUFtr7KqtifDKaAJPRVvMYQ=="; + url = "https://registry.npmjs.org/gulp-cli/-/gulp-cli-2.2.0.tgz"; + sha512 = "rGs3bVYHdyJpLqR0TUBnlcZ1O5O++Zs4bA0ajm+zr3WFCfiSLjGwoCBqFs18wzN+ZxahT9DkOK5nDf26iDsWjA=="; }; }; "gulp-less-3.5.0" = { @@ -15729,13 +15936,13 @@ let sha1 = "e28c4d45d05ecbbed818363ce8f9c5926229ffe5"; }; }; - "handlebars-4.1.1" = { + "handlebars-4.1.2" = { name = "handlebars"; packageName = "handlebars"; - version = "4.1.1"; + version = "4.1.2"; src = fetchurl { - url = "https://registry.npmjs.org/handlebars/-/handlebars-4.1.1.tgz"; - sha512 = "3Zhi6C0euYZL5sM0Zcy7lInLXKQ+YLcF/olbN010mzGQ4XVm50JeyBnMqofHh696GrciGruC7kCcApPDJvVgwA=="; + url = "https://registry.npmjs.org/handlebars/-/handlebars-4.1.2.tgz"; + sha512 = "nvfrjqvt9xQ8Z/w0ijewdD/vvWDTOweBUm96NTr66Wfvo1mJenBLwcYmPs3TIBP5ruzYGD7Hx/DaM9RmhroGPw=="; }; }; "har-schema-1.0.5" = { @@ -16143,6 +16350,15 @@ let sha512 = "l9sfDFsuqtOqKDsQdqrMRk0U85RZc0RtOR9yPI7mRVOa4FsR/BVnZ0shmQRM96Ji99kYZP/7hn1cedc1+ApsTQ=="; }; }; + "highlight.js-9.12.0" = { + name = "highlight.js"; + packageName = "highlight.js"; + version = "9.12.0"; + src = fetchurl { + url = "https://registry.npmjs.org/highlight.js/-/highlight.js-9.12.0.tgz"; + sha1 = "e6d9dbe57cbefe60751f02af336195870c90c01e"; + }; + }; "highlight.js-9.15.6" = { name = "highlight.js"; packageName = "highlight.js"; @@ -16179,13 +16395,13 @@ let sha1 = "20bb7403d3cea398e91dc4710a8ff1b8274a25ed"; }; }; - "hoek-6.1.2" = { + "hoek-6.1.3" = { name = "hoek"; packageName = "hoek"; - version = "6.1.2"; + version = "6.1.3"; src = fetchurl { - url = "https://registry.npmjs.org/hoek/-/hoek-6.1.2.tgz"; - sha512 = "6qhh/wahGYZHFSFw12tBbJw5fsAhhwrrG/y3Cs0YMTv2WzMnL0oLPnQJjv1QJvEfylRSOFuP+xCu+tdx0tD16Q=="; + url = "https://registry.npmjs.org/hoek/-/hoek-6.1.3.tgz"; + sha512 = "YXXAAhmF9zpQbC7LEcREFtXfGq5K1fmd+4PHkBq8NUqmzW3G+Dq10bI/i0KucLRwss3YYFQ0fSfoxBZYiGUqtQ=="; }; }; "hogan.js-3.0.2" = { @@ -16278,6 +16494,15 @@ let sha1 = "0df29351f0721163515dfb9e5543e5f6eed5162f"; }; }; + "html-minifier-3.5.21" = { + name = "html-minifier"; + packageName = "html-minifier"; + version = "3.5.21"; + src = fetchurl { + url = "https://registry.npmjs.org/html-minifier/-/html-minifier-3.5.21.tgz"; + sha512 = "LKUKwuJDhxNa3uf/LPR/KVjm/l3rBqtYeCOAekvG8F1vItxMUpueGd94i/asDDr8/1u7InxzFA5EeGjhhG5mMA=="; + }; + }; "html-tags-1.2.0" = { name = "html-tags"; packageName = "html-tags"; @@ -16467,15 +16692,6 @@ let sha1 = "9aecd925114772f3d95b65a60abb8f7c18fbace1"; }; }; - "https-browserify-0.0.1" = { - name = "https-browserify"; - packageName = "https-browserify"; - version = "0.0.1"; - src = fetchurl { - url = "https://registry.npmjs.org/https-browserify/-/https-browserify-0.0.1.tgz"; - sha1 = "3f91365cabe60b77ed0ebba24b454e3e09d95a82"; - }; - }; "https-browserify-1.0.0" = { name = "https-browserify"; packageName = "https-browserify"; @@ -16530,13 +16746,13 @@ let sha512 = "PH5GBkXqFxw5+4eKaKRIkD23y6vRd/IXSl7IldyJxEXpDH9SEIXRORkBtkGni/ae2P7RVOw6Wxypd2tGXhha1w=="; }; }; - "hypercore-6.25.0" = { + "hypercore-6.26.0" = { name = "hypercore"; packageName = "hypercore"; - version = "6.25.0"; + version = "6.26.0"; src = fetchurl { - url = "https://registry.npmjs.org/hypercore/-/hypercore-6.25.0.tgz"; - sha512 = "lUzqx3d0l+Ex7XryiUkNAM7wU7XVa9b4JcmulRqpdXLJGEV1VKTqn2IYnhAfKcoBjm5KjIgJZiiv5JBEdeEcQw=="; + url = "https://registry.npmjs.org/hypercore/-/hypercore-6.26.0.tgz"; + sha512 = "su/bucp958SyFWWF5uzeLT05N0k7gTbktvLCo0TaloXWSSs2OiFyj3Ka7v93yaD9u0vBT3i0foPoZnj1yC/dog=="; }; }; "hypercore-crypto-1.0.0" = { @@ -16557,13 +16773,13 @@ let sha512 = "80kUQN6aZhdip4vHRhLyYrJ8Uhj34Xw1RdAtMwQNChoOlnVAvOzVh+ffIs6NiqBF4ExU25ToOvPTaYv+pYZBbg=="; }; }; - "hyperdrive-9.14.3" = { + "hyperdrive-9.14.5" = { name = "hyperdrive"; packageName = "hyperdrive"; - version = "9.14.3"; + version = "9.14.5"; src = fetchurl { - url = "https://registry.npmjs.org/hyperdrive/-/hyperdrive-9.14.3.tgz"; - sha512 = "j722JRSwempuD8fn5VPVDHR/NFBFKxUlZ1ZLvTTipVkV70uWC9OyWYBlYqWp/b4ZgZpVhcpfzqzpsL1i/H+pTw=="; + url = "https://registry.npmjs.org/hyperdrive/-/hyperdrive-9.14.5.tgz"; + sha512 = "uSu6eYprXJhIYaZ7PSODNaozddTrVscZpfkHZwmOg0CKYyX03UovfLyfkhH8/QMew6n0iTg+iiyvp2zhnUapnQ=="; }; }; "hyperdrive-http-4.4.0" = { @@ -16602,13 +16818,13 @@ let sha1 = "d96c92732076f072711b6b10fd7d4f65ad8ee23d"; }; }; - "i18next-14.1.1" = { + "i18next-15.0.9" = { name = "i18next"; packageName = "i18next"; - version = "14.1.1"; + version = "15.0.9"; src = fetchurl { - url = "https://registry.npmjs.org/i18next/-/i18next-14.1.1.tgz"; - sha512 = "HItn9RHLyrDqe6pw6qXMYHGPHNc3y1FZndJfBlD6k4sRS0FAlYLvqCDVIWFc1XultBgsv348TtvL/lleG6JgBg=="; + url = "https://registry.npmjs.org/i18next/-/i18next-15.0.9.tgz"; + sha512 = "IdVj7DqErUuMbGuj2dFT431T7zKlmDci63eae6pNA/bMwgBZKT74/KnwHXE0WH7ivo2EV/LNme4pP4Yw6vB79w=="; }; }; "iconv-lite-0.4.11" = { @@ -16665,13 +16881,22 @@ let sha1 = "06ea6f83679a7749e386cfe1fe812ae5db223ded"; }; }; - "ieee754-1.1.12" = { + "idb-kv-store-4.4.0" = { + name = "idb-kv-store"; + packageName = "idb-kv-store"; + version = "4.4.0"; + src = fetchurl { + url = "https://registry.npmjs.org/idb-kv-store/-/idb-kv-store-4.4.0.tgz"; + sha1 = "22c56a8d5f90bd88f818a859db9c58627de278be"; + }; + }; + "ieee754-1.1.13" = { name = "ieee754"; packageName = "ieee754"; - version = "1.1.12"; + version = "1.1.13"; src = fetchurl { - url = "https://registry.npmjs.org/ieee754/-/ieee754-1.1.12.tgz"; - sha512 = "GguP+DRY+pJ3soyIiGPTvdiVXjZ+DbXOxGpXn3eMvNW4x4irjqXm4wHKscC+TfxSJ0yw/S1F24tqdMNsMZTiLA=="; + url = "https://registry.npmjs.org/ieee754/-/ieee754-1.1.13.tgz"; + sha512 = "4vf7I2LYV/HaWerSo3XmlMkp5eZ83i+/CDluXi/IGTs/O1sejBNhTtnxzmRZfvOUqj7lZjqHkeTvpgSFDlWZTg=="; }; }; "ieee754-1.1.8" = { @@ -16737,6 +16962,15 @@ let sha512 = "DTVlMx3IYPe0/JJcYP7Gxg7ttZZu3IInhuEhbchuqneY9wWe5Ojy2mXLBaQFUQmo0AW2r3qG7m1mg86js+gnlQ=="; }; }; + "image-data-uri-2.0.0" = { + name = "image-data-uri"; + packageName = "image-data-uri"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/image-data-uri/-/image-data-uri-2.0.0.tgz"; + sha512 = "PhIJxgfSQai/Xy8Nij1lWgK6++Y6x/ga2FKQTd8F71Nz2ArqtFr1F1UAREK0twrfp7mcEqidgGSF06No14/m+Q=="; + }; + }; "image-size-0.5.5" = { name = "image-size"; packageName = "image-size"; @@ -16746,6 +16980,15 @@ let sha1 = "09dfd4ab9d20e29eb1c3e80b8990378df9e3cb9c"; }; }; + "image-type-3.1.0" = { + name = "image-type"; + packageName = "image-type"; + version = "3.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/image-type/-/image-type-3.1.0.tgz"; + sha512 = "edYRXKQ3WD2yHXFGUbwoJVn5v7j1A6Z505uZUYIfzCwOOhPGLYSc3VOucF9fqbsaUbgb37DdjOU+WV4uo7ZooQ=="; + }; + }; "imap-0.8.19" = { name = "imap"; packageName = "imap"; @@ -17106,6 +17349,15 @@ let sha512 = "Z2rREiXA6cHRR9KBOarR3WuLlFzlIfAEIiB45ll5SSadMg7WqOh1MKEjjndfuH5ewXdixWCxqnVfGOQzPeiztA=="; }; }; + "inquirer-6.3.1" = { + name = "inquirer"; + packageName = "inquirer"; + version = "6.3.1"; + src = fetchurl { + url = "https://registry.npmjs.org/inquirer/-/inquirer-6.3.1.tgz"; + sha512 = "MmL624rfkFt4TG9y/Jvmt8vdmOo836U7Y0Hxr2aFk3RelZEGX4Igk0KabWrcaaZaTv9uzglOqWh1Vly+FAWAXA=="; + }; + }; "inquirer-autocomplete-prompt-1.0.1" = { name = "inquirer-autocomplete-prompt"; packageName = "inquirer-autocomplete-prompt"; @@ -17133,13 +17385,13 @@ let sha512 = "kLGeYQkh18f8KuC68QKdi0iwUcIaayJVB/STpX7x452/7pAUm1yfG4giJwcxbrTh0zNYtc8kBR+6maLMOzglOQ=="; }; }; - "insight-0.8.4" = { + "insight-0.10.3" = { name = "insight"; packageName = "insight"; - version = "0.8.4"; + version = "0.10.3"; src = fetchurl { - url = "https://registry.npmjs.org/insight/-/insight-0.8.4.tgz"; - sha1 = "671caf65b47c9fe8c3d1b3206cf45bb211b75884"; + url = "https://registry.npmjs.org/insight/-/insight-0.10.3.tgz"; + sha512 = "YOncxSN6Omh+1Oqxt+OJAvJVMDKw7l6IEG0wT2cTMGxjsTcroOGW4IR926QDzxg/uZHcFZ2cZbckDWdZhc2pZw=="; }; }; "inspect-custom-symbol-1.1.0" = { @@ -17151,6 +17403,60 @@ let sha512 = "vtI2YXBRZBkU6DlfHfd0GtZENfiEiTacAXUd0ZY6HA+X7aPznpFfPmzSC+tHKXAkz9KDSdI4AYfwAMXR5t+isg=="; }; }; + "inspect-function-0.2.2" = { + name = "inspect-function"; + packageName = "inspect-function"; + version = "0.2.2"; + src = fetchurl { + url = "https://registry.npmjs.org/inspect-function/-/inspect-function-0.2.2.tgz"; + sha1 = "85da0c5258bc4c330ae3283b6747e0759d90a635"; + }; + }; + "inspect-function-0.3.4" = { + name = "inspect-function"; + packageName = "inspect-function"; + version = "0.3.4"; + src = fetchurl { + url = "https://registry.npmjs.org/inspect-function/-/inspect-function-0.3.4.tgz"; + sha512 = "s0RsbJqK/sNZ+U1mykGoTickog3ea1A9Qk4mXniogOBu4PgkkZ56elScO7QC/r8D94lhGmJ2NyDI1ipOA/uq/g=="; + }; + }; + "inspect-parameters-declaration-0.0.10" = { + name = "inspect-parameters-declaration"; + packageName = "inspect-parameters-declaration"; + version = "0.0.10"; + src = fetchurl { + url = "https://registry.npmjs.org/inspect-parameters-declaration/-/inspect-parameters-declaration-0.0.10.tgz"; + sha512 = "L8/Bvt9iDXQTZ63xY5/MAyvzz+FagR/qGh1kIXvUpsno3AAE0Z95d6QO51zrcMGaEGpwh/57idfMxTxbvRmytg=="; + }; + }; + "inspect-parameters-declaration-0.0.8" = { + name = "inspect-parameters-declaration"; + packageName = "inspect-parameters-declaration"; + version = "0.0.8"; + src = fetchurl { + url = "https://registry.npmjs.org/inspect-parameters-declaration/-/inspect-parameters-declaration-0.0.8.tgz"; + sha512 = "W4QzN1LgFmasKOM+NoLlDd2OAZM3enNZlVUOXoGQKmYBDFgxoPDOyebF55ALaf8avyM9TavNwibXxg347RrzCg=="; + }; + }; + "inspect-parameters-declaration-0.0.9" = { + name = "inspect-parameters-declaration"; + packageName = "inspect-parameters-declaration"; + version = "0.0.9"; + src = fetchurl { + url = "https://registry.npmjs.org/inspect-parameters-declaration/-/inspect-parameters-declaration-0.0.9.tgz"; + sha512 = "c3jrKKA1rwwrsjdGMAo2hFWV0vNe3/RKHxpE/OBt41LP3ynOVI1qmgxpZYK5SQu3jtWCyaho8L7AZzCjJ4mEUw=="; + }; + }; + "inspect-property-0.0.6" = { + name = "inspect-property"; + packageName = "inspect-property"; + version = "0.0.6"; + src = fetchurl { + url = "https://registry.npmjs.org/inspect-property/-/inspect-property-0.0.6.tgz"; + sha512 = "LgjHkRl9W6bj2n+kWrAOgvCYPTYt+LanE4rtd/vKNq6yEb+SvVV7UTLzoSPpDX6/U1cAz7VfqPr+lPAIz7wHaQ=="; + }; + }; "int53-0.2.4" = { name = "int53"; packageName = "int53"; @@ -17160,6 +17466,15 @@ let sha1 = "5ed8d7aad6c5c6567cae69aa7ffc4a109ee80f86"; }; }; + "int53-1.0.0" = { + name = "int53"; + packageName = "int53"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/int53/-/int53-1.0.0.tgz"; + sha512 = "u8BMiMa05OPBgd32CKTead0CVTsFVgwFk23nNXo1teKPF6Sxcu0lXxEzP//zTcaKzXbGgPDXGmj/woyv+I4C5w=="; + }; + }; "int64-buffer-0.1.10" = { name = "int64-buffer"; packageName = "int64-buffer"; @@ -17268,6 +17583,15 @@ let sha512 = "wPVv/y/QQ/Uiirj/vh3oP+1Ww+AWehmi1g5fFWGPF6IpCBCDVrhgHRMvrLfdYcwDh3QJbGXDW4JAuzxElLSqKA=="; }; }; + "iota-array-1.0.0" = { + name = "iota-array"; + packageName = "iota-array"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/iota-array/-/iota-array-1.0.0.tgz"; + sha1 = "81ef57fe5d05814cd58c2483632a99c30a0e8087"; + }; + }; "ip-1.1.5" = { name = "ip"; packageName = "ip"; @@ -17322,15 +17646,6 @@ let sha1 = "5fa78cf301b825c78abc3042d812723049ea23c7"; }; }; - "ipaddr.js-1.8.0" = { - name = "ipaddr.js"; - packageName = "ipaddr.js"; - version = "1.8.0"; - src = fetchurl { - url = "https://registry.npmjs.org/ipaddr.js/-/ipaddr.js-1.8.0.tgz"; - sha1 = "eaa33d6ddd7ace8f7f6fe0c9ca0440e706738b1e"; - }; - }; "ipaddr.js-1.9.0" = { name = "ipaddr.js"; packageName = "ipaddr.js"; @@ -17376,6 +17691,15 @@ let sha1 = "847491119fccb5fb436217cc737f7faad50f603f"; }; }; + "is-absolute-0.2.6" = { + name = "is-absolute"; + packageName = "is-absolute"; + version = "0.2.6"; + src = fetchurl { + url = "https://registry.npmjs.org/is-absolute/-/is-absolute-0.2.6.tgz"; + sha1 = "20de69f3db942ef2d87b9c2da36f172235b1b5eb"; + }; + }; "is-absolute-1.0.0" = { name = "is-absolute"; packageName = "is-absolute"; @@ -17700,15 +18024,6 @@ let sha1 = "12cfb98b65b57dd3d193a3121f5f6e2f437602b5"; }; }; - "is-git-url-1.0.0" = { - name = "is-git-url"; - packageName = "is-git-url"; - version = "1.0.0"; - src = fetchurl { - url = "https://registry.npmjs.org/is-git-url/-/is-git-url-1.0.0.tgz"; - sha1 = "53f684cd143285b52c3244b4e6f28253527af66b"; - }; - }; "is-glob-2.0.1" = { name = "is-glob"; packageName = "is-glob"; @@ -17727,13 +18042,13 @@ let sha1 = "7ba5ae24217804ac70707b96922567486cc3e84a"; }; }; - "is-glob-4.0.0" = { + "is-glob-4.0.1" = { name = "is-glob"; packageName = "is-glob"; - version = "4.0.0"; + version = "4.0.1"; src = fetchurl { - url = "https://registry.npmjs.org/is-glob/-/is-glob-4.0.0.tgz"; - sha1 = "9521c76845cc2610a85203ddf080a958c2ffabc0"; + url = "https://registry.npmjs.org/is-glob/-/is-glob-4.0.1.tgz"; + sha512 = "5G0tKtBTFImOqDnLB2hG6Bp2qcKEFduo4tZu9MT/H6NQv/ghhy30o55ufafxJ/LdH79LLs2Kfrn85TLKyA7BUg=="; }; }; "is-hexadecimal-1.0.2" = { @@ -17997,6 +18312,15 @@ let sha1 = "905fee8ae86f45b3ec614bc3c15c869df0876e82"; }; }; + "is-relative-0.2.1" = { + name = "is-relative"; + packageName = "is-relative"; + version = "0.2.1"; + src = fetchurl { + url = "https://registry.npmjs.org/is-relative/-/is-relative-0.2.1.tgz"; + sha1 = "d27f4c7d516d175fb610db84bbeef23c3bc97aa5"; + }; + }; "is-relative-1.0.0" = { name = "is-relative"; packageName = "is-relative"; @@ -18078,15 +18402,6 @@ let sha1 = "cc3a9b69857d621e963725a24caeec873b826e64"; }; }; - "is-subset-0.1.1" = { - name = "is-subset"; - packageName = "is-subset"; - version = "0.1.1"; - src = fetchurl { - url = "https://registry.npmjs.org/is-subset/-/is-subset-0.1.1.tgz"; - sha1 = "8a59117d932de1de00f245fcdd39ce43f1e939a6"; - }; - }; "is-supported-regexp-flag-1.0.1" = { name = "is-supported-regexp-flag"; packageName = "is-supported-regexp-flag"; @@ -18132,6 +18447,15 @@ let sha1 = "e479c80858df0c1b11ddda6940f96011fcda4a9a"; }; }; + "is-unc-path-0.1.2" = { + name = "is-unc-path"; + packageName = "is-unc-path"; + version = "0.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/is-unc-path/-/is-unc-path-0.1.2.tgz"; + sha1 = "6ab053a72573c10250ff416a3814c35178af39b9"; + }; + }; "is-unc-path-1.0.0" = { name = "is-unc-path"; packageName = "is-unc-path"; @@ -18177,13 +18501,13 @@ let sha1 = "4b0da1442104d1b336340e80797e865cf39f7d72"; }; }; - "is-valid-domain-0.0.7" = { + "is-valid-domain-0.0.9" = { name = "is-valid-domain"; packageName = "is-valid-domain"; - version = "0.0.7"; + version = "0.0.9"; src = fetchurl { - url = "https://registry.npmjs.org/is-valid-domain/-/is-valid-domain-0.0.7.tgz"; - sha512 = "/T1z/cPJQO6F8N77gTm5iWFyzmUwh/Je2C8yZVxEg8+5evsMqeDh++IoFZQCoPsIbm90OWhOfs2hmy7k3APxEg=="; + url = "https://registry.npmjs.org/is-valid-domain/-/is-valid-domain-0.0.9.tgz"; + sha512 = "IeK/VigfxtjHCrNTY2/Lwed7Gp1VWPRSqtJ4GcaDUJ20AxmsL9Saoz96Q/yvf+y5N8zCjJb9HrA3vUlL8tk5Zg=="; }; }; "is-valid-glob-1.0.0" = { @@ -18213,6 +18537,15 @@ let sha512 = "SzM+T5GKUCtLhlHFKt2SDAX2RFzfS6joT91F2/WSi9LxgFdsnhfPK/UIA+JhRR2xuyLdrCys2PiFDrtn1fU5hQ=="; }; }; + "is-windows-0.2.0" = { + name = "is-windows"; + packageName = "is-windows"; + version = "0.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/is-windows/-/is-windows-0.2.0.tgz"; + sha1 = "de1aa6d63ea29dd248737b69f1ff8b8002d2108c"; + }; + }; "is-windows-1.0.2" = { name = "is-windows"; packageName = "is-windows"; @@ -18240,6 +18573,15 @@ let sha1 = "1f16e4aa22b04d1336b66188a66af3c600c3a66d"; }; }; + "is2-0.0.9" = { + name = "is2"; + packageName = "is2"; + version = "0.0.9"; + src = fetchurl { + url = "https://registry.npmjs.org/is2/-/is2-0.0.9.tgz"; + sha1 = "119556d1d1651a41ba105af803267c80b299f629"; + }; + }; "isarray-0.0.1" = { name = "isarray"; packageName = "isarray"; @@ -18492,13 +18834,40 @@ let sha512 = "LQDdM+pkOrpAn4Lp+neNIFV3axv1Vna3j38bisbQhETPMANYRbFJFUyOZcOClYvM/hppMhGWuKSFEK9vjrB+bQ=="; }; }; - "jquery-3.3.1" = { + "joplin-turndown-4.0.11" = { + name = "joplin-turndown"; + packageName = "joplin-turndown"; + version = "4.0.11"; + src = fetchurl { + url = "https://registry.npmjs.org/joplin-turndown/-/joplin-turndown-4.0.11.tgz"; + sha512 = "2oiwWX0nKYi1NVcaprSsrXQkYdGoRtPWFmnXdWQnQW44jlgjFV38B4VrgliwX5ZMq7cbx6A9IBwfXcBL2YV2NA=="; + }; + }; + "joplin-turndown-plugin-gfm-1.0.7" = { + name = "joplin-turndown-plugin-gfm"; + packageName = "joplin-turndown-plugin-gfm"; + version = "1.0.7"; + src = fetchurl { + url = "https://registry.npmjs.org/joplin-turndown-plugin-gfm/-/joplin-turndown-plugin-gfm-1.0.7.tgz"; + sha512 = "z0SveNcchtWwglkO7SgvDzPnVHYk1WumD0QRcWvUchIihqXwDVlve3G8AHkIhM69LY1YdC0HCZJlSMp2spBe/g=="; + }; + }; + "jpeg-js-0.1.2" = { + name = "jpeg-js"; + packageName = "jpeg-js"; + version = "0.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/jpeg-js/-/jpeg-js-0.1.2.tgz"; + sha1 = "135b992c0575c985cfa0f494a3227ed238583ece"; + }; + }; + "jquery-3.4.0" = { name = "jquery"; packageName = "jquery"; - version = "3.3.1"; + version = "3.4.0"; src = fetchurl { - url = "https://registry.npmjs.org/jquery/-/jquery-3.3.1.tgz"; - sha512 = "Ubldcmxp5np52/ENotGxlLe6aGMvmF4R8S6tZjsP6Knsaxd/xp3Zrh50cG93lR6nPXyUFwzN3ZSOQI0wRJNdGg=="; + url = "https://registry.npmjs.org/jquery/-/jquery-3.4.0.tgz"; + sha512 = "ggRCXln9zEqv6OqAGXFEcshF5dSBvCkzj6Gm2gzuR5fWawaX8t7cxKVkkygKODrDAzKdoYw3l/e3pm3vlT4IbQ=="; }; }; "jquery-ui-bundle-1.12.1" = { @@ -18519,13 +18888,13 @@ let sha512 = "M7kLczedRMYX4L8Mdh4MzyAMM9O5osx+4FcOQuTvr3A9F2D9S5JXheN0ewNbrvK2UatkTRhL5ejGmGSjNMiZuw=="; }; }; - "js-beautify-1.9.0" = { + "js-beautify-1.9.1" = { name = "js-beautify"; packageName = "js-beautify"; - version = "1.9.0"; + version = "1.9.1"; src = fetchurl { - url = "https://registry.npmjs.org/js-beautify/-/js-beautify-1.9.0.tgz"; - sha512 = "P0skmY4IDjfLiVrx+GLDeme8w5G0R1IGXgccVU5HP2VM3lRblH7qN2LTea5vZAxrDjpZBD0Jv+ahpjwVcbz/rw=="; + url = "https://registry.npmjs.org/js-beautify/-/js-beautify-1.9.1.tgz"; + sha512 = "oxxvVZdOdUfzk8IOLBF2XUZvl2GoBEfA+b0of4u2EBY/46NlXasi8JdFvazA5lCrf9/lQhTjyVy2QCUW7iq0MQ=="; }; }; "js-levenshtein-1.1.6" = { @@ -18591,31 +18960,22 @@ let sha512 = "RdJUflcE3cUzKiMqQgsCu06FPu9UdIJO0beYbPhHN4k6apgJtifcoCtT9bcxOpYBtpD2kCM6Sbzg4CausW/PKQ=="; }; }; - "js-yaml-3.12.0" = { - name = "js-yaml"; - packageName = "js-yaml"; - version = "3.12.0"; - src = fetchurl { - url = "https://registry.npmjs.org/js-yaml/-/js-yaml-3.12.0.tgz"; - sha512 = "PIt2cnwmPfL4hKNwqeiuz4bKfnzHTBv6HyVgjahA6mPLwPDzjDWrplJBMjHUFxku/N3FlmrbyPclad+I+4mJ3A=="; - }; - }; - "js-yaml-3.12.2" = { + "js-yaml-3.13.0" = { name = "js-yaml"; packageName = "js-yaml"; - version = "3.12.2"; + version = "3.13.0"; src = fetchurl { - url = "https://registry.npmjs.org/js-yaml/-/js-yaml-3.12.2.tgz"; - sha512 = "QHn/Lh/7HhZ/Twc7vJYQTkjuCa0kaCcDcjK5Zlk2rvnUpy7DxMJ23+Jc2dcyvltwQVg1nygAVlB2oRDFHoRS5Q=="; + url = "https://registry.npmjs.org/js-yaml/-/js-yaml-3.13.0.tgz"; + sha512 = "pZZoSxcCYco+DIKBTimr67J6Hy+EYGZDY/HCWC+iAEA9h1ByhMXAIVUXMcMFpOCxQ/xjXmPI2MkDL5HRm5eFrQ=="; }; }; - "js-yaml-3.13.0" = { + "js-yaml-3.13.1" = { name = "js-yaml"; packageName = "js-yaml"; - version = "3.13.0"; + version = "3.13.1"; src = fetchurl { - url = "https://registry.npmjs.org/js-yaml/-/js-yaml-3.13.0.tgz"; - sha512 = "pZZoSxcCYco+DIKBTimr67J6Hy+EYGZDY/HCWC+iAEA9h1ByhMXAIVUXMcMFpOCxQ/xjXmPI2MkDL5HRm5eFrQ=="; + url = "https://registry.npmjs.org/js-yaml/-/js-yaml-3.13.1.tgz"; + sha512 = "YfbcO7jXDdyj0DGxYVSlSeQNHbD7XPWvrVWeVUujrQEoZzWJIRrCPoyk6kL6IAjAG2IolMK4T0hNUe0HOUs5Jw=="; }; }; "js2xmlparser-3.0.0" = { @@ -18753,13 +19113,13 @@ let sha1 = "b9eb01fe29f5ea3e92878f15aea10ad38b5acf89"; }; }; - "json-refs-3.0.12" = { + "json-refs-3.0.13" = { name = "json-refs"; packageName = "json-refs"; - version = "3.0.12"; + version = "3.0.13"; src = fetchurl { - url = "https://registry.npmjs.org/json-refs/-/json-refs-3.0.12.tgz"; - sha512 = "6RbO1Y3e0Hty/tEpXtQG6jUx7g1G8e39GIOuPugobPC8BX1gZ0OGZQpBn1FLWGkuWF35GRGADvhwdEIFpwIjyA=="; + url = "https://registry.npmjs.org/json-refs/-/json-refs-3.0.13.tgz"; + sha512 = "/FJ+BJ6BASjmNsBJHE8qMVj46HTS2Pfq5gI5BQRhyUsdrw9HaHRWSOsOh87deTOyWMtGas5Qr8H6ikrcWHdZbw=="; }; }; "json-rpc2-0.8.1" = { @@ -19041,6 +19401,15 @@ let sha1 = "313e66bc1e5cc06e438bc1b7499c2e5c56acb6a2"; }; }; + "jssha-2.3.1" = { + name = "jssha"; + packageName = "jssha"; + version = "2.3.1"; + src = fetchurl { + url = "https://registry.npmjs.org/jssha/-/jssha-2.3.1.tgz"; + sha1 = "147b2125369035ca4b2f7d210dc539f009b3de9a"; + }; + }; "jstransform-10.1.0" = { name = "jstransform"; packageName = "jstransform"; @@ -19059,13 +19428,13 @@ let sha1 = "b88f3a7b2e67a2a048152982c7a3756d9c4828f0"; }; }; - "jszip-3.2.0" = { + "jszip-3.2.1" = { name = "jszip"; packageName = "jszip"; - version = "3.2.0"; + version = "3.2.1"; src = fetchurl { - url = "https://registry.npmjs.org/jszip/-/jszip-3.2.0.tgz"; - sha512 = "4WjbsaEtBK/DHeDZOPiPw5nzSGLDEDDreFRDEgnoMwmknPjTqa+23XuYFk6NiGbeiAeZCctiQ/X/z0lQBmDVOQ=="; + url = "https://registry.npmjs.org/jszip/-/jszip-3.2.1.tgz"; + sha512 = "iCMBbo4eE5rb1VCpm5qXOAaUiRKRUKiItn8ah2YQQx9qymmSAY98eyQfioChEYcVQLh0zxJ3wS4A0mh90AVPvw=="; }; }; "jszip-git://github.com/anmonteiro/jszip#patch-1" = { @@ -19330,13 +19699,13 @@ let sha1 = "59c128e0dc5ce410201151194eeb9cbf858650f6"; }; }; - "kleur-3.0.2" = { + "kleur-3.0.3" = { name = "kleur"; packageName = "kleur"; - version = "3.0.2"; + version = "3.0.3"; src = fetchurl { - url = "https://registry.npmjs.org/kleur/-/kleur-3.0.2.tgz"; - sha512 = "3h7B2WRT5LNXOtQiAaWonilegHcPSf9nLVXlSTci8lu1dZUuui61+EsPEZqSVxY7rXYmB2DVKMQILxaO5WL61Q=="; + url = "https://registry.npmjs.org/kleur/-/kleur-3.0.3.tgz"; + sha512 = "eTIzlVOSUR+JxdDFepEYcBMtZ9Qqdef+rnzWdRZuMbOywu5tO2w2N7rqjoANZ5k9vywhL6Br1VRjUIgTQx4E8w=="; }; }; "knockout-3.5.0" = { @@ -19474,6 +19843,15 @@ let sha1 = "a1d78fc3a50474cb80845d3b3b6e1da49a446e8e"; }; }; + "lazyness-1.1.1" = { + name = "lazyness"; + packageName = "lazyness"; + version = "1.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/lazyness/-/lazyness-1.1.1.tgz"; + sha512 = "rYHC6l6LeRlJSt5jxpqN8z/49gZ0CqLi89HAGzJjHahCFlqEjFGFN9O15hmzSzUGFl7zN/vOWduv/+0af3r/kQ=="; + }; + }; "lazystream-1.0.0" = { name = "lazystream"; packageName = "lazystream"; @@ -19564,22 +19942,22 @@ let sha1 = "a4b5244bb6a4c2f723d68a1d64e980c53627d9d4"; }; }; - "level-codec-9.0.0" = { + "level-codec-9.0.1" = { name = "level-codec"; packageName = "level-codec"; - version = "9.0.0"; + version = "9.0.1"; src = fetchurl { - url = "https://registry.npmjs.org/level-codec/-/level-codec-9.0.0.tgz"; - sha512 = "OIpVvjCcZNP5SdhcNupnsI1zo5Y9Vpm+k/F1gfG5kXrtctlrwanisakweJtE0uA0OpLukRfOQae+Fg0M5Debhg=="; + url = "https://registry.npmjs.org/level-codec/-/level-codec-9.0.1.tgz"; + sha512 = "ajFP0kJ+nyq4i6kptSM+mAvJKLOg1X5FiFPtLG9M5gCEZyBmgDi3FkDrvlMkEzrUn1cWxtvVmrvoS4ASyO/q+Q=="; }; }; - "level-errors-2.0.0" = { + "level-errors-2.0.1" = { name = "level-errors"; packageName = "level-errors"; - version = "2.0.0"; + version = "2.0.1"; src = fetchurl { - url = "https://registry.npmjs.org/level-errors/-/level-errors-2.0.0.tgz"; - sha512 = "AmY4HCp9h3OiU19uG+3YWkdELgy05OTP/r23aNHaQKWv8DO787yZgsEuGVkoph40uwN+YdUKnANlrxSsoOaaxg=="; + url = "https://registry.npmjs.org/level-errors/-/level-errors-2.0.1.tgz"; + sha512 = "UVprBJXite4gPS+3VznfgDSU8PTRuVX0NXwoWW50KLxd2yw4Y1t2JUR5In1itQnudZqRMT9DlAM3Q//9NCjCFw=="; }; }; "level-iterator-stream-3.0.1" = { @@ -19654,6 +20032,15 @@ let sha1 = "c2e7a9f772094dee9d34202ae8acce4687875580"; }; }; + "levenshtein-1.0.5" = { + name = "levenshtein"; + packageName = "levenshtein"; + version = "1.0.5"; + src = fetchurl { + url = "https://registry.npmjs.org/levenshtein/-/levenshtein-1.0.5.tgz"; + sha1 = "3911737a9cb56da345d008f55782c6f138979ba3"; + }; + }; "levn-0.3.0" = { name = "levn"; packageName = "levn"; @@ -19726,6 +20113,15 @@ let sha1 = "2009291bb31cea861bbf10a7c15a28caf75c31ec"; }; }; + "liftoff-3.1.0" = { + name = "liftoff"; + packageName = "liftoff"; + version = "3.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/liftoff/-/liftoff-3.1.0.tgz"; + sha512 = "DlIPlJUkCV0Ips2zf2pJP0unEoT1kwYhiiPUGF3s/jtxTCjziNLoiVVh+jqWOWeFi6mmwQ5fNxvAUyPad4Dfog=="; + }; + }; "linewise-0.0.3" = { name = "linewise"; packageName = "linewise"; @@ -19924,6 +20320,15 @@ let sha1 = "c6940128a9d30f8e902cd2cf99fd0cba4ecfc183"; }; }; + "lodash-es-4.17.11" = { + name = "lodash-es"; + packageName = "lodash-es"; + version = "4.17.11"; + src = fetchurl { + url = "https://registry.npmjs.org/lodash-es/-/lodash-es-4.17.11.tgz"; + sha512 = "DHb1ub+rMjjrxqlB3H56/6MXtm1lSksDp2rA2cNWjG8mlDUYFhUj3Di2Zn5IwSU87xLv8tNIQ7sSwE/YOX/D/Q=="; + }; + }; "lodash-id-0.14.0" = { name = "lodash-id"; packageName = "lodash-id"; @@ -20302,15 +20707,6 @@ let sha1 = "e23f3f9c4f8fbdde872529c1071857a086e5ccef"; }; }; - "lodash.debounce-3.1.1" = { - name = "lodash.debounce"; - packageName = "lodash.debounce"; - version = "3.1.1"; - src = fetchurl { - url = "https://registry.npmjs.org/lodash.debounce/-/lodash.debounce-3.1.1.tgz"; - sha1 = "812211c378a94cc29d5aa4e3346cf0bfce3a7df5"; - }; - }; "lodash.debounce-4.0.8" = { name = "lodash.debounce"; packageName = "lodash.debounce"; @@ -20518,6 +20914,15 @@ let sha1 = "619c0af3d03f8b04c31f5882840b77b11cd68343"; }; }; + "lodash.ismatch-4.4.0" = { + name = "lodash.ismatch"; + packageName = "lodash.ismatch"; + version = "4.4.0"; + src = fetchurl { + url = "https://registry.npmjs.org/lodash.ismatch/-/lodash.ismatch-4.4.0.tgz"; + sha1 = "756cb5150ca3ba6f11085a78849645f188f85f37"; + }; + }; "lodash.isnumber-3.0.3" = { name = "lodash.isnumber"; packageName = "lodash.isnumber"; @@ -20869,22 +21274,22 @@ let sha1 = "88328fd7d1ce7938b29283746f0b1bc126b24708"; }; }; - "log4js-4.0.2" = { + "log4js-4.1.0" = { name = "log4js"; packageName = "log4js"; - version = "4.0.2"; + version = "4.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/log4js/-/log4js-4.0.2.tgz"; - sha512 = "KE7HjiieVDPPdveA3bJZSuu0n8chMkFl8mIoisBFxwEJ9FmXe4YzNuiqSwYUiR1K8q8/5/8Yd6AClENY1RA9ww=="; + url = "https://registry.npmjs.org/log4js/-/log4js-4.1.0.tgz"; + sha512 = "eDa+zZPeVEeK6QGJAePyXM6pg4P3n3TO5rX9iZMVY48JshsTyLJZLIL5HipI1kQ2qLsSyOpUqNND/C5H4WhhiA=="; }; }; - "logform-1.10.0" = { + "logform-2.1.2" = { name = "logform"; packageName = "logform"; - version = "1.10.0"; + version = "2.1.2"; src = fetchurl { - url = "https://registry.npmjs.org/logform/-/logform-1.10.0.tgz"; - sha512 = "em5ojIhU18fIMOw/333mD+ZLE2fis0EzXl1ZwHx4iQzmpQi6odNiY/t+ITNr33JZhT9/KEaH+UPIipr6a9EjWg=="; + url = "https://registry.npmjs.org/logform/-/logform-2.1.2.tgz"; + sha512 = "+lZh4OpERDBLqjiwDLpAWNQu6KMjnlXH2ByZwCuSqVPJletw0kTWJf5CgSNAUKn1KUkv3m2cUz/LK8zyEy7wzQ=="; }; }; "lokijs-1.5.3" = { @@ -20986,13 +21391,13 @@ let sha512 = "r4w0WrhIHV1lOTVGbTg4Toqwso5x6C8pM7Q/Nto2vy4c7yUSdTYVYlj16uHVX3MT1StpSELDv8yrqGx41MBsDA=="; }; }; - "lossy-store-1.2.3" = { + "lossy-store-1.2.4" = { name = "lossy-store"; packageName = "lossy-store"; - version = "1.2.3"; + version = "1.2.4"; src = fetchurl { - url = "https://registry.npmjs.org/lossy-store/-/lossy-store-1.2.3.tgz"; - sha1 = "562e2a9203d8661f60e8712de407fbdadf275dc9"; + url = "https://registry.npmjs.org/lossy-store/-/lossy-store-1.2.4.tgz"; + sha512 = "rvqTCHZxWLvHAJv2w5vdCr5xICAW/FiXQ9wZGaAfBlNGG2FuLX0URNcj/zXC3qr5zQ8lBCbW8EEmDCKi7Dsp1g=="; }; }; "loud-rejection-1.6.0" = { @@ -21004,6 +21409,15 @@ let sha1 = "5b46f80147edee578870f086d04821cf998e551f"; }; }; + "loud-rejection-2.1.0" = { + name = "loud-rejection"; + packageName = "loud-rejection"; + version = "2.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/loud-rejection/-/loud-rejection-2.1.0.tgz"; + sha512 = "g/6MQxUXYHeVqZ4PGpPL1fS1fOvlXoi7bay0pizmjAd/3JhyXwxzwrnr74yzdmhuerlslbRJ3x7IOXzFz0cE5w=="; + }; + }; "lowdb-1.0.0" = { name = "lowdb"; packageName = "lowdb"; @@ -21049,6 +21463,15 @@ let sha512 = "G2Lj61tXDnVFFOi8VZds+SoQjtQC3dgokKdDG2mTm1tx4m50NUHBOZSBwQQHyy0V12A0JTG4icfZQH+xPyh8VA=="; }; }; + "lowlight-1.9.2" = { + name = "lowlight"; + packageName = "lowlight"; + version = "1.9.2"; + src = fetchurl { + url = "https://registry.npmjs.org/lowlight/-/lowlight-1.9.2.tgz"; + sha512 = "Ek18ElVCf/wF/jEm1b92gTnigh94CtBNWiZ2ad+vTgW7cTmQxUY3I98BjHK68gZAJEWmybGBZgx9qv3QxLQB/Q=="; + }; + }; "lru-2.0.1" = { name = "lru"; packageName = "lru"; @@ -21166,13 +21589,13 @@ let sha512 = "mmLbumEYMi5nXReB9js3WGsB8UE6cDBWyIO62Z4DNx6GbRhDxHNjA1MlzSpJ2S2KM1wyiPRA0d19uHWYYvMHjA=="; }; }; - "macos-release-2.1.0" = { + "macos-release-2.2.0" = { name = "macos-release"; packageName = "macos-release"; - version = "2.1.0"; + version = "2.2.0"; src = fetchurl { - url = "https://registry.npmjs.org/macos-release/-/macos-release-2.1.0.tgz"; - sha512 = "8TCbwvN1mfNxbBv0yBtfyIFMo3m1QsNbKHv7PYIp/abRBKVQBXN7ecu3aeGGgT18VC/Tf397LBDGZF9KBGJFFw=="; + url = "https://registry.npmjs.org/macos-release/-/macos-release-2.2.0.tgz"; + sha512 = "iV2IDxZaX8dIcM7fG6cI46uNmHUxHE4yN+Z8tKHAW1TBPMZDIKHf/3L+YnOuj/FK9il14UaVdHmiQ1tsi90ltA=="; }; }; "magic-string-0.22.5" = { @@ -21193,6 +21616,24 @@ let sha512 = "iLs9mPjh9IuTtRsqqhNGYcZXGei0Nh/A4xirrsqW7c+QhKVFL2vm7U09ru6cHRD22azaP/wMDgI+HCqbETMTtg=="; }; }; + "magicli-0.0.5" = { + name = "magicli"; + packageName = "magicli"; + version = "0.0.5"; + src = fetchurl { + url = "https://registry.npmjs.org/magicli/-/magicli-0.0.5.tgz"; + sha1 = "cee7d0fbb4c70518aacb11ec3eb7e25ff49a4921"; + }; + }; + "magicli-0.0.8" = { + name = "magicli"; + packageName = "magicli"; + version = "0.0.8"; + src = fetchurl { + url = "https://registry.npmjs.org/magicli/-/magicli-0.0.8.tgz"; + sha512 = "x/eBenweAHF+DsYy172sK4doRxZl0yrJnfxhLJiN7H6hPM3Ya0PfI6uBZshZ3ScFFSQD7HXgBqMdbnXKEZsO1g=="; + }; + }; "magnet-uri-2.0.1" = { name = "magnet-uri"; packageName = "magnet-uri"; @@ -21508,6 +21949,15 @@ let sha1 = "53ab38d5fe3c8891ba465329ea23fac0540126f9"; }; }; + "md5-file-4.0.0" = { + name = "md5-file"; + packageName = "md5-file"; + version = "4.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/md5-file/-/md5-file-4.0.0.tgz"; + sha512 = "UC0qFwyAjn4YdPpKaDNw6gNxRf7Mcx7jC1UGCY4boCzgvU2Aoc1mOGzTtrjjLKhM5ivsnhoKpQVxKPp+1j1qwg=="; + }; + }; "md5.js-1.3.5" = { name = "md5.js"; packageName = "md5.js"; @@ -21589,15 +22039,6 @@ let sha1 = "8710d7af0aa626f8fffa1ce00168545263255748"; }; }; - "media-typer-1.0.1" = { - name = "media-typer"; - packageName = "media-typer"; - version = "1.0.1"; - src = fetchurl { - url = "https://registry.npmjs.org/media-typer/-/media-typer-1.0.1.tgz"; - sha512 = "v42gdPIuqYCoDVH5OiaKsVrv6aJqdMWJzl8KCyDs/KeDyBveYp3Wxq4UWJfsWjkSZUNC0xlLfDlLCPa1h/oo+g=="; - }; - }; "mediasource-2.3.0" = { name = "mediasource"; packageName = "mediasource"; @@ -21616,13 +22057,13 @@ let sha1 = "5edd52b485ca1d900fe64895505399a0dfa45f76"; }; }; - "mem-4.2.0" = { + "mem-4.3.0" = { name = "mem"; packageName = "mem"; - version = "4.2.0"; + version = "4.3.0"; src = fetchurl { - url = "https://registry.npmjs.org/mem/-/mem-4.2.0.tgz"; - sha512 = "5fJxa68urlY0Ir8ijatKa3eRz5lwXnRCTvo9+TbTGAuTFJOwpGcY0X05moBd0nW45965Njt4CDI2GFQoG8DvqA=="; + url = "https://registry.npmjs.org/mem/-/mem-4.3.0.tgz"; + sha512 = "qX2bG48pTqYRVmDB37rn/6PT7LcR8T7oAX3bf99u1Tt1nzxYfxkgqDwUwolPlXweM0XzBOBFzSx4kfp7KP1s/w=="; }; }; "mem-fs-1.1.3" = { @@ -21976,6 +22417,15 @@ let sha512 = "ikBcWwyqXQSHKtciCcctu9YfPbFYZ4+gbHEmE0Q8jzcTYQg5dHCr3g2wwAZjPoJfQVXZq6KXAjpXOTf5/cjT7w=="; }; }; + "mime-2.4.2" = { + name = "mime"; + packageName = "mime"; + version = "2.4.2"; + src = fetchurl { + url = "https://registry.npmjs.org/mime/-/mime-2.4.2.tgz"; + sha512 = "zJBfZDkwRu+j3Pdd2aHsR5GfH2jIWhmL1ZzBoc+X+3JEti2hbArWcyJ+1laC1D2/U/W1a/+Cegj0/OnEU2ybjg=="; + }; + }; "mime-db-1.12.0" = { name = "mime-db"; packageName = "mime-db"; @@ -21994,13 +22444,13 @@ let sha512 = "BHJ/EKruNIqJf/QahvxwQZXKygOQ256myeN/Ew+THcAa5q+PjyTTMMeNQC4DZw5AwfvelsUrA6B67NKMqXDbzQ=="; }; }; - "mime-db-1.38.0" = { + "mime-db-1.40.0" = { name = "mime-db"; packageName = "mime-db"; - version = "1.38.0"; + version = "1.40.0"; src = fetchurl { - url = "https://registry.npmjs.org/mime-db/-/mime-db-1.38.0.tgz"; - sha512 = "bqVioMFFzc2awcdJZIzR3HjZFX20QhilVS7hytkKrv7xFAn8bM1gzc/FOX2awLISvWe0PV8ptFKcon+wZ5qYkg=="; + url = "https://registry.npmjs.org/mime-db/-/mime-db-1.40.0.tgz"; + sha512 = "jYdeOMPy9vnxEqFRRo6ZvTZ8d9oPb+k18PKoYNYUe2stVEBPPwsln/qWzdbmaIvnhZ9v2P+CuecK+fpUfsV2mA=="; }; }; "mime-types-2.0.14" = { @@ -22021,13 +22471,13 @@ let sha512 = "lc/aahn+t4/SWV/qcmumYjymLsWfN3ELhpmVuUFjgsORruuZPVSwAQryq+HHGvO/SI2KVX26bx+En+zhM8g8hQ=="; }; }; - "mime-types-2.1.22" = { + "mime-types-2.1.24" = { name = "mime-types"; packageName = "mime-types"; - version = "2.1.22"; + version = "2.1.24"; src = fetchurl { - url = "https://registry.npmjs.org/mime-types/-/mime-types-2.1.22.tgz"; - sha512 = "aGl6TZGnhm/li6F7yx82bJiBZwgiEa4Hf6CNr8YO+r5UHr53tSTYZb102zyU50DOWWKeOv0uQLRL0/9EiKWCog=="; + url = "https://registry.npmjs.org/mime-types/-/mime-types-2.1.24.tgz"; + sha512 = "WaFHS3MCl5fapm3oLxU4eYDw77IQM2ACcxQ9RIxfaC3ooc6PFuBMGZZsYpvoXS5D5QTWPieo1jjLdAm3TBP3cQ=="; }; }; "mimic-fn-1.2.0" = { @@ -22039,13 +22489,13 @@ let sha512 = "jf84uxzwiuiIVKiOLpfYk7N46TSy8ubTonmneY9vrpHNAnp0QBt2BxWV9dO3/j+BoVAb+a5G6YDPW3M5HOdMWQ=="; }; }; - "mimic-fn-2.0.0" = { + "mimic-fn-2.1.0" = { name = "mimic-fn"; packageName = "mimic-fn"; - version = "2.0.0"; + version = "2.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/mimic-fn/-/mimic-fn-2.0.0.tgz"; - sha512 = "jbex9Yd/3lmICXwYT6gA/j2mNQGU48wCh/VzRd+/Y/PjYQtlg1gLMdZqvu9s/xH7qKvngxRObl56XZR609IMbA=="; + url = "https://registry.npmjs.org/mimic-fn/-/mimic-fn-2.1.0.tgz"; + sha512 = "OqbOk5oEQeAZ8WXWydlu9HJjz9WVdEIvamMCcXmuqUYjTknH/sqsWvhQ3vgwKFRR1HpjvNBKQ37nbJgYzGqGcg=="; }; }; "mimic-response-1.0.1" = { @@ -22282,15 +22732,6 @@ let sha512 = "xV2bxeN6F7oYjZWTe/YPAy6MN2M+sL4u/Rlm2AHCIVGfo2p1yGmBHQ6vHehl4bRTZBdHu3TSkWdYgkwpYzAGSw=="; }; }; - "module-deps-4.1.1" = { - name = "module-deps"; - packageName = "module-deps"; - version = "4.1.1"; - src = fetchurl { - url = "https://registry.npmjs.org/module-deps/-/module-deps-4.1.1.tgz"; - sha1 = "23215833f1da13fd606ccb8087b44852dcb821fd"; - }; - }; "module-deps-6.2.0" = { name = "module-deps"; packageName = "module-deps"; @@ -22309,15 +22750,6 @@ let sha1 = "cf67e0b31c47ab9badb5c9c25651862127bb8317"; }; }; - "moment-2.22.2" = { - name = "moment"; - packageName = "moment"; - version = "2.22.2"; - src = fetchurl { - url = "https://registry.npmjs.org/moment/-/moment-2.22.2.tgz"; - sha1 = "3c257f9839fc0e93ff53149632239eb90783ff66"; - }; - }; "moment-2.24.0" = { name = "moment"; packageName = "moment"; @@ -22336,13 +22768,13 @@ let sha1 = "359a19ec634cda3c706c8709adda54c0329aaec4"; }; }; - "moment-timezone-0.5.23" = { + "moment-timezone-0.5.25" = { name = "moment-timezone"; packageName = "moment-timezone"; - version = "0.5.23"; + version = "0.5.25"; src = fetchurl { - url = "https://registry.npmjs.org/moment-timezone/-/moment-timezone-0.5.23.tgz"; - sha512 = "WHFH85DkCfiNMDX5D3X7hpNH3/PUhjTGcD0U1SgfBGZxJ3qUmJh5FdvaFjcClxOvB3rzdfj4oRffbI38jEnC1w=="; + url = "https://registry.npmjs.org/moment-timezone/-/moment-timezone-0.5.25.tgz"; + sha512 = "DgEaTyN/z0HFaVcVbSyVCUU6HeFdnNC3vE4c9cgu2dgMTvjBUBdBzWfasTBmAW45u5OIMeCJtU8yNjM22DHucw=="; }; }; "monotonic-timestamp-0.0.9" = { @@ -22435,13 +22867,13 @@ let sha512 = "3h6oHlPY/yWwtC2J3geraYRtVVoRM6wdI+uchF4nvSSafXPZnaKqF8xnX+S22SU/FcgEAgockVIlOaAX3fkMpA=="; }; }; - "mqtt-packet-5.6.0" = { + "mqtt-packet-5.6.1" = { name = "mqtt-packet"; packageName = "mqtt-packet"; - version = "5.6.0"; + version = "5.6.1"; src = fetchurl { - url = "https://registry.npmjs.org/mqtt-packet/-/mqtt-packet-5.6.0.tgz"; - sha512 = "QECe2ivqcR1LRsPobRsjenEKAC3i1a5gmm+jNKJLrsiq9PaSQ18LlKFuxvhGxWkvGEPadWv6rKd31O4ICqS1Xw=="; + url = "https://registry.npmjs.org/mqtt-packet/-/mqtt-packet-5.6.1.tgz"; + sha512 = "eaF9rO2uFrIYEHomJxziuKTDkbWW5psLBaIGCazQSKqYsTaB3n4SpvJ1PexKaDBiPnMLPIFWBIiTYT3IfEJfww=="; }; }; "mri-1.1.4" = { @@ -22525,13 +22957,13 @@ let sha1 = "6462f1b204109ccc644601650110a828443d66e2"; }; }; - "multiblob-1.13.3" = { + "multiblob-1.13.4" = { name = "multiblob"; packageName = "multiblob"; - version = "1.13.3"; + version = "1.13.4"; src = fetchurl { - url = "https://registry.npmjs.org/multiblob/-/multiblob-1.13.3.tgz"; - sha512 = "OUslBrwWIt02Qg+XTmmOGPhYB8/HzfTQne+EDeqI9vijKdLaLed6QpWE+658txLOgbYJidjj+frFbx37fbdi8w=="; + url = "https://registry.npmjs.org/multiblob/-/multiblob-1.13.4.tgz"; + sha512 = "2uv89W7I5brTx6ETpE7kXv0dGC3iA+xrAxNtwjwb3lwBTXIYrJ4jhhVX5UyNaRWHdmfLe0dJeEvJm9uoekkmag=="; }; }; "multiblob-http-0.4.2" = { @@ -22633,13 +23065,13 @@ let sha1 = "2a8f2ddf70eed564dff2d57f1e1a137d9f05078b"; }; }; - "multiserver-3.3.1" = { + "multiserver-3.3.2" = { name = "multiserver"; packageName = "multiserver"; - version = "3.3.1"; + version = "3.3.2"; src = fetchurl { - url = "https://registry.npmjs.org/multiserver/-/multiserver-3.3.1.tgz"; - sha512 = "c27pE5N8m6WlU9OkOWjmZ/jY/d+s9Wnpv45qtm65GkHtJKgNR5MBSxPA+r07RadNV9ZQJkCgEtpQg7M2eITGpw=="; + url = "https://registry.npmjs.org/multiserver/-/multiserver-3.3.2.tgz"; + sha512 = "VR1VOH4lZiF8SwiAx/en8ShfOOiA7xtDu8HMawnKk0snmK0vn28/gFKnJGrYgBsoZHBzhB2mU6ZWb9VDGklN4A=="; }; }; "multiserver-address-1.0.1" = { @@ -22858,6 +23290,15 @@ let sha512 = "I6YB/YEuDeUZMmhscXKxGgZlFnhsn5y0hgOZBadkzfTRrZBtJDZeg6eQf7PYMIEclwmorTKK8GztsyOUSVBREA=="; }; }; + "nan-2.13.2" = { + name = "nan"; + packageName = "nan"; + version = "2.13.2"; + src = fetchurl { + url = "https://registry.npmjs.org/nan/-/nan-2.13.2.tgz"; + sha512 = "TghvYc72wlMGMVMluVo9WRJc0mB8KxxF/gZ4YYFy7V2ZQX9l7rgbPg7vjS9mt6U5HXODVFVI2bOduCzwOMv/lw=="; + }; + }; "nanoassert-1.1.0" = { name = "nanoassert"; packageName = "nanoassert"; @@ -23078,6 +23519,24 @@ let sha1 = "195a21d6c46e361d2fb1281ba38b91e9df7bdbb3"; }; }; + "ndarray-1.0.18" = { + name = "ndarray"; + packageName = "ndarray"; + version = "1.0.18"; + src = fetchurl { + url = "https://registry.npmjs.org/ndarray/-/ndarray-1.0.18.tgz"; + sha1 = "b60d3a73224ec555d0faa79711e502448fd3f793"; + }; + }; + "ndarray-pack-1.2.1" = { + name = "ndarray-pack"; + packageName = "ndarray-pack"; + version = "1.2.1"; + src = fetchurl { + url = "https://registry.npmjs.org/ndarray-pack/-/ndarray-pack-1.2.1.tgz"; + sha1 = "8caebeaaa24d5ecf70ff86020637977da8ee585a"; + }; + }; "ndjson-1.5.0" = { name = "ndjson"; packageName = "ndjson"; @@ -23150,13 +23609,13 @@ let sha512 = "U8HkIv90/lrdNlHVp63PoF3FeuQUvJ6toMX6InqRqpBmQq9iukZRAnq/yCE4Ii6WHZRYa6DEiTH/EGFTZ0rIGg=="; }; }; - "needle-2.2.4" = { + "needle-2.3.0" = { name = "needle"; packageName = "needle"; - version = "2.2.4"; + version = "2.3.0"; src = fetchurl { - url = "https://registry.npmjs.org/needle/-/needle-2.2.4.tgz"; - sha512 = "HyoqEb4wr/rsoaIDfTH2aVL9nWtQqba2/HvMv+++m8u0dz808MaagKILxtfeSN7QU7nvbQ79zk3vYOJp9zsNEA=="; + url = "https://registry.npmjs.org/needle/-/needle-2.3.0.tgz"; + sha512 = "QBZu7aAFR0522EyaXZM0FZ9GLpq6lvQ3uq8gteiDUp7wKdy0lSd2hPlgFwVuW1CBkfEs9PfDQsQzZghLs/psdg=="; }; }; "negotiator-0.3.0" = { @@ -23285,6 +23744,15 @@ let sha1 = "ca86d1fe8828169b0120208e3dc8424b9db8342c"; }; }; + "nextgen-events-1.1.1" = { + name = "nextgen-events"; + packageName = "nextgen-events"; + version = "1.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/nextgen-events/-/nextgen-events-1.1.1.tgz"; + sha512 = "vnKAjcvttm+ILOpLuNEFUuqYAfMYfvThpTGLLZT8w+mSCMmnRsKt/qWNuNkb3/RgNyon3EqPT6bDy4xSJkbKsA=="; + }; + }; "nice-try-1.0.5" = { name = "nice-try"; packageName = "nice-try"; @@ -23321,13 +23789,13 @@ let sha512 = "OV8Bq1OrPh6z+Y4dqwo05HqrRL9YNF7QVMRfq1/pguwKLG+q9UB/Lk0x5qXjO23JjJg+/jqCHSTaG1P3tfKfuw=="; }; }; - "node-addon-api-1.6.2" = { + "node-addon-api-1.6.3" = { name = "node-addon-api"; packageName = "node-addon-api"; - version = "1.6.2"; + version = "1.6.3"; src = fetchurl { - url = "https://registry.npmjs.org/node-addon-api/-/node-addon-api-1.6.2.tgz"; - sha512 = "479Bjw9nTE5DdBSZZWprFryHGjUaQC31y1wHo19We/k0BZlrmhqQitWoUL0cD8+scljCbIUL+E58oRDEakdGGA=="; + url = "https://registry.npmjs.org/node-addon-api/-/node-addon-api-1.6.3.tgz"; + sha512 = "FXWH6mqjWgU8ewuahp4spec8LkroFZK2NicOv6bNwZC3kcwZUI8LeZdG80UzTSLLhK4T7MsgNwlYDVRlDdfTDg=="; }; }; "node-alias-1.0.4" = { @@ -23339,6 +23807,15 @@ let sha1 = "1f1b916b56b9ea241c0135f97ced6940f556f292"; }; }; + "node-bitmap-0.0.1" = { + name = "node-bitmap"; + packageName = "node-bitmap"; + version = "0.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/node-bitmap/-/node-bitmap-0.0.1.tgz"; + sha1 = "180eac7003e0c707618ef31368f62f84b2a69091"; + }; + }; "node-cache-4.2.0" = { name = "node-cache"; packageName = "node-cache"; @@ -23366,13 +23843,32 @@ let sha512 = "I3CWm/ExYYQ/a9bjB0OL9VsGa3Lmgbb8QOs4y2kEiB/DTkTqkcTaCr/lVyOYjRpgR25TsmOBATscsg6H6aC9Hg=="; }; }; - "node-environment-flags-1.0.4" = { + "node-emoji-1.10.0" = { + name = "node-emoji"; + packageName = "node-emoji"; + version = "1.10.0"; + src = fetchurl { + url = "https://registry.npmjs.org/node-emoji/-/node-emoji-1.10.0.tgz"; + sha512 = "Yt3384If5H6BYGVHiHwTL+99OzJKHhgp82S8/dktEK73T26BazdgZ4JZh92xSVtGNJvz9UbXdNAc5hcrXV42vw=="; + }; + }; + "node-emoji-git+https://github.com/laurent22/node-emoji.git" = { + name = "node-emoji"; + packageName = "node-emoji"; + version = "1.8.1"; + src = fetchgit { + url = "https://github.com/laurent22/node-emoji.git"; + rev = "9fa01eac463e94dde1316ef8c53089eeef4973b5"; + sha256 = "224950cc405150c37dbd3c4aa65dc0cfb799b1a57f674e9bb76f993268106406"; + }; + }; + "node-environment-flags-1.0.5" = { name = "node-environment-flags"; packageName = "node-environment-flags"; - version = "1.0.4"; + version = "1.0.5"; src = fetchurl { - url = "https://registry.npmjs.org/node-environment-flags/-/node-environment-flags-1.0.4.tgz"; - sha512 = "M9rwCnWVLW7PX+NUWe3ejEdiLYinRpsEre9hMkU/6NS4h+EEulYaDH1gCEZ2gyXsmw+RXYDaV2JkkTNcsPDJ0Q=="; + url = "https://registry.npmjs.org/node-environment-flags/-/node-environment-flags-1.0.5.tgz"; + sha512 = "VNYPRfGfmZLx0Ye20jWzHUjyTW/c+6Wq+iLhDzUI4XmhrDd9l/FozXV3F2xOaXjvp0co0+v1YSR3CMP6g+VvLQ=="; }; }; "node-fetch-1.7.3" = { @@ -23492,6 +23988,15 @@ let sha512 = "SUDEb+o71XR5lXSTyivXd9J7fCloE3SyP4lSgt3lU2oSANiox+SxlNRGPjDKrwU1YN3ix2KN/VGGCg0t01rttQ=="; }; }; + "node-persist-2.1.0" = { + name = "node-persist"; + packageName = "node-persist"; + version = "2.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/node-persist/-/node-persist-2.1.0.tgz"; + sha1 = "e652bbf3885a04dad6a353d74176177c83914707"; + }; + }; "node-phantom-simple-2.2.4" = { name = "node-phantom-simple"; packageName = "node-phantom-simple"; @@ -23510,6 +24015,24 @@ let sha1 = "25b4d1d9d8eb02b48271c96000c4e6d366eef689"; }; }; + "node-pre-gyp-0.11.0" = { + name = "node-pre-gyp"; + packageName = "node-pre-gyp"; + version = "0.11.0"; + src = fetchurl { + url = "https://registry.npmjs.org/node-pre-gyp/-/node-pre-gyp-0.11.0.tgz"; + sha512 = "TwWAOZb0j7e9eGaf9esRx3ZcLaE5tQ2lvYy1pb5IAaG1a2e2Kv5Lms1Y4hpj+ciXJRofIxxlt5haeQ/2ANeE0Q=="; + }; + }; + "node-pre-gyp-0.12.0" = { + name = "node-pre-gyp"; + packageName = "node-pre-gyp"; + version = "0.12.0"; + src = fetchurl { + url = "https://registry.npmjs.org/node-pre-gyp/-/node-pre-gyp-0.12.0.tgz"; + sha512 = "4KghwV8vH5k+g2ylT+sLTjy5wmUOb9vPhnM8NHvRf9dHmnW/CndrFXy2aRPaPST6dugXSdHXfeaHQm77PIz/1A=="; + }; + }; "node-pre-gyp-0.6.39" = { name = "node-pre-gyp"; packageName = "node-pre-gyp"; @@ -23519,13 +24042,13 @@ let sha512 = "OsJV74qxnvz/AMGgcfZoDaeDXKD3oY3QVIbBmwszTFkRisTSXbMQyn4UWzUMOtA5SVhrBZOTp0wcoSBgfMfMmQ=="; }; }; - "node-red-node-email-1.3.0" = { + "node-red-node-email-1.4.0" = { name = "node-red-node-email"; packageName = "node-red-node-email"; - version = "1.3.0"; + version = "1.4.0"; src = fetchurl { - url = "https://registry.npmjs.org/node-red-node-email/-/node-red-node-email-1.3.0.tgz"; - sha512 = "Pe4IdxYWTast5zZ0ByTeBJPj/AJNjxmTldz/2IeWrvbuCw9XscaD6Muwhep5/PGtLSC2n0mAH1uBq1fctVllTQ=="; + url = "https://registry.npmjs.org/node-red-node-email/-/node-red-node-email-1.4.0.tgz"; + sha512 = "HNH8Wlnp/rz/Pw8v43w2qLZgb1nsRGjv8uJHVT/xP15WsJG86d1juYT9Ws++zT91RHbQSTRTCMSjfX6c7BcwTw=="; }; }; "node-red-node-feedparser-0.1.14" = { @@ -23573,13 +24096,13 @@ let sha512 = "mkw8HOosXHMBRdyJkio77vPx4Ls5IY26P5ZyoMWmKMkimXKTnX00DdpmNlkW+dHwMDYq1H66WzFtQhNOdEAbgA=="; }; }; - "node-releases-1.1.11" = { + "node-releases-1.1.16" = { name = "node-releases"; packageName = "node-releases"; - version = "1.1.11"; + version = "1.1.16"; src = fetchurl { - url = "https://registry.npmjs.org/node-releases/-/node-releases-1.1.11.tgz"; - sha512 = "8v1j5KfP+s5WOTa1spNUAOfreajQPN12JXbRR0oDE+YrJBQCXBnNqUDj27EKpPLOoSiU3tKi3xGPB+JaOdUEQQ=="; + url = "https://registry.npmjs.org/node-releases/-/node-releases-1.1.16.tgz"; + sha512 = "BOMWCW9CaT4sffMa5S9Mj4vYObvVShyo6JoM9WzzQOKVyNUn1+OVMUaQT3fo2tJKCMwHjqaDW/Pf3/JsYmPD2g=="; }; }; "node-request-by-swagger-1.1.4" = { @@ -23663,22 +24186,22 @@ let sha1 = "fae179165265509302cefbebeabd29bd4035184d"; }; }; - "nodemailer-4.7.0" = { + "nodemailer-5.1.1" = { name = "nodemailer"; packageName = "nodemailer"; - version = "4.7.0"; + version = "5.1.1"; src = fetchurl { - url = "https://registry.npmjs.org/nodemailer/-/nodemailer-4.7.0.tgz"; - sha512 = "IludxDypFpYw4xpzKdMAozBSkzKHmNBvGanUREjJItgJ2NYcK/s8+PggVhj7c2yGFQykKsnnmv1+Aqo0ZfjHmw=="; + url = "https://registry.npmjs.org/nodemailer/-/nodemailer-5.1.1.tgz"; + sha512 = "hKGCoeNdFL2W7S76J/Oucbw0/qRlfG815tENdhzcqTpSjKgAN91mFOqU2lQUflRRxFM7iZvCyaFcAR9noc/CqQ=="; }; }; - "nodemon-1.18.10" = { + "nodemon-1.18.11" = { name = "nodemon"; packageName = "nodemon"; - version = "1.18.10"; + version = "1.18.11"; src = fetchurl { - url = "https://registry.npmjs.org/nodemon/-/nodemon-1.18.10.tgz"; - sha512 = "we51yBb1TfEvZamFchRgcfLbVYgg0xlGbyXmOtbBzDwxwgewYS/YbZ5tnlnsH51+AoSTTsT3A2E/FloUbtH8cQ=="; + url = "https://registry.npmjs.org/nodemon/-/nodemon-1.18.11.tgz"; + sha512 = "KdN3tm1zkarlqNo4+W9raU3ihM4H15MVMSE/f9rYDZmFgDHAfAJsomYrHhApAkuUemYjFyEeXlpCOQ2v5gtBEw=="; }; }; "nomnom-1.8.1" = { @@ -23816,13 +24339,13 @@ let sha512 = "U+JJi7duF1o+u2pynbp2zXDW2/PADgC30f0GsHZtRh+HOcXHnw137TrNlyxxRvWW5fjKd3bcLHPxofWuCjaeZg=="; }; }; - "now-and-later-2.0.0" = { + "now-and-later-2.0.1" = { name = "now-and-later"; packageName = "now-and-later"; - version = "2.0.0"; + version = "2.0.1"; src = fetchurl { - url = "https://registry.npmjs.org/now-and-later/-/now-and-later-2.0.0.tgz"; - sha1 = "bc61cbb456d79cb32207ce47ca05136ff2e7d6ee"; + url = "https://registry.npmjs.org/now-and-later/-/now-and-later-2.0.1.tgz"; + sha512 = "KGvQ0cB70AQfg107Xvs/Fbu+dGmZoTRJp2TaPwcwQm3/7PteUyN2BCgk8KBMPGBUXZdVwyWS8fDCGFygBm19UQ=="; }; }; "npm-2.15.12" = { @@ -23834,13 +24357,13 @@ let sha1 = "df7c3ed5a277c3f9d4b5d819b05311d10a200ae6"; }; }; - "npm-6.4.1" = { + "npm-6.9.1-next.0" = { name = "npm"; packageName = "npm"; - version = "6.4.1"; + version = "6.9.1-next.0"; src = fetchurl { - url = "https://registry.npmjs.org/npm/-/npm-6.4.1.tgz"; - sha512 = "mXJL1NTVU136PtuopXCUQaNWuHlXCTp4McwlSW8S9/Aj8OEPAlSBgo8og7kJ01MjCDrkmqFQTvN5tTEhBMhXQg=="; + url = "https://registry.npmjs.org/npm/-/npm-6.9.1-next.0.tgz"; + sha512 = "rw/KR5zPIz5VGhhTusLrXRchqteHO+1uRrg0WjDSj6r7uHuaC/mnjbWO0p7i7Td19azWWQtFS3TKBvE/gAfE9w=="; }; }; "npm-bundled-1.0.6" = { @@ -24113,13 +24636,13 @@ let sha512 = "3iuY4N5dhgMpCUrOVnuAdGrgxVqV2cJpM+XNccjR2DKOB1RUP0aA+wGXEiNziG/UKboFyGBIoKOaNlJxx8bciQ=="; }; }; - "nwsapi-2.1.1" = { + "nwsapi-2.1.3" = { name = "nwsapi"; packageName = "nwsapi"; - version = "2.1.1"; + version = "2.1.3"; src = fetchurl { - url = "https://registry.npmjs.org/nwsapi/-/nwsapi-2.1.1.tgz"; - sha512 = "T5GaA1J/d34AC8mkrFD2O0DR17kwJ702ZOtJOsS8RpbsQZVOC2/xYFb1i/cw+xdM54JIlMuojjDOYct8GIWtwg=="; + url = "https://registry.npmjs.org/nwsapi/-/nwsapi-2.1.3.tgz"; + sha512 = "RowAaJGEgYXEZfQ7tvvdtAQUKPyTR6T6wNu0fwlNsGQYr/h3yQc6oI8WnVZh3Y/Sylwc+dtAlvPqfFZjhTyk3A=="; }; }; "oauth-0.9.15" = { @@ -24249,13 +24772,13 @@ let sha512 = "GJzfBZ6DgDAmnuaM3104jR4s1Myxr3Y3zfIyN4z3UdqN69oSRacNK8UhnobDdC+7J2AHCjGwxQubNJfE70SXXQ=="; }; }; - "object-keys-1.1.0" = { + "object-keys-1.1.1" = { name = "object-keys"; packageName = "object-keys"; - version = "1.1.0"; + version = "1.1.1"; src = fetchurl { - url = "https://registry.npmjs.org/object-keys/-/object-keys-1.1.0.tgz"; - sha512 = "6OO5X1+2tYkNyNEx6TsCxEqFfRWaqx6EtMiSbGrw8Ob8v9Ne+Hl8rBAgLBZn5wjEz3s/s6U1WXFUFOcxxAwUpg=="; + url = "https://registry.npmjs.org/object-keys/-/object-keys-1.1.1.tgz"; + sha512 = "NuAESUOUMrlIXOfHKzD6bpPu3tYt3xvjNdRIQ+FeT0lNb4K8WR70CaDxhuNguS2XG+GjkyMwOzsN5ZktImfhLA=="; }; }; "object-path-0.11.4" = { @@ -24267,6 +24790,15 @@ let sha1 = "370ae752fbf37de3ea70a861c23bba8915691949"; }; }; + "object-to-arguments-0.0.8" = { + name = "object-to-arguments"; + packageName = "object-to-arguments"; + version = "0.0.8"; + src = fetchurl { + url = "https://registry.npmjs.org/object-to-arguments/-/object-to-arguments-0.0.8.tgz"; + sha512 = "BfWfuAwuhdH1bhMG5EG90WE/eckkBhBvnke8eSEkCDXoLE9Jk5JwYGTbCx1ehGwV48HvBkn62VukPBdlMUOY9w=="; + }; + }; "object-values-1.0.0" = { name = "object-values"; packageName = "object-values"; @@ -24357,6 +24889,15 @@ let sha512 = "8mf0nKLAoFX6VlNVdhGj31SVYpaNFtUnuoOXWyFEstsWRgU837AK+JYM0iAxwkSzGRbwn8cbFmgbyxj1j4VbXg=="; }; }; + "objectorarray-1.0.3" = { + name = "objectorarray"; + packageName = "objectorarray"; + version = "1.0.3"; + src = fetchurl { + url = "https://registry.npmjs.org/objectorarray/-/objectorarray-1.0.3.tgz"; + sha512 = "kPoflSYkAf/Onvjr4ZLaq37vDuOXjVzfwLCRuORRzYGdXkHa/vacPT0RgR+KmtkwOYFcxTMM62BRrZk8GGKHjw=="; + }; + }; "observ-0.2.0" = { name = "observ"; packageName = "observ"; @@ -24402,6 +24943,15 @@ let sha512 = "fZ4qZdQ2nxJvtcasX7Ghl+WlWS/d9IgnBIwFZXVNNZUmzpno91SX5bc5vuxiuKoCtK78XxGGNuSCrDC7xYB3OQ=="; }; }; + "omggif-1.0.9" = { + name = "omggif"; + packageName = "omggif"; + version = "1.0.9"; + src = fetchurl { + url = "https://registry.npmjs.org/omggif/-/omggif-1.0.9.tgz"; + sha1 = "dcb7024dacd50c52b4d303f04802c91c057c765f"; + }; + }; "on-change-network-0.0.2" = { name = "on-change-network"; packageName = "on-change-network"; @@ -24555,6 +25105,15 @@ let sha1 = "42c3e18ec95466b6bf0dc42f3a2945c3f0cad8fc"; }; }; + "open-6.0.0" = { + name = "open"; + packageName = "open"; + version = "6.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/open/-/open-6.0.0.tgz"; + sha512 = "/yb5mVZBz7mHLySMiSj2DcLtMBbFPJk5JBKEkHVZFxZAPzeg3L026O0T+lbdz1B2nyDnkClRSwRQJdeVUIF7zw=="; + }; + }; "opencollective-postinstall-2.0.2" = { name = "opencollective-postinstall"; packageName = "opencollective-postinstall"; @@ -24573,15 +25132,6 @@ let sha1 = "5c6da2c5d7e5831e8ffa3964950f8d6674ac90b8"; }; }; - "opener-1.5.1" = { - name = "opener"; - packageName = "opener"; - version = "1.5.1"; - src = fetchurl { - url = "https://registry.npmjs.org/opener/-/opener-1.5.1.tgz"; - sha512 = "goYSy5c2UXE4Ra1xixabeVh1guIX/ZV/YokJksb6q2lubWu6UbvPQ20p542/sFIll1nl8JnCyK9oBaOcCWXwvA=="; - }; - }; "openid-2.0.6" = { name = "openid"; packageName = "openid"; @@ -24618,22 +25168,22 @@ let sha512 = "bYJHo/LOmoTd+pfiYhfZDnf9zekVJrY+cnS2a5F2x+w5ppvTqObojTP7WiFG+kVZs9Inw+qQ/lw7TroWwhdd2g=="; }; }; - "opn-5.4.0" = { + "opn-5.5.0" = { name = "opn"; packageName = "opn"; - version = "5.4.0"; + version = "5.5.0"; src = fetchurl { - url = "https://registry.npmjs.org/opn/-/opn-5.4.0.tgz"; - sha512 = "YF9MNdVy/0qvJvDtunAOzFw9iasOQHpVthTCvGzxt61Il64AYSGdK+rYwld7NAfk9qJ7dt+hymBNSc9LNYS+Sw=="; + url = "https://registry.npmjs.org/opn/-/opn-5.5.0.tgz"; + sha512 = "PqHpggC9bLV0VeWcdKhkpxY+3JTzetLSqTCWL/z/tFIbI6G8JCjondXklT1JinczLz2Xib62sSp0T/gKT4KksA=="; }; }; - "opn-5.5.0" = { + "opn-6.0.0" = { name = "opn"; packageName = "opn"; - version = "5.5.0"; + version = "6.0.0"; src = fetchurl { - url = "https://registry.npmjs.org/opn/-/opn-5.5.0.tgz"; - sha512 = "PqHpggC9bLV0VeWcdKhkpxY+3JTzetLSqTCWL/z/tFIbI6G8JCjondXklT1JinczLz2Xib62sSp0T/gKT4KksA=="; + url = "https://registry.npmjs.org/opn/-/opn-6.0.0.tgz"; + sha512 = "I9PKfIZC+e4RXZ/qr1RhgyCnGgYX0UEIlXgWnCOVACIvFgaC9rz6Won7xbdhoHrd8IIhV7YEpHjreNUNkqCGkQ=="; }; }; "optimism-0.6.9" = { @@ -24735,13 +25285,13 @@ let sha512 = "LBS97LFe2RV6GJmXBi6OKcETKyklHNMV0xw7BtsVn2MlsgsydyZetSCbCANr+PFLmDyv4KV88nn0eCKza665Mg=="; }; }; - "ora-3.2.0" = { + "ora-3.4.0" = { name = "ora"; packageName = "ora"; - version = "3.2.0"; + version = "3.4.0"; src = fetchurl { - url = "https://registry.npmjs.org/ora/-/ora-3.2.0.tgz"; - sha512 = "XHMZA5WieCbtg+tu0uPF8CjvwQdNzKCX6BVh3N6GFsEXH40mTk5dsw/ya1lBTUGJslcEFJFQ8cBhOgkkZXQtMA=="; + url = "https://registry.npmjs.org/ora/-/ora-3.4.0.tgz"; + sha512 = "eNwHudNbO1folBP3JsZ19v9azXWtQZjICdr3Q0TDPIaeBQ3mXLrh54wM+er0+hSp+dWKf+Z8KM58CYzEyIYxYg=="; }; }; "orchestrator-0.3.8" = { @@ -24771,15 +25321,6 @@ let sha1 = "77c0cb37c41525d64166d990ffad7ec6a0e1363e"; }; }; - "os-browserify-0.1.2" = { - name = "os-browserify"; - packageName = "os-browserify"; - version = "0.1.2"; - src = fetchurl { - url = "https://registry.npmjs.org/os-browserify/-/os-browserify-0.1.2.tgz"; - sha1 = "49ca0293e0b19590a5f5de10c7f265a617d8fe54"; - }; - }; "os-browserify-0.3.0" = { name = "os-browserify"; packageName = "os-browserify"; @@ -24798,6 +25339,15 @@ let sha1 = "ffbc4988336e0e833de0c168c7ef152121aa7fb3"; }; }; + "os-homedir-2.0.0" = { + name = "os-homedir"; + packageName = "os-homedir"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/os-homedir/-/os-homedir-2.0.0.tgz"; + sha512 = "saRNz0DSC5C/I++gFIaJTXoFJMRwiP5zHar5vV3xQ2TkgEw6hDCcU5F272JjUylpiVgBrZNQHnfjkLabTfb92Q=="; + }; + }; "os-locale-1.4.0" = { name = "os-locale"; packageName = "os-locale"; @@ -24825,15 +25375,6 @@ let sha512 = "Z8l3R4wYWM40/52Z+S265okfFj8Kt2cC2MKY+xNi3kFs+XGI7WXu/I309QQQYbRW4ijiZ+yxs9pqEhJh0DqW3Q=="; }; }; - "os-name-1.0.3" = { - name = "os-name"; - packageName = "os-name"; - version = "1.0.3"; - src = fetchurl { - url = "https://registry.npmjs.org/os-name/-/os-name-1.0.3.tgz"; - sha1 = "1b379f64835af7c5a7f498b357cb95215c159edf"; - }; - }; "os-name-2.0.1" = { name = "os-name"; packageName = "os-name"; @@ -24843,13 +25384,13 @@ let sha1 = "b9a386361c17ae3a21736ef0599405c9a8c5dc5e"; }; }; - "os-name-3.0.0" = { + "os-name-3.1.0" = { name = "os-name"; packageName = "os-name"; - version = "3.0.0"; + version = "3.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/os-name/-/os-name-3.0.0.tgz"; - sha512 = "7c74tib2FsdFbQ3W+qj8Tyd1R3Z6tuVRNNxXjJcZ4NgjIEQU9N/prVMqcW29XZPXGACqaXN3jq58/6hoaoXH6g=="; + url = "https://registry.npmjs.org/os-name/-/os-name-3.1.0.tgz"; + sha512 = "h8L+8aNjNcMpo/mAIBPn5PXCM16iyPGjHNWo6U1YO8sJTMHtEtyczI6QJnLoplswm6goopQkqc7OAnjhWcugVg=="; }; }; "os-shim-0.1.3" = { @@ -24888,15 +25429,6 @@ let sha512 = "0CWcCECdMVc2Rw3U5w9ZjqX6ga6ubk1xDVKxtBQPK7wis/0F2r9T6k4ydGYhecl7YUBxBVxhL5oisPsNxAPe2g=="; }; }; - "osx-release-1.1.0" = { - name = "osx-release"; - packageName = "osx-release"; - version = "1.1.0"; - src = fetchurl { - url = "https://registry.npmjs.org/osx-release/-/osx-release-1.1.0.tgz"; - sha1 = "f217911a28136949af1bf9308b241e2737d3cd6c"; - }; - }; "p-any-1.1.0" = { name = "p-any"; packageName = "p-any"; @@ -24969,13 +25501,13 @@ let sha1 = "9c9456989e9f6588017b0434d56097675c3da05e"; }; }; - "p-is-promise-2.0.0" = { + "p-is-promise-2.1.0" = { name = "p-is-promise"; packageName = "p-is-promise"; - version = "2.0.0"; + version = "2.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/p-is-promise/-/p-is-promise-2.0.0.tgz"; - sha512 = "pzQPhYMCAgLAKPWD2jC3Se9fEfrD9npNos0y150EeqZll7akhEgGhTW/slB6lHku8AvYGiJ+YJ5hfHKePPgFWg=="; + url = "https://registry.npmjs.org/p-is-promise/-/p-is-promise-2.1.0.tgz"; + sha512 = "Y3W0wlRPK8ZMRbNq97l4M5otioeA5lm1z7bkNkxCka8HSPjR0xRWmpCmc9utiaLP9Jb1eD8BgeIxTW4AIF45Pg=="; }; }; "p-limit-1.3.0" = { @@ -25086,13 +25618,13 @@ let sha1 = "cbc79cdbaf8fd4228e13f621f2b1a237c1b207b3"; }; }; - "p-try-2.1.0" = { + "p-try-2.2.0" = { name = "p-try"; packageName = "p-try"; - version = "2.1.0"; + version = "2.2.0"; src = fetchurl { - url = "https://registry.npmjs.org/p-try/-/p-try-2.1.0.tgz"; - sha512 = "H2RyIJ7+A3rjkwKC2l5GGtU4H1vkxKCAGsWasNVd0Set+6i4znxbWy6/j16YDPJDWxhsgZiKAstMEP8wCdSpjA=="; + url = "https://registry.npmjs.org/p-try/-/p-try-2.2.0.tgz"; + sha512 = "R4nPAVTAU0B9D35/Gk3uJf/7XYbQcyohSKdvAxIRSNghFl4e71hVoGnBNQz9cWaXxO2I10KTC+3jMdvvoKw6dQ=="; }; }; "p-waterfall-1.0.0" = { @@ -25104,15 +25636,6 @@ let sha1 = "7ed94b3ceb3332782353af6aae11aa9fc235bb00"; }; }; - "pac-proxy-agent-2.0.2" = { - name = "pac-proxy-agent"; - packageName = "pac-proxy-agent"; - version = "2.0.2"; - src = fetchurl { - url = "https://registry.npmjs.org/pac-proxy-agent/-/pac-proxy-agent-2.0.2.tgz"; - sha512 = "cDNAN1Ehjbf5EHkNY5qnRhGPUCp6SnpyVof5fRzN800QV1Y2OkzbH9rmjZkbBRa8igof903yOnjIl6z0SlAhxA=="; - }; - }; "pac-proxy-agent-3.0.0" = { name = "pac-proxy-agent"; packageName = "pac-proxy-agent"; @@ -25149,13 +25672,13 @@ let sha512 = "EeHQFFTlEmLrkIQoxbE9w0FuAWHoc1XpthDqnZ/i9keOt701cteyXwAxQFLpVqVjj3feh2TodkihjLaRUtIgLg=="; }; }; - "package-json-6.1.0" = { + "package-json-6.3.0" = { name = "package-json"; packageName = "package-json"; - version = "6.1.0"; + version = "6.3.0"; src = fetchurl { - url = "https://registry.npmjs.org/package-json/-/package-json-6.1.0.tgz"; - sha512 = "TCE9JWb3IU1XMy/d9j3lwLJFF8UUfO7tiXminR3OhtsEm6147k+IFwQ3gAu2VqLZmFvcnOoIKUwOVteUmKwW4Q=="; + url = "https://registry.npmjs.org/package-json/-/package-json-6.3.0.tgz"; + sha512 = "XO7WS3EEXd48vmW633Y97Mh9xuENFiOevI9G+ExfTG/k6xuY9cBd3fxkAoDMSEsNZXasaVJIJ1rD/n7GMf18bA=="; }; }; "package-json-versionify-1.0.4" = { @@ -25266,13 +25789,13 @@ let sha512 = "b6t7ORo/MwT6xvRiuu1c1do3+CAUd7/0rgc1d3qNHUeP64zxy4ttLIvK7SEHzyfyDLvD9pPuV9mYKHf6MgUkmg=="; }; }; - "parent-module-1.0.0" = { + "parent-module-1.0.1" = { name = "parent-module"; packageName = "parent-module"; - version = "1.0.0"; + version = "1.0.1"; src = fetchurl { - url = "https://registry.npmjs.org/parent-module/-/parent-module-1.0.0.tgz"; - sha512 = "8Mf5juOMmiE4FcmzYc4IaiS9L3+9paz2KOiXzkRviCP6aDmN49Hz6EMWz0lGNp9pX80GvvAuLADtyGfW/Em3TA=="; + url = "https://registry.npmjs.org/parent-module/-/parent-module-1.0.1.tgz"; + sha512 = "GQ2EWRpQV8/o+Aw8YqtfZZPfNRWZYkbidE9k5rpl/hC3vtHHBfGm2Ifi6qWV+coDGkrUKZAxE3Lot5kcsRlh+g=="; }; }; "parents-1.0.1" = { @@ -25554,13 +26077,13 @@ let sha1 = "80204a50d4dbb779bfdc6ebe2778d90e4bce320a"; }; }; - "parseurl-1.3.2" = { + "parseurl-1.3.3" = { name = "parseurl"; packageName = "parseurl"; - version = "1.3.2"; + version = "1.3.3"; src = fetchurl { - url = "https://registry.npmjs.org/parseurl/-/parseurl-1.3.2.tgz"; - sha1 = "fc289d4ed8993119460c156253262cdc8de65bf3"; + url = "https://registry.npmjs.org/parseurl/-/parseurl-1.3.3.tgz"; + sha512 = "CiyeOxFT/JZyN5m0z9PfXw4SCBJ6Sygz1Dpl0wqjlhDEGGBP1GnsUVEL0p63hoG1fcj3fHynXi9NYO4nWOL+qQ=="; }; }; "pascal-case-2.0.1" = { @@ -25725,13 +26248,13 @@ let sha1 = "411cadb574c5a140d3a4b1910d40d80cc9f40b40"; }; }; - "path-loader-1.0.9" = { + "path-loader-1.0.10" = { name = "path-loader"; packageName = "path-loader"; - version = "1.0.9"; + version = "1.0.10"; src = fetchurl { - url = "https://registry.npmjs.org/path-loader/-/path-loader-1.0.9.tgz"; - sha512 = "pD37gArtr+/72Tst9oJoDB9k7gB9A09Efj7yyBi5HDUqaxqULXBWW8Rnw2TfNF+3sN7QZv0ZNdW1Qx2pFGW5Jg=="; + url = "https://registry.npmjs.org/path-loader/-/path-loader-1.0.10.tgz"; + sha512 = "CMP0v6S6z8PHeJ6NFVyVJm6WyJjIwFvyz2b0n2/4bKdS/0uZa/9sKUlYZzubrn3zuDRU0zIuEDX9DZYQ2ZI8TA=="; }; }; "path-parse-1.0.6" = { @@ -26067,13 +26590,22 @@ let sha512 = "NIua0mGb9Adknq35ONvQmvh93LCUVUjp2+1q1EcvIkJmpJnSd3E5rHVKlKNjzMXFl/z3fI+QA0xXCjPEKNiLvQ=="; }; }; - "pino-std-serializers-2.3.0" = { + "pino-std-serializers-2.4.0" = { name = "pino-std-serializers"; packageName = "pino-std-serializers"; - version = "2.3.0"; + version = "2.4.0"; + src = fetchurl { + url = "https://registry.npmjs.org/pino-std-serializers/-/pino-std-serializers-2.4.0.tgz"; + sha512 = "ysT2ylXu1aEec9k8cm/lz7emBcfpdxFWHqvHeGXf1wvfw7TKPMGhLWwS+ciHw6u4ffnmV+pkAMF4MUIZmZZdSg=="; + }; + }; + "pipe-functions-1.3.0" = { + name = "pipe-functions"; + packageName = "pipe-functions"; + version = "1.3.0"; src = fetchurl { - url = "https://registry.npmjs.org/pino-std-serializers/-/pino-std-serializers-2.3.0.tgz"; - sha512 = "klfGoOsP6sJH7ON796G4xoUSx2fkpFgKHO4YVVO2zmz31jR+etzc/QzGJILaOIiCD6HTCFgkPx+XN8nk+ruqPw=="; + url = "https://registry.npmjs.org/pipe-functions/-/pipe-functions-1.3.0.tgz"; + sha512 = "6Rtbp7criZRwedlvWbUYxqlqJoAlMvYHo2UcRWq79xZ54vZcaNHpVBOcWkX3ErT2aUA69tv+uiv4zKJbhD/Wgg=="; }; }; "pkg-dir-2.0.0" = { @@ -26157,24 +26689,6 @@ let sha1 = "084b5093ddc92506e259f874b8d9b1afb8c79593"; }; }; - "plist-2.0.1" = { - name = "plist"; - packageName = "plist"; - version = "2.0.1"; - src = fetchurl { - url = "https://registry.npmjs.org/plist/-/plist-2.0.1.tgz"; - sha1 = "0a32ca9481b1c364e92e18dc55c876de9d01da8b"; - }; - }; - "plist-2.1.0" = { - name = "plist"; - packageName = "plist"; - version = "2.1.0"; - src = fetchurl { - url = "https://registry.npmjs.org/plist/-/plist-2.1.0.tgz"; - sha1 = "57ccdb7a0821df21831217a3cad54e3e146a1025"; - }; - }; "plist-3.0.1" = { name = "plist"; packageName = "plist"; @@ -26256,6 +26770,15 @@ let sha512 = "2qHaIQr2VLRFoxe2nASzsV6ef4yOOH+Fi9FBOVH6cqeSgUnoyySPZkxzLuzd+RYOQTRpROA0ztTMqxROKSb/nA=="; }; }; + "pngjs-2.3.1" = { + name = "pngjs"; + packageName = "pngjs"; + version = "2.3.1"; + src = fetchurl { + url = "https://registry.npmjs.org/pngjs/-/pngjs-2.3.1.tgz"; + sha1 = "11d1e12b9cb64d63e30c143a330f4c1f567da85f"; + }; + }; "po2json-0.4.5" = { name = "po2json"; packageName = "po2json"; @@ -26671,6 +27194,15 @@ let sha512 = "jL6eFIzoN3xUEvbo33OAkSDE2VIKU4JQ1wENOows1DpfnrdapR/K3Q1/fB43Mq7wQlcSgRm23nFrvoioufM7eA=="; }; }; + "prebuild-install-4.0.0" = { + name = "prebuild-install"; + packageName = "prebuild-install"; + version = "4.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/prebuild-install/-/prebuild-install-4.0.0.tgz"; + sha512 = "7tayxeYboJX0RbVzdnKyGl2vhQRWr6qfClEXDhOkXjuaOKCw2q8aiuFhONRYVsG/czia7KhpykIlI2S2VaPunA=="; + }; + }; "prebuild-install-5.2.5" = { name = "prebuild-install"; packageName = "prebuild-install"; @@ -26779,6 +27311,15 @@ let sha1 = "c438ca2ca33e3927671db4ab69c0e52f936a4f0f"; }; }; + "prism-media-0.0.3" = { + name = "prism-media"; + packageName = "prism-media"; + version = "0.0.3"; + src = fetchurl { + url = "https://registry.npmjs.org/prism-media/-/prism-media-0.0.3.tgz"; + sha512 = "c9KkNifSMU/iXT8FFTaBwBMr+rdVcN+H/uNv1o+CuFeTThNZNTOrQ+RgXA1yL/DeLk098duAeRPP3QNPNbhxYQ=="; + }; + }; "prisma-json-schema-0.1.3" = { name = "prisma-json-schema"; packageName = "prisma-json-schema"; @@ -26797,13 +27338,13 @@ let sha512 = "dWBTeQbyWr/4d97ZKjxFPvIHytnNlBsNzgsJC1eew3qoZ9A8vtRIFhsnPiD3kYIf67w56i2QO2O5Infe2FzMww=="; }; }; - "prismjs-1.15.0" = { + "prismjs-1.16.0" = { name = "prismjs"; packageName = "prismjs"; - version = "1.15.0"; + version = "1.16.0"; src = fetchurl { - url = "https://registry.npmjs.org/prismjs/-/prismjs-1.15.0.tgz"; - sha512 = "Lf2JrFYx8FanHrjoV5oL8YHCclLQgbJcVZR+gikGGMqz6ub5QVWDTM6YIwm3BuPxM/LOV+rKns3LssXNLIf+DA=="; + url = "https://registry.npmjs.org/prismjs/-/prismjs-1.16.0.tgz"; + sha512 = "OA4MKxjFZHSvZcisLGe14THYsug/nF6O1f0pAJc0KN0wTyAcLqmsbE+lTGKSpyh+9pEW57+k6pg2AfYR+coyHA=="; }; }; "private-0.1.8" = { @@ -26959,6 +27500,15 @@ let sha1 = "5f8a704ccdf5f2ac23996fcafe2b301bc2a8d0eb"; }; }; + "promisize-1.1.2" = { + name = "promisize"; + packageName = "promisize"; + version = "1.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/promisize/-/promisize-1.1.2.tgz"; + sha1 = "9b47e2cb2ae497eb1ebadc2c4191d64d15c949d1"; + }; + }; "prompt-0.2.14" = { name = "prompt"; packageName = "prompt"; @@ -27004,6 +27554,15 @@ let sha512 = "8QQikdH7//R2vurIJSutZ1smHYTcLpRWEOlHnzcWHmBYrOGUysKwSsrC89BCiFj3CbrfJ/nXFdJepOVrY1GCHQ=="; }; }; + "proper-lockfile-2.0.1" = { + name = "proper-lockfile"; + packageName = "proper-lockfile"; + version = "2.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/proper-lockfile/-/proper-lockfile-2.0.1.tgz"; + sha1 = "159fb06193d32003f4b3691dd2ec1a634aa80d1d"; + }; + }; "properties-1.2.1" = { name = "properties"; packageName = "properties"; @@ -27013,15 +27572,6 @@ let sha1 = "0ee97a7fc020b1a2a55b8659eda4aa8d869094bd"; }; }; - "properties-parser-0.3.1" = { - name = "properties-parser"; - packageName = "properties-parser"; - version = "0.3.1"; - src = fetchurl { - url = "https://registry.npmjs.org/properties-parser/-/properties-parser-0.3.1.tgz"; - sha1 = "1316e9539ffbfd93845e369b211022abd478771a"; - }; - }; "proto-list-1.2.4" = { name = "proto-list"; packageName = "proto-list"; @@ -27094,22 +27644,13 @@ let sha1 = "0d40a82f801fc355567d2ecb65efe3f077f121c5"; }; }; - "proxy-addr-2.0.4" = { + "proxy-addr-2.0.5" = { name = "proxy-addr"; packageName = "proxy-addr"; - version = "2.0.4"; - src = fetchurl { - url = "https://registry.npmjs.org/proxy-addr/-/proxy-addr-2.0.4.tgz"; - sha512 = "5erio2h9jp5CHGwcybmxmVqHmnCBZeewlfJ0pex+UW7Qny7OOZXTtH56TGNyBizkgiOwhJtMKrVzDTeKcySZwA=="; - }; - }; - "proxy-agent-2.3.1" = { - name = "proxy-agent"; - packageName = "proxy-agent"; - version = "2.3.1"; + version = "2.0.5"; src = fetchurl { - url = "https://registry.npmjs.org/proxy-agent/-/proxy-agent-2.3.1.tgz"; - sha512 = "CNKuhC1jVtm8KJYFTS2ZRO71VCBx3QSA92So/e6NrY6GoJonkx3Irnk4047EsCcswczwqAekRj3s8qLRGahSKg=="; + url = "https://registry.npmjs.org/proxy-addr/-/proxy-addr-2.0.5.tgz"; + sha512 = "t/7RxHXPH6cJtP0pRG6smSr9QJidhB+3kXu0KgXnbGYMgzEnUxRQ4/LDdfOwZEMyIh3/xHb8PX3t+lfL9z+YVQ=="; }; }; "proxy-agent-3.1.0" = { @@ -27814,22 +28355,22 @@ let sha512 = "pdE/OKi/jnp9DqGgNRzLY0oVHffn/8TXJmBPzv+ikdvpkeA0J//l5d7TZk1yWwZj9P0JcOIEVDOuHzhXaeBlmw=="; }; }; - "q-1.0.1" = { + "q-0.9.7" = { name = "q"; packageName = "q"; - version = "1.0.1"; + version = "0.9.7"; src = fetchurl { - url = "https://registry.npmjs.org/q/-/q-1.0.1.tgz"; - sha1 = "11872aeedee89268110b10a718448ffb10112a14"; + url = "https://registry.npmjs.org/q/-/q-0.9.7.tgz"; + sha1 = "4de2e6cb3b29088c9e4cbc03bf9d42fb96ce2f75"; }; }; - "q-1.4.1" = { + "q-1.1.2" = { name = "q"; packageName = "q"; - version = "1.4.1"; + version = "1.1.2"; src = fetchurl { - url = "https://registry.npmjs.org/q/-/q-1.4.1.tgz"; - sha1 = "55705bcd93c5f3673530c2c2cbc0c2b3addc286e"; + url = "https://registry.npmjs.org/q/-/q-1.1.2.tgz"; + sha1 = "6357e291206701d99f197ab84e57e8ad196f2a89"; }; }; "q-1.5.1" = { @@ -27940,13 +28481,13 @@ let sha512 = "N5ZAX4/LxJmF+7wN74pUD6qAh9/wnvdQcjq9TZjevvXzSUo7bfmw91saqMjzGS2xq91/odN2dW/WOl7qQHNDGA=="; }; }; - "qs-6.6.0" = { + "qs-6.7.0" = { name = "qs"; packageName = "qs"; - version = "6.6.0"; + version = "6.7.0"; src = fetchurl { - url = "https://registry.npmjs.org/qs/-/qs-6.6.0.tgz"; - sha512 = "KIJqT9jQJDQx5h5uAVPimw6yVg2SekOKu959OCtktD3FjzbpvaPr8i4zzg07DOMz+igA4W/aNM7OV8H37pFYfA=="; + url = "https://registry.npmjs.org/qs/-/qs-6.7.0.tgz"; + sha512 = "VCdBRNFTX1fyE7Nb6FYoURo/SPe62QCaAyzJvUjwRaIsc+NePBEniHlvxFmmX56+HZphIGtV0XeCirBtpDrTyQ=="; }; }; "query-string-1.0.1" = { @@ -27958,6 +28499,15 @@ let sha1 = "63ac953352499ad670a9681a75680f6bf3dd1faf"; }; }; + "query-string-4.3.4" = { + name = "query-string"; + packageName = "query-string"; + version = "4.3.4"; + src = fetchurl { + url = "https://registry.npmjs.org/query-string/-/query-string-4.3.4.tgz"; + sha1 = "bbb693b9ca915c232515b228b1a02b609043dbeb"; + }; + }; "query-string-5.1.1" = { name = "query-string"; packageName = "query-string"; @@ -28048,6 +28598,15 @@ let sha1 = "eb7e6267548ddedfb899c1b90e57374559cddb7e"; }; }; + "ramda-0.25.0" = { + name = "ramda"; + packageName = "ramda"; + version = "0.25.0"; + src = fetchurl { + url = "https://registry.npmjs.org/ramda/-/ramda-0.25.0.tgz"; + sha512 = "GXpfrYVPwx3K7RQ6aYT8KPS8XViSXUVJT1ONhoKPE9VAleW42YE+U+8VEyGWt41EnEQW7gwecYJriTI0pKoecQ=="; + }; + }; "randexp-0.4.6" = { name = "randexp"; packageName = "randexp"; @@ -28057,13 +28616,13 @@ let sha512 = "80WNmd9DA0tmZrw9qQa62GPPWfuXJknrmVmLcxvq4uZBdYqb1wYoKTmnlGUchvVWe0XiLupYkBoXVOxz3C8DYQ=="; }; }; - "random-access-file-2.1.0" = { + "random-access-file-2.1.1" = { name = "random-access-file"; packageName = "random-access-file"; - version = "2.1.0"; + version = "2.1.1"; src = fetchurl { - url = "https://registry.npmjs.org/random-access-file/-/random-access-file-2.1.0.tgz"; - sha512 = "W2hY3DboLETMclybTVzyqCNVKx1MjqUwZPzkpkkMD2t9mbGEtkV2SKWPqAJ/FTrAtnWB7aGwl0NDUS82da0KdQ=="; + url = "https://registry.npmjs.org/random-access-file/-/random-access-file-2.1.1.tgz"; + sha512 = "l8I6RlabShHmNPRqZ0f4mlHgkhgdrd5Prd4RXM9tOizUOMrTglKHB+s78pZZbIsJgny8E9gw0DRqCy3YVLxxZg=="; }; }; "random-access-memory-3.1.1" = { @@ -28210,6 +28769,15 @@ let sha512 = "9esiElv1BrZoI3rCDuOuKCBRbuApGGaDPQfjSflGxdy4oyzqghxu6klEkkVIvBje+FF0BX9coEv8KqW6X/7njw=="; }; }; + "raw-body-2.4.0" = { + name = "raw-body"; + packageName = "raw-body"; + version = "2.4.0"; + src = fetchurl { + url = "https://registry.npmjs.org/raw-body/-/raw-body-2.4.0.tgz"; + sha512 = "4Oz8DUIwdvoa5qMJelxipzi/iJIi40O5cGV1wNYp5hvZP8ZN0T+jiNkL0QepXs+EsQ9XJ8ipEDoiH70ySUJP3Q=="; + }; + }; "rc-0.4.0" = { name = "rc"; packageName = "rc"; @@ -28246,13 +28814,13 @@ let sha1 = "fa9e319ffdeeeb35b27296ef0f3d374dac2f52a7"; }; }; - "react-is-16.8.4" = { + "react-is-16.8.6" = { name = "react-is"; packageName = "react-is"; - version = "16.8.4"; + version = "16.8.6"; src = fetchurl { - url = "https://registry.npmjs.org/react-is/-/react-is-16.8.4.tgz"; - sha512 = "PVadd+WaUDOAciICm/J1waJaSvgq+4rHE/K70j0PFqKhkTBsPv/82UGQJNXAngz1fOQLLxI6z1sEDmJDQhCTAA=="; + url = "https://registry.npmjs.org/react-is/-/react-is-16.8.6.tgz"; + sha512 = "aUk3bHfZ2bRSVFFbbeVS4i+lNPZr3/WM5jT2J5omUVV1zzcs1nAaf3l51ctA5FFvCRbhrH0bdAsRRQddFJZPtA=="; }; }; "read-1.0.7" = { @@ -28273,6 +28841,15 @@ let sha1 = "6a04c0928005ed9d42e1a6ac5600e19cbc7ff655"; }; }; + "read-chunk-3.2.0" = { + name = "read-chunk"; + packageName = "read-chunk"; + version = "3.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/read-chunk/-/read-chunk-3.2.0.tgz"; + sha512 = "CEjy9LCzhmD7nUpJ1oVOE6s/hBkejlcJEgLQHVnQznOSilOPb+kpKktlLfFDK3/WP43+F80xkUTM2VOkYoSYvQ=="; + }; + }; "read-cmd-shim-1.0.1" = { name = "read-cmd-shim"; packageName = "read-cmd-shim"; @@ -28426,15 +29003,6 @@ let sha1 = "7cf4c54ef648e3813084c636dd2079e166c081d9"; }; }; - "readable-stream-2.0.6" = { - name = "readable-stream"; - packageName = "readable-stream"; - version = "2.0.6"; - src = fetchurl { - url = "https://registry.npmjs.org/readable-stream/-/readable-stream-2.0.6.tgz"; - sha1 = "8f90341e68a53ccc928788dacfcd11b36eb9b78e"; - }; - }; "readable-stream-2.3.6" = { name = "readable-stream"; packageName = "readable-stream"; @@ -28444,13 +29012,13 @@ let sha512 = "tQtKA9WIAhBF3+VLAseyMqZeBjW0AHJoxOtYqSUZNJxauErmLbVm2FW1y+J/YA9dUrAC39ITejlZWhVIwawkKw=="; }; }; - "readable-stream-3.2.0" = { + "readable-stream-3.3.0" = { name = "readable-stream"; packageName = "readable-stream"; - version = "3.2.0"; + version = "3.3.0"; src = fetchurl { - url = "https://registry.npmjs.org/readable-stream/-/readable-stream-3.2.0.tgz"; - sha512 = "RV20kLjdmpZuTF1INEb9IA3L68Nmi+Ri7ppZqo78wj//Pn62fCoJyV9zalccNzDD/OuJpMG4f+pfMl8+L6QdGw=="; + url = "https://registry.npmjs.org/readable-stream/-/readable-stream-3.3.0.tgz"; + sha512 = "EsI+s3k3XsW+fU8fQACLN59ky34AZ14LoeVZpYwmZvldCFo0r0gnelwF2TcMjLor/BTL5aDJVBMkss0dthToPw=="; }; }; "readdir-scoped-modules-1.0.2" = { @@ -28498,13 +29066,13 @@ let sha1 = "451fd3004ab1e4df9b4e4b66376b2a21912462d3"; }; }; - "recast-0.17.4" = { + "recast-0.17.5" = { name = "recast"; packageName = "recast"; - version = "0.17.4"; + version = "0.17.5"; src = fetchurl { - url = "https://registry.npmjs.org/recast/-/recast-0.17.4.tgz"; - sha512 = "94mbtFr2e4XoleJVCQQ138gK7xT2IScq25+thwEzNWd/hjOXQd6ejFiztgZZGVSByoV7/k3pLBXO3RK1BvJsIw=="; + url = "https://registry.npmjs.org/recast/-/recast-0.17.5.tgz"; + sha512 = "K+DgfAMIyEjNKjaFSWgg9TTu7wFgU/4KTyw4E9vl6M5QPDuUYbyt49Yzb0EIDbZks+6lXk/UZ9eTuE4jlLyf2A=="; }; }; "rechoir-0.6.2" = { @@ -28579,6 +29147,24 @@ let sha1 = "e0c93542c574521bea13df0f9488ed82ab77c5da"; }; }; + "reduce-flatten-1.0.1" = { + name = "reduce-flatten"; + packageName = "reduce-flatten"; + version = "1.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/reduce-flatten/-/reduce-flatten-1.0.1.tgz"; + sha1 = "258c78efd153ddf93cb561237f61184f3696e327"; + }; + }; + "redux-3.7.2" = { + name = "redux"; + packageName = "redux"; + version = "3.7.2"; + src = fetchurl { + url = "https://registry.npmjs.org/redux/-/redux-3.7.2.tgz"; + sha512 = "pNqnf9q1hI5HHZRBkj3bAngGZW/JMCmexDlOxw4XagXY2o1327nHH54LoTjiPJ0gizoqPDRqWyX/00g0hD6w+A=="; + }; + }; "regenerate-1.4.0" = { name = "regenerate"; packageName = "regenerate"; @@ -28732,13 +29318,13 @@ let sha1 = "3d4ef870f73dde1d77f0cf9a381432444e174942"; }; }; - "registry-url-5.0.0" = { + "registry-url-5.1.0" = { name = "registry-url"; packageName = "registry-url"; - version = "5.0.0"; + version = "5.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/registry-url/-/registry-url-5.0.0.tgz"; - sha512 = "qH1SjdlyxreHU8atIDzP1MOb1GG2g5746L3nnwISWTUh8GBLotl82sOayZJtm/KwmrMeMaEzAV26o6Pk1hJuoA=="; + url = "https://registry.npmjs.org/registry-url/-/registry-url-5.1.0.tgz"; + sha512 = "8acYXXTI0AkQv6RAOjE3vOaIXZkT9wo4LOFbBKYQEEnnMNBpKqdUrI6S4NT0KPIo/WVvJ5tE/X5LF/TQUf0ekw=="; }; }; "regjsgen-0.2.0" = { @@ -29551,13 +30137,13 @@ let sha512 = "SxrAIgpH/B5/W4SeULgreOemxcpEgKs2gcD42zXw50bhqGWmcnlXneVInQpAqzA/cIly4bJrOpeelmB9p4YXSQ=="; }; }; - "rollup-pluginutils-2.5.0" = { + "rollup-pluginutils-2.6.0" = { name = "rollup-pluginutils"; packageName = "rollup-pluginutils"; - version = "2.5.0"; + version = "2.6.0"; src = fetchurl { - url = "https://registry.npmjs.org/rollup-pluginutils/-/rollup-pluginutils-2.5.0.tgz"; - sha512 = "9Muh1H+XB5f5ONmKMayUoTYR1EZwHbwJJ9oZLrKT5yuTf/RLIQ5mYIGsrERquVucJmjmaAW0Y7+6Qo1Ep+5w3Q=="; + url = "https://registry.npmjs.org/rollup-pluginutils/-/rollup-pluginutils-2.6.0.tgz"; + sha512 = "aGQwspEF8oPKvg37u3p7h0cYNwmJR1sCBMZGZ5b9qy8HGtETknqjzcxrDRrcAnJNXN18lBH4Q9vZYth/p4n8jQ=="; }; }; "root-check-1.0.0" = { @@ -29731,13 +30317,13 @@ let sha512 = "JTWmoY9tWCs7zvIk/CvRjhjGaOd+OVBM987mxFo+OW66cGpdKjZcpmc74ES1sB//7Kl/PAe8+wEakuhG4pcgOw=="; }; }; - "rxjs-6.4.0" = { + "rxjs-6.5.1" = { name = "rxjs"; packageName = "rxjs"; - version = "6.4.0"; + version = "6.5.1"; src = fetchurl { - url = "https://registry.npmjs.org/rxjs/-/rxjs-6.4.0.tgz"; - sha512 = "Z9Yfa11F6B9Sg/BK9MnqnQ+aQYicPLtilXBp2yUtDt2JRCE0h26d33EnfO3ZxoNxG0T92OUucP3Ct7cpfkdFfw=="; + url = "https://registry.npmjs.org/rxjs/-/rxjs-6.5.1.tgz"; + sha512 = "y0j31WJc83wPu31vS1VlAFW5JGrnGC+j+TtGAa1fRQphy48+fDYiDmX8tjGloToEsMkxnouOg/1IzXGKkJnZMg=="; }; }; "s3-stream-upload-2.0.2" = { @@ -29749,6 +30335,24 @@ let sha1 = "60342f12d4aa06ea8f389fb761a5393aedca017f"; }; }; + "s3signed-0.1.0" = { + name = "s3signed"; + packageName = "s3signed"; + version = "0.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/s3signed/-/s3signed-0.1.0.tgz"; + sha1 = "ae03b86259413215ed43e99285c8c347579735fb"; + }; + }; + "s3urls-1.5.2" = { + name = "s3urls"; + packageName = "s3urls"; + version = "1.5.2"; + src = fetchurl { + url = "https://registry.npmjs.org/s3urls/-/s3urls-1.5.2.tgz"; + sha1 = "182a991208fc1ab5214443eb250fc8f53b4bc9ea"; + }; + }; "safe-buffer-5.0.1" = { name = "safe-buffer"; packageName = "safe-buffer"; @@ -29821,6 +30425,15 @@ let sha1 = "741e245e231f07cafb6fdf0f133adfa216a502ad"; }; }; + "sandwich-stream-2.0.2" = { + name = "sandwich-stream"; + packageName = "sandwich-stream"; + version = "2.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/sandwich-stream/-/sandwich-stream-2.0.2.tgz"; + sha512 = "jLYV0DORrzY3xaz/S9ydJL6Iz7essZeAfnAavsJ+zsJGZ1MOnsS52yRjU3uF3pJa/lla7+wisp//fxOwOH8SKQ=="; + }; + }; "sane-4.1.0" = { name = "sane"; packageName = "sane"; @@ -29839,15 +30452,6 @@ let sha1 = "612da1c96473fa02dccda92dcd5b4ab164a6772a"; }; }; - "sax-0.3.5" = { - name = "sax"; - packageName = "sax"; - version = "0.3.5"; - src = fetchurl { - url = "https://registry.npmjs.org/sax/-/sax-0.3.5.tgz"; - sha1 = "88fcfc1f73c0c8bbd5b7c776b6d3f3501eed073d"; - }; - }; "sax-1.1.4" = { name = "sax"; packageName = "sax"; @@ -29893,6 +30497,15 @@ let sha1 = "a346bb1acd4207ae70bd7c0c7ca9e566b6baddb8"; }; }; + "scrollparent-2.0.1" = { + name = "scrollparent"; + packageName = "scrollparent"; + version = "2.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/scrollparent/-/scrollparent-2.0.1.tgz"; + sha1 = "715d5b9cc57760fb22bdccc3befb5bfe06b1a317"; + }; + }; "scuid-1.1.0" = { name = "scuid"; packageName = "scuid"; @@ -30046,6 +30659,24 @@ let sha512 = "RS9R6R35NYgQn++fkDWaOmqGoj4Ek9gGs+DPxNUZKuwE183xjJroKvyo1IzVFeXvUrvmALy6FWD5xrdJT25gMg=="; }; }; + "semver-5.7.0" = { + name = "semver"; + packageName = "semver"; + version = "5.7.0"; + src = fetchurl { + url = "https://registry.npmjs.org/semver/-/semver-5.7.0.tgz"; + sha512 = "Ya52jSX2u7QKghxeoFGpLwCtGlt7j0oY9DYb5apt9nPlJ42ID+ulTXESnt/qAQcoSERyZ5sl3LDIOw0nAn/5DA=="; + }; + }; + "semver-6.0.0" = { + name = "semver"; + packageName = "semver"; + version = "6.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/semver/-/semver-6.0.0.tgz"; + sha512 = "0UewU+9rFapKFnlbirLi3byoOuhrSsli/z/ihNnvM24vgF+8sNBiI1LZPBSH9wJKUwaUbw+s3hToDLCXkrghrQ=="; + }; + }; "semver-compare-1.0.0" = { name = "semver-compare"; packageName = "semver-compare"; @@ -30199,13 +30830,13 @@ let sha1 = "90cff19d02e07027fd767f5ead3e7b95d1e7380c"; }; }; - "serialize-javascript-1.6.1" = { + "serialize-javascript-1.7.0" = { name = "serialize-javascript"; packageName = "serialize-javascript"; - version = "1.6.1"; + version = "1.7.0"; src = fetchurl { - url = "https://registry.npmjs.org/serialize-javascript/-/serialize-javascript-1.6.1.tgz"; - sha512 = "A5MOagrPFga4YaKQSWHryl7AXvbQkEqpw4NNYMTNYUNV51bA8ABHgYFpqKx+YFFrw59xMV1qGH1R4AgoNIVgCw=="; + url = "https://registry.npmjs.org/serialize-javascript/-/serialize-javascript-1.7.0.tgz"; + sha512 = "ke8UG8ulpFOxO8f8gRYabHQe/ZntKlcig2Mp+8+URDP1D8vJZ0KUt7LYo07q25Z/+JVSgpr/cui9PIp5H6/+nA=="; }; }; "serialize-to-js-1.2.2" = { @@ -30244,13 +30875,13 @@ let sha1 = "935d240cdfe0f5805307fdfe967d88942a2cbcf0"; }; }; - "serve-handler-5.0.8" = { + "serve-handler-6.0.0" = { name = "serve-handler"; packageName = "serve-handler"; - version = "5.0.8"; + version = "6.0.0"; src = fetchurl { - url = "https://registry.npmjs.org/serve-handler/-/serve-handler-5.0.8.tgz"; - sha512 = "pqk0SChbBLLHfMIxQ55czjdiW7tj2cFy53svvP8e5VqEN/uB/QpfiTJ8k1uIYeFTDVoi+FGi5aqXScuu88bymg=="; + url = "https://registry.npmjs.org/serve-handler/-/serve-handler-6.0.0.tgz"; + sha512 = "2/e0+N1abV1HAN+YN8uCOPi1B0bIYaR6kRcSfzezRwszak5Yzr6QhT34XJk2Bw89rhXenqwLNJb4NnF2/krnGQ=="; }; }; "serve-index-1.7.3" = { @@ -30370,6 +31001,15 @@ let sha512 = "JvdAWfbXeIGaZ9cILp38HntZSFSo3mWg6xGcJJsd+d4aRMOqauag1C63dJfDw7OaMYwEbHMOxEZ1lqVRYP2OAw=="; }; }; + "seventh-0.7.21" = { + name = "seventh"; + packageName = "seventh"; + version = "0.7.21"; + src = fetchurl { + url = "https://registry.npmjs.org/seventh/-/seventh-0.7.21.tgz"; + sha512 = "n1ySqS/XM4AUWelvt0HWvYw1YSDaJNTtyJ9LnRQJDpCR4RTBblWSnszEhxIL1DPeQ6iXa9PMKdnqtL44gnCbyg=="; + }; + }; "sha.js-2.4.11" = { name = "sha.js"; packageName = "sha.js"; @@ -30406,6 +31046,15 @@ let sha1 = "415f42702d73d810330292cc5ee86eae1a11a170"; }; }; + "sharp-0.20.8" = { + name = "sharp"; + packageName = "sharp"; + version = "0.20.8"; + src = fetchurl { + url = "https://registry.npmjs.org/sharp/-/sharp-0.20.8.tgz"; + sha512 = "A8NaPGWRDKpmHTi8sl2xzozYXhTQWBb/GaJ8ZPU7L/vKW8wVvd4Yq+isJ0c7p9sX5gnjPQcM3eOfHuvvnZ2fOQ=="; + }; + }; "shasum-1.0.2" = { name = "shasum"; packageName = "shasum"; @@ -30451,15 +31100,6 @@ let sha1 = "3596e6307a781544f591f37da618360f31db57b1"; }; }; - "shelljs-0.5.3" = { - name = "shelljs"; - packageName = "shelljs"; - version = "0.5.3"; - src = fetchurl { - url = "https://registry.npmjs.org/shelljs/-/shelljs-0.5.3.tgz"; - sha1 = "c54982b996c76ef0c1e6b59fbdc5825f5b713113"; - }; - }; "shelljs-0.7.7" = { name = "shelljs"; packageName = "shelljs"; @@ -30595,13 +31235,22 @@ let sha512 = "Wvre/Jq5vgoz31Z9stYWPLn0PqRqmBDpFSdypAnHu5AvRVCYPRYGnvryNLiXu8GOBNDH82J2FRHUGMjjHUpXFw=="; }; }; - "simple-git-1.107.0" = { + "simple-git-1.110.0" = { name = "simple-git"; packageName = "simple-git"; - version = "1.107.0"; + version = "1.110.0"; src = fetchurl { - url = "https://registry.npmjs.org/simple-git/-/simple-git-1.107.0.tgz"; - sha512 = "t4OK1JRlp4ayKRfcW6owrWcRVLyHRUlhGd0uN6ZZTqfDq8a5XpcUdOKiGRNobHEuMtNqzp0vcJNvhYWwh5PsQA=="; + url = "https://registry.npmjs.org/simple-git/-/simple-git-1.110.0.tgz"; + sha512 = "UYY0rQkknk0P5eb+KW+03F4TevZ9ou0H+LoGaj7iiVgpnZH4wdj/HTViy/1tNNkmIPcmtxuBqXWiYt2YwlRKOQ=="; + }; + }; + "simple-markdown-0.4.4" = { + name = "simple-markdown"; + packageName = "simple-markdown"; + version = "0.4.4"; + src = fetchurl { + url = "https://registry.npmjs.org/simple-markdown/-/simple-markdown-0.4.4.tgz"; + sha512 = "ZmlNUGR1KI12sPHeQ7dQY1qM5KfOgFqClNNVO8zQ9Pg6u7gHLCPFGD+VC7MCwpGDMd1uw3Bb2TfFfR8d6bB34A=="; }; }; "simple-peer-6.4.4" = { @@ -30613,22 +31262,13 @@ let sha1 = "4e421f485ac7b13b08077a4476934d52c5ba3bb3"; }; }; - "simple-peer-9.2.1" = { + "simple-peer-9.3.0" = { name = "simple-peer"; packageName = "simple-peer"; - version = "9.2.1"; + version = "9.3.0"; src = fetchurl { - url = "https://registry.npmjs.org/simple-peer/-/simple-peer-9.2.1.tgz"; - sha512 = "NDAQefJCcmpni/csZgBEBDyDglTMBJOoZSl3pUQTWud+jqy02CX8LMz8Ys9qVLmm1D4IW/NP24pM9vKK0MRgXQ=="; - }; - }; - "simple-plist-0.2.1" = { - name = "simple-plist"; - packageName = "simple-plist"; - version = "0.2.1"; - src = fetchurl { - url = "https://registry.npmjs.org/simple-plist/-/simple-plist-0.2.1.tgz"; - sha1 = "71766db352326928cf3a807242ba762322636723"; + url = "https://registry.npmjs.org/simple-peer/-/simple-peer-9.3.0.tgz"; + sha512 = "5dLDfrRomrS2LuZUuH2aO7yTGtHFEl5Eb+8ZzqM0KC0lHcYUyJudUomP9ZY/lPUKBx2broL/Eee9bQ53yycEgQ=="; }; }; "simple-sha1-2.1.2" = { @@ -30793,15 +31433,6 @@ let sha1 = "56eb027d65b4d2dce6cb2e2d32c4d4afc9e1d707"; }; }; - "smart-buffer-1.1.15" = { - name = "smart-buffer"; - packageName = "smart-buffer"; - version = "1.1.15"; - src = fetchurl { - url = "https://registry.npmjs.org/smart-buffer/-/smart-buffer-1.1.15.tgz"; - sha1 = "7f114b5b65fab3e2a35aa775bb12f0d1c649bf16"; - }; - }; "smart-buffer-4.0.2" = { name = "smart-buffer"; packageName = "smart-buffer"; @@ -30892,6 +31523,15 @@ let sha1 = "0caf52c79189a290746fc446cc5e863f6bdddfe3"; }; }; + "snekfetch-3.6.4" = { + name = "snekfetch"; + packageName = "snekfetch"; + version = "3.6.4"; + src = fetchurl { + url = "https://registry.npmjs.org/snekfetch/-/snekfetch-3.6.4.tgz"; + sha512 = "NjxjITIj04Ffqid5lqr7XdgwM7X61c/Dns073Ly170bPQHLm6jkmelye/eglS++1nfTWktpP6Y2bFXjdPlQqdw=="; + }; + }; "sntp-1.0.9" = { name = "sntp"; packageName = "sntp"; @@ -30910,31 +31550,40 @@ let sha512 = "eCsFKHHE4J2DpD/1NzAtCmkmVDK310OXRtmoW0RlLnld1ESprJ5A/QRJ5Zxx1JbA8gjuwERY5vfUFA8lEJeopA=="; }; }; - "snyk-docker-plugin-1.22.0" = { + "snyk-docker-plugin-1.22.1" = { name = "snyk-docker-plugin"; packageName = "snyk-docker-plugin"; - version = "1.22.0"; + version = "1.22.1"; + src = fetchurl { + url = "https://registry.npmjs.org/snyk-docker-plugin/-/snyk-docker-plugin-1.22.1.tgz"; + sha512 = "4Qj+Fn9uCD7ydl60soVFfDG27ghZ6sCIiVPs5Wr62zgzbpnKCNF2MzXtxmsbZA1QRLKH2YxbJTog1Rvu013akA=="; + }; + }; + "snyk-go-parser-1.0.2" = { + name = "snyk-go-parser"; + packageName = "snyk-go-parser"; + version = "1.0.2"; src = fetchurl { - url = "https://registry.npmjs.org/snyk-docker-plugin/-/snyk-docker-plugin-1.22.0.tgz"; - sha512 = "bykxNtfeWQNFjF6gv8u8w+TOa4fdr+teLm+DkvYlWkdlvaw5m4yywRI5USve4X6S9p4G+Fw4/wfjXx7LgCcxrQ=="; + url = "https://registry.npmjs.org/snyk-go-parser/-/snyk-go-parser-1.0.2.tgz"; + sha512 = "vQfrPecK3j5JYwEI5lO0Gsy+QvFN2dHusGecmiXYpQPiyn1QLnYFTBxFIu94buxlxdKtujYkR/lA4dB82LJ8Lw=="; }; }; - "snyk-go-plugin-1.6.1" = { + "snyk-go-plugin-1.7.0" = { name = "snyk-go-plugin"; packageName = "snyk-go-plugin"; - version = "1.6.1"; + version = "1.7.0"; src = fetchurl { - url = "https://registry.npmjs.org/snyk-go-plugin/-/snyk-go-plugin-1.6.1.tgz"; - sha512 = "hFOMyznfcMzF1HaZP18VmjQSqK/jBOowh0lpJY4UqmaQSZyJury3Ax+44O9oVUJi8lb8A4g7RVbxhlWl6bIqlA=="; + url = "https://registry.npmjs.org/snyk-go-plugin/-/snyk-go-plugin-1.7.0.tgz"; + sha512 = "4gGWFiilx3BINIqVau/GGhyOin5Vf0qzL5VYKV3FeZdJ22RBxqO3+NjRwsOzDJdIEgixp+NGV2hnuSKQCGsr9A=="; }; }; - "snyk-gradle-plugin-2.3.0" = { + "snyk-gradle-plugin-2.7.1" = { name = "snyk-gradle-plugin"; packageName = "snyk-gradle-plugin"; - version = "2.3.0"; + version = "2.7.1"; src = fetchurl { - url = "https://registry.npmjs.org/snyk-gradle-plugin/-/snyk-gradle-plugin-2.3.0.tgz"; - sha512 = "XnADEkQ4YXxFrKnd+F2+ixmvhgDG4yyBpYE1RMx3i/2y9auXkt/E+pWTmU4xnofFEtuAnZiYcfvOE6dIGk06KA=="; + url = "https://registry.npmjs.org/snyk-gradle-plugin/-/snyk-gradle-plugin-2.7.1.tgz"; + sha512 = "Is/I1r4Hy24rFhEZejcBvXyF6pfNtIpfr7jGcPaP4Riqe4yE6TNYnEFNcc02UUcAvI6S/EyOSH6XyJLFSnAugg=="; }; }; "snyk-module-1.9.1" = { @@ -30955,22 +31604,22 @@ let sha512 = "TBrdcFXHdYuRYFCvpyUeFC+mCi6SOV3vdxgHrP7JRNnJwO8PYaKCObLJyhpRWa8IaHv/8CjJTmnEbWIh7BPHAA=="; }; }; - "snyk-nodejs-lockfile-parser-1.11.0" = { + "snyk-nodejs-lockfile-parser-1.13.0" = { name = "snyk-nodejs-lockfile-parser"; packageName = "snyk-nodejs-lockfile-parser"; - version = "1.11.0"; + version = "1.13.0"; src = fetchurl { - url = "https://registry.npmjs.org/snyk-nodejs-lockfile-parser/-/snyk-nodejs-lockfile-parser-1.11.0.tgz"; - sha512 = "eTdq5VcaHJwGoApejebTChi5hRcIDdNbO6lMwncS0zz9ZxXskoQ0C+VMdep8ELmJa0Gcz6es1sSkABPZs7frrg=="; + url = "https://registry.npmjs.org/snyk-nodejs-lockfile-parser/-/snyk-nodejs-lockfile-parser-1.13.0.tgz"; + sha512 = "fC1o9SJ+iM+IYeBUYtvCIYh005WAvWMzqhEH3hI4zGPdCYQqGYIfVpXf29aCOKoorkTR345k5g6Etx54+BbrTQ=="; }; }; - "snyk-nuget-plugin-1.7.2" = { + "snyk-nuget-plugin-1.9.2" = { name = "snyk-nuget-plugin"; packageName = "snyk-nuget-plugin"; - version = "1.7.2"; + version = "1.9.2"; src = fetchurl { - url = "https://registry.npmjs.org/snyk-nuget-plugin/-/snyk-nuget-plugin-1.7.2.tgz"; - sha512 = "zmYD9veH7OeIqGnZHiGv8c8mKtmYrxo2o7P4lNUkpHdCMMsar7moRJxGgO9WlcIrwAGjIhMdP9fUvJ+jVDEteQ=="; + url = "https://registry.npmjs.org/snyk-nuget-plugin/-/snyk-nuget-plugin-1.9.2.tgz"; + sha512 = "62muXgrn3oSSMsWT7foBQDqKBFgbXc4XIrZDmzFE0lyuchDYGLM14BO5erAMUbbc/xffXyQaAHi8d1R8LGvf5Q=="; }; }; "snyk-paket-parser-1.4.3" = { @@ -30991,22 +31640,22 @@ let sha512 = "s/s9s7mslHjLnzin2BNLGdy/s6tNBfJ4/T/d9JBjsjIwdJFaUKY/ciWwBLNaWt2Aqtyr3DiUcqg3j/pwTKhEDg=="; }; }; - "snyk-policy-1.13.3" = { + "snyk-policy-1.13.5" = { name = "snyk-policy"; packageName = "snyk-policy"; - version = "1.13.3"; + version = "1.13.5"; src = fetchurl { - url = "https://registry.npmjs.org/snyk-policy/-/snyk-policy-1.13.3.tgz"; - sha512 = "6J2a+Wt9zgvTtCwi4x8rLtkDQzFNPqubfIgs3aR35ZsEXPwI4XHGo0cxnJPDriqncp2JK72vnRpNfIZ7v0L1Mw=="; + url = "https://registry.npmjs.org/snyk-policy/-/snyk-policy-1.13.5.tgz"; + sha512 = "KI6GHt+Oj4fYKiCp7duhseUj5YhyL/zJOrrJg0u6r59Ux9w8gmkUYT92FHW27ihwuT6IPzdGNEuy06Yv2C9WaQ=="; }; }; - "snyk-python-plugin-1.9.1" = { + "snyk-python-plugin-1.9.2" = { name = "snyk-python-plugin"; packageName = "snyk-python-plugin"; - version = "1.9.1"; + version = "1.9.2"; src = fetchurl { - url = "https://registry.npmjs.org/snyk-python-plugin/-/snyk-python-plugin-1.9.1.tgz"; - sha512 = "4R040DBK77NSfSy3rCndmrv85YlLrKZU1ct59oZSoGb1PYdCi8kXRuq50UpSgasp6YR0yJxT22T38hNOAjTtVw=="; + url = "https://registry.npmjs.org/snyk-python-plugin/-/snyk-python-plugin-1.9.2.tgz"; + sha512 = "Gx5UnADHCxdSfblrbhZV/tbTYTfdkLxS2/TV1KSHiIIlsaAkpuznDKg/6/kT1Qe/AKKlD1h4HqR/fhrNZWrxQw=="; }; }; "snyk-resolve-1.0.1" = { @@ -31198,15 +31847,6 @@ let sha512 = "hczmV6bDgdaEbVqhAeVMM/jfUfzuEZHsQg6eOmLgJht6G3mPKMxYm75w2+qhAQZ+4X+1+ATZ+QFKeOZD5riHng=="; }; }; - "socks-1.1.10" = { - name = "socks"; - packageName = "socks"; - version = "1.1.10"; - src = fetchurl { - url = "https://registry.npmjs.org/socks/-/socks-1.1.10.tgz"; - sha1 = "5b8b7fc7c8f341c53ed056e929b7bf4de8ba7b5a"; - }; - }; "socks-2.3.2" = { name = "socks"; packageName = "socks"; @@ -31216,15 +31856,6 @@ let sha512 = "pCpjxQgOByDHLlNqlnh/mNSAxIUkyBBuwwhTcV+enZGbDaClPvHdvm6uvOwZfFJkam7cGhBNbb4JxiP8UZkRvQ=="; }; }; - "socks-proxy-agent-3.0.1" = { - name = "socks-proxy-agent"; - packageName = "socks-proxy-agent"; - version = "3.0.1"; - src = fetchurl { - url = "https://registry.npmjs.org/socks-proxy-agent/-/socks-proxy-agent-3.0.1.tgz"; - sha512 = "ZwEDymm204mTzvdqyUqOdovVr2YRd2NYskrYrF2LXyZ9qDiMAoFESGK8CRphiO7rtbo2Y757k2Nia3x2hGtalA=="; - }; - }; "socks-proxy-agent-4.0.2" = { name = "socks-proxy-agent"; packageName = "socks-proxy-agent"; @@ -31261,22 +31892,22 @@ let sha512 = "FbZ/X/2Xq3DAMhuRA4bnN0jy1QxaPTVPLFvyv6CEj0QDKSTdWp9yRxo1JhqXmWKhPQeJyUMajHJB2UjT43pFcw=="; }; }; - "sodium-browserify-1.2.5" = { + "sodium-browserify-1.2.7" = { name = "sodium-browserify"; packageName = "sodium-browserify"; - version = "1.2.5"; + version = "1.2.7"; src = fetchurl { - url = "https://registry.npmjs.org/sodium-browserify/-/sodium-browserify-1.2.5.tgz"; - sha512 = "X3+Z4uYPGceVjRz6qLY/4clVU/gYo1KC9q4L4FSjqFfomzsndMutNAgbVoJa0nsilQw6I/Y7jDQ7SCbUdaOiKw=="; + url = "https://registry.npmjs.org/sodium-browserify/-/sodium-browserify-1.2.7.tgz"; + sha512 = "PGhNO8KravjroRDYvurMPRYi4xMM7zjTW10R77Nq9sjkU314YA2sPeXS6UA5k/rLHaXLaZ6YfLLVz+DdCU5w0g=="; }; }; - "sodium-browserify-tweetnacl-0.2.5" = { + "sodium-browserify-tweetnacl-0.2.6" = { name = "sodium-browserify-tweetnacl"; packageName = "sodium-browserify-tweetnacl"; - version = "0.2.5"; + version = "0.2.6"; src = fetchurl { - url = "https://registry.npmjs.org/sodium-browserify-tweetnacl/-/sodium-browserify-tweetnacl-0.2.5.tgz"; - sha512 = "Q6YgyMyEB7dFLTt3vB8/YSjmSQrpQ/J4VEZfivOxRXFRs8BLjn39jA2X7sJO1Co4+Ma5kD+DSZm8x7Tum7ajQw=="; + url = "https://registry.npmjs.org/sodium-browserify-tweetnacl/-/sodium-browserify-tweetnacl-0.2.6.tgz"; + sha512 = "ZnEI26hdluilpYY28Xc4rc1ALfmEp2TWihkJX6Mdtw0z9RfHfpZJU7P8DoKbN1HcBdU9aJmguFZs7igE8nLJPg=="; }; }; "sodium-chloride-1.1.2" = { @@ -31495,13 +32126,13 @@ let sha512 = "YfQ3tQFTK/yzlGJuX8pTwa4tifQj4QS2Mj7UegOu8jAz59MqIiMGPXxQhVQiIMNzayuUSF/jEuVnfFF5JqybmQ=="; }; }; - "source-map-support-0.5.11" = { + "source-map-support-0.5.12" = { name = "source-map-support"; packageName = "source-map-support"; - version = "0.5.11"; + version = "0.5.12"; src = fetchurl { - url = "https://registry.npmjs.org/source-map-support/-/source-map-support-0.5.11.tgz"; - sha512 = "//sajEx/fGL3iw6fltKMdPvy8kL3kJ2O3iuYlRoT3k9Kb4BjOoZ+BZzaNHeuaruSt+Kf3Zk9tnfAQg9/AJqUVQ=="; + url = "https://registry.npmjs.org/source-map-support/-/source-map-support-0.5.12.tgz"; + sha512 = "4h2Pbvyy15EE02G+JOZpUCmqWJuqrs+sEkzewTm++BPi7Hvn/HwcqLAcNxYAyI0x13CpPPn+kMjl+hplXMHITQ=="; }; }; "source-map-support-0.5.3" = { @@ -31603,13 +32234,13 @@ let sha512 = "Yg6D3XpRD4kkOmTpdgbUiEJFKghJH03fiC1OPll5h/0sO6neh2jqRDVHOQ4o/LMea0tgCkbMgea5ip/e+MkWyg=="; }; }; - "spdx-license-ids-3.0.3" = { + "spdx-license-ids-3.0.4" = { name = "spdx-license-ids"; packageName = "spdx-license-ids"; - version = "3.0.3"; + version = "3.0.4"; src = fetchurl { - url = "https://registry.npmjs.org/spdx-license-ids/-/spdx-license-ids-3.0.3.tgz"; - sha512 = "uBIcIl3Ih6Phe3XHK1NqboJLdGfwr1UN3k6wSD1dZpmPsIkb8AGNbZYJ1fOBk834+Gxy8rpfDxrS6XLEMZMY2g=="; + url = "https://registry.npmjs.org/spdx-license-ids/-/spdx-license-ids-3.0.4.tgz"; + sha512 = "7j8LYJLeY/Yb6ACbQ7F76qy5jHkp0U6jgBfJsk97bwWlVUnUWsAgpyaCvo17h0/RQGnQ036tVDomiwoI4pDkQA=="; }; }; "spdy-1.32.5" = { @@ -31666,6 +32297,24 @@ let sha1 = "b7e8e0ab51345158b72c1f6dbef2406d51f1d027"; }; }; + "split-skip-0.0.1" = { + name = "split-skip"; + packageName = "split-skip"; + version = "0.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/split-skip/-/split-skip-0.0.1.tgz"; + sha1 = "80ad8e36e98e576454cc3b667c1dd25d865e8f00"; + }; + }; + "split-skip-0.0.2" = { + name = "split-skip"; + packageName = "split-skip"; + version = "0.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/split-skip/-/split-skip-0.0.2.tgz"; + sha1 = "d89d88bbd2f73e46b5158aa370a561224e80d461"; + }; + }; "split-string-3.1.0" = { name = "split-string"; packageName = "split-string"; @@ -31711,6 +32360,24 @@ let sha1 = "cffcaf702daf65ea39bb4e0fa2b299cec1a1be46"; }; }; + "sprintf-js-1.1.2" = { + name = "sprintf-js"; + packageName = "sprintf-js"; + version = "1.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/sprintf-js/-/sprintf-js-1.1.2.tgz"; + sha512 = "VE0SOVEHCk7Qc8ulkWw3ntAzXuqf7S2lvwQaDLRnUeIEaKNQJzV6BwmLKhOqT61aGhfUMrXeaBk+oDGCzvhcug=="; + }; + }; + "sqlite3-4.0.6" = { + name = "sqlite3"; + packageName = "sqlite3"; + version = "4.0.6"; + src = fetchurl { + url = "https://registry.npmjs.org/sqlite3/-/sqlite3-4.0.6.tgz"; + sha512 = "EqBXxHdKiwvNMRCgml86VTL5TK1i0IKiumnfxykX0gh6H6jaKijAXvE9O1N7+omfNSawR2fOmIyJZcfe8HYWpw=="; + }; + }; "srt2vtt-1.3.1" = { name = "srt2vtt"; packageName = "srt2vtt"; @@ -31729,22 +32396,22 @@ let sha1 = "06cd70795ee58d1462d100a45c660df3179d3b39"; }; }; - "ssb-blobs-1.1.13" = { + "ssb-blobs-1.1.15" = { name = "ssb-blobs"; packageName = "ssb-blobs"; - version = "1.1.13"; + version = "1.1.15"; src = fetchurl { - url = "https://registry.npmjs.org/ssb-blobs/-/ssb-blobs-1.1.13.tgz"; - sha512 = "vW/PuIBQMU06GFjbmUrszT14nZGXtuTT+wRuFnBde2Jg7KEhyWSAGx57YjqmZdJbHCd8N2/CQKAWre97PabvoQ=="; + url = "https://registry.npmjs.org/ssb-blobs/-/ssb-blobs-1.1.15.tgz"; + sha512 = "6T0jy3Im7sJg2BlX/OKJ4qCvtOMM9Xo3TMd3FrMuAtC+qMob/S6XT5001Its0vWc6/1Thhw4o31F7lT1s7QQKw=="; }; }; - "ssb-client-4.7.1" = { + "ssb-client-4.7.2" = { name = "ssb-client"; packageName = "ssb-client"; - version = "4.7.1"; + version = "4.7.2"; src = fetchurl { - url = "https://registry.npmjs.org/ssb-client/-/ssb-client-4.7.1.tgz"; - sha512 = "b6pCnYLPq1elhO+ZJLnnPXJECO8dYYpK2OGxCO8ZPGFIn1O1eiKvXoWy4lGBR06jmDY6JVu9ZgTWQ4vSOjPt9w=="; + url = "https://registry.npmjs.org/ssb-client/-/ssb-client-4.7.2.tgz"; + sha512 = "sZPs/i5Zm8f3faJDbYYpBlxocIYi8VR4KksQrHbncYCnCo1n4diBmLx76dNRC3p0rpyqgkX38gMcEVIYe4dYZw=="; }; }; "ssb-config-2.3.9" = { @@ -31765,13 +32432,13 @@ let sha512 = "/4nFP7yj1JD5jrwX9bHG2nipBefl++xXXbNWD14eL+Ohs3X8kdmJeBKnHgiIF7Je4HQOI31OmEIdyyLKum5niQ=="; }; }; - "ssb-ebt-5.5.3" = { + "ssb-ebt-5.6.3" = { name = "ssb-ebt"; packageName = "ssb-ebt"; - version = "5.5.3"; + version = "5.6.3"; src = fetchurl { - url = "https://registry.npmjs.org/ssb-ebt/-/ssb-ebt-5.5.3.tgz"; - sha512 = "eB41pjKGJspgHo9XioR6LVoxy60p4acyv1J/bRflncOd121Md29aPbTY3X4rAMKGlaXkrUPSD9C1jB+kXSjWVg=="; + url = "https://registry.npmjs.org/ssb-ebt/-/ssb-ebt-5.6.3.tgz"; + sha512 = "17olprA/zAm6yevoAgNjyl0JpUvZm7pDQm6m5A4zgqroMFG6v1WJkvzIhBMQZdgXkDShe8Ehwl4NBjNmH3lQVw=="; }; }; "ssb-friends-3.1.13" = { @@ -31918,13 +32585,13 @@ let sha512 = "Wbttwlr+wVqcoxGsn+WoiBbSI9UMqgL/DZU6Pjm/KQ61LO7jaxV4hGw3+H4uRBtgtOE4pidvHeCk7jUuoXWZfQ=="; }; }; - "ssh-config-1.1.5" = { + "ssh-config-1.1.6" = { name = "ssh-config"; packageName = "ssh-config"; - version = "1.1.5"; + version = "1.1.6"; src = fetchurl { - url = "https://registry.npmjs.org/ssh-config/-/ssh-config-1.1.5.tgz"; - sha512 = "j3Yo++WWfc+2eFrSFclQZ79RRyMF2BOkDLboaUxyysYNomrLjIoOWanFbulHH5fLErRuW8YrO31p7MKpI4XrZw=="; + url = "https://registry.npmjs.org/ssh-config/-/ssh-config-1.1.6.tgz"; + sha512 = "ZPO9rECxzs5JIQ6G/2EfL1I9ho/BVZkx9HRKn8+0af7QgwAmumQ7XBFP1ggMyPMo+/tUbmv0HFdv4qifdO/9JA=="; }; }; "sshpk-1.14.1" = { @@ -32332,13 +32999,13 @@ let sha512 = "UxSISjxmguvfYzZdq6d4XAjc3gAocqTIOS1CjgwkDkkGT/LMTsIYiV8agIw42IHFFHf8k4lPOoroCCf4W9oqzg=="; }; }; - "streamroller-1.0.3" = { + "streamroller-1.0.4" = { name = "streamroller"; packageName = "streamroller"; - version = "1.0.3"; + version = "1.0.4"; src = fetchurl { - url = "https://registry.npmjs.org/streamroller/-/streamroller-1.0.3.tgz"; - sha512 = "P7z9NwP51EltdZ81otaGAN3ob+/F88USJE546joNq7bqRNTe6jc74fTBDyynxP4qpIfKlt/CesEYicuMzI0yJg=="; + url = "https://registry.npmjs.org/streamroller/-/streamroller-1.0.4.tgz"; + sha512 = "Wc2Gm5ygjSX8ZpW9J7Y9FwiSzTlKSvcl0FTTMd3rn7RoxDXpBW+xD9TY5sWL2n0UR61COB0LG1BQvN6nTUQbLQ=="; }; }; "streamsearch-0.1.2" = { @@ -32395,6 +33062,15 @@ let sha1 = "5ea211cd92d228e184294990a6cc97b366a77cb0"; }; }; + "string-kit-0.9.3" = { + name = "string-kit"; + packageName = "string-kit"; + version = "0.9.3"; + src = fetchurl { + url = "https://registry.npmjs.org/string-kit/-/string-kit-0.9.3.tgz"; + sha512 = "FlUfMA5VPS6ujLjuD49ScLgywiMfDyrZ+bkBYbM0UEvB+eAqtFFXIANEaeV4XkLnr2w1k3ttYCfCXLiKlcJQfQ=="; + }; + }; "string-length-2.0.0" = { name = "string-length"; packageName = "string-length"; @@ -32404,6 +33080,15 @@ let sha1 = "d40dbb686a3ace960c1cffca562bf2c45f8363ed"; }; }; + "string-padding-1.0.2" = { + name = "string-padding"; + packageName = "string-padding"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/string-padding/-/string-padding-1.0.2.tgz"; + sha1 = "3aaad855b3e9735c5e412dfe72198ccf99c7f48e"; + }; + }; "string-similarity-2.0.0" = { name = "string-similarity"; packageName = "string-similarity"; @@ -32431,6 +33116,15 @@ let sha1 = "42932e598a352d01fc22ec3367d9d84eec6c9add"; }; }; + "string-to-stream-1.1.1" = { + name = "string-to-stream"; + packageName = "string-to-stream"; + version = "1.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/string-to-stream/-/string-to-stream-1.1.1.tgz"; + sha512 = "QySF2+3Rwq0SdO3s7BAp4x+c3qsClpPQ6abAmb0DGViiSBAkT5kL6JT2iyzEVP+T1SmzHrQD1TwlP9QAHCc+Sw=="; + }; + }; "string-width-1.0.2" = { name = "string-width"; packageName = "string-width"; @@ -32458,15 +33152,6 @@ let sha512 = "vafcv6KjVZKSgz06oM/H6GDBrAtz8vdhQakGjFIvNrHA6y3HCF1CInLy+QLq8dTJPQ1b+KDUqDFctkdRW44e1w=="; }; }; - "string.prototype.codepointat-0.2.1" = { - name = "string.prototype.codepointat"; - packageName = "string.prototype.codepointat"; - version = "0.2.1"; - src = fetchurl { - url = "https://registry.npmjs.org/string.prototype.codepointat/-/string.prototype.codepointat-0.2.1.tgz"; - sha512 = "2cBVCj6I4IOvEnjgO/hWqXjqBGsY+zwPmHl12Srk9IXSZ56Jwwmy+66XO5Iut/oQVR7t5ihYdLB0GMa4alEUcg=="; - }; - }; "string.prototype.padstart-3.0.0" = { name = "string.prototype.padstart"; packageName = "string.prototype.padstart"; @@ -32503,15 +33188,6 @@ let sha1 = "62e203bc41766c6c28c9fc84301dab1c5310fa94"; }; }; - "string_decoder-1.0.3" = { - name = "string_decoder"; - packageName = "string_decoder"; - version = "1.0.3"; - src = fetchurl { - url = "https://registry.npmjs.org/string_decoder/-/string_decoder-1.0.3.tgz"; - sha512 = "4AH6Z5fzNNBcH+6XDMfA/BTt87skxqJlO0lAh3Dker5zThcAxG6mKz+iGu308UKoPPQ8Dcqx/4JhujzltRa+hQ=="; - }; - }; "string_decoder-1.1.1" = { name = "string_decoder"; packageName = "string_decoder"; @@ -32539,6 +33215,15 @@ let sha512 = "nrBAQClJAPN2p+uGCVJRPIPakKeKWZ9GtBCmormE7pWOSlHat7+x5A8gx85M7HM5Dt0BP3pP5RhVW77WdbJJ3A=="; }; }; + "stringify-parameters-0.0.4" = { + name = "stringify-parameters"; + packageName = "stringify-parameters"; + version = "0.0.4"; + src = fetchurl { + url = "https://registry.npmjs.org/stringify-parameters/-/stringify-parameters-0.0.4.tgz"; + sha512 = "H3L90ERn5UPtkpO8eugnKcLgpIVlvTyUTrcLGm607AV5JDH6z0GymtNLr3gjGlP6I6NB/mxNX9QpY6jEQGLPdQ=="; + }; + }; "stringstream-0.0.6" = { name = "stringstream"; packageName = "stringstream"; @@ -32845,15 +33530,6 @@ let sha512 = "GLQtLMCoEIK4eDv6OGtkOoSMt3D+oq0y3dsxMuYuDvaNUvuT8eFBuLmfR0iYYzHC1e8hpzC6ZsxbuP6DIalMFA=="; }; }; - "superagent-4.0.0" = { - name = "superagent"; - packageName = "superagent"; - version = "4.0.0"; - src = fetchurl { - url = "https://registry.npmjs.org/superagent/-/superagent-4.0.0.tgz"; - sha512 = "qaGDf+QUYxgMYdJBWCezHnc3UjrCUwxm5bCfxBhTXI5BbCluVzmVNYzxvCw1jP9PXmwUZeOW2yPpGm9fLbhtFg=="; - }; - }; "superagent-4.1.0" = { name = "superagent"; packageName = "superagent"; @@ -32971,13 +33647,13 @@ let sha1 = "3cf87dfeb4d07b4a3f14827bc186b3fd0c645cd8"; }; }; - "svgo-1.2.0" = { + "svgo-1.2.2" = { name = "svgo"; packageName = "svgo"; - version = "1.2.0"; + version = "1.2.2"; src = fetchurl { - url = "https://registry.npmjs.org/svgo/-/svgo-1.2.0.tgz"; - sha512 = "xBfxJxfk4UeVN8asec9jNxHiv3UAMv/ujwBWGYvQhhMb2u3YTGKkiybPcLFDLq7GLLWE9wa73e0/m8L5nTzQbw=="; + url = "https://registry.npmjs.org/svgo/-/svgo-1.2.2.tgz"; + sha512 = "rAfulcwp2D9jjdGu+0CuqlrAUin6bBWrpoqXWwKDZZZJfXcUXQSxLJOFJCQCSA0x0pP2U0TxSlJu2ROq5Bq6qA=="; }; }; "swagger-converter-0.1.7" = { @@ -33079,6 +33755,15 @@ let sha512 = "YPPlu67mdnHGTup2A8ff7BC2Pjq0e0Yp/IyTFN03zWO0RcK07uLcbi7C2KpGR2FvWbaB0+bfE27a+sBKebSo7w=="; }; }; + "syswide-cas-5.3.0" = { + name = "syswide-cas"; + packageName = "syswide-cas"; + version = "5.3.0"; + src = fetchurl { + url = "https://registry.npmjs.org/syswide-cas/-/syswide-cas-5.3.0.tgz"; + sha512 = "+RLgS6VInsX8rBpL+gy5qpa7phngecbK7NABelBZpqYpBTwOIK1y7CqHlXK5Vy/rA4erD9q/FyKzMjx2uX3zYg=="; + }; + }; "table-3.8.3" = { name = "table"; packageName = "table"; @@ -33097,6 +33782,15 @@ let sha512 = "N2RsDAMvDLvYwFcwbPyF3VmVSSkuF+G1e+8inhBLtHpvwXGw4QRPEZhihQNeEN0i1up6/f6ObCJXNdlRG3YVyQ=="; }; }; + "table-layout-0.4.4" = { + name = "table-layout"; + packageName = "table-layout"; + version = "0.4.4"; + src = fetchurl { + url = "https://registry.npmjs.org/table-layout/-/table-layout-0.4.4.tgz"; + sha512 = "uNaR3SRMJwfdp9OUr36eyEi6LLsbcTqTO/hfTsNviKsNeyMBPICJCC7QXRF3+07bAP6FRwA8rczJPBqXDc0CkQ=="; + }; + }; "tabtab-1.3.2" = { name = "tabtab"; packageName = "tabtab"; @@ -33161,13 +33855,13 @@ let sha512 = "2wsvQ+4GwBvLPLWsNfLCDYGsW6xb7aeC6utq2Qh0PFwgEy7K7dsma9Jsmb2zSQj7GvYAyUGSntLtsv++GmgL1A=="; }; }; - "tapable-1.1.1" = { + "tapable-1.1.3" = { name = "tapable"; packageName = "tapable"; - version = "1.1.1"; + version = "1.1.3"; src = fetchurl { - url = "https://registry.npmjs.org/tapable/-/tapable-1.1.1.tgz"; - sha512 = "9I2ydhj8Z9veORCw5PRm4u9uebCn0mcCa6scWoNcbZ6dAtoo2618u9UUzxgmsCOreJpqDDuv61LvwofW7hLcBA=="; + url = "https://registry.npmjs.org/tapable/-/tapable-1.1.3.tgz"; + sha512 = "4WK/bYZmj8xLr+HUCODHGF1ZFzsYffasLUgEiMBY4fgtltdO6B4WJtlSbPaDTLpYTcGVwM2qLnFTICEcNxs3kA=="; }; }; "tape-2.3.3" = { @@ -33269,6 +33963,33 @@ let sha512 = "G3I7QWUBSNWaekrJcDabydF6dcvy+vZ2PrX04JYq1p914TOLgpN+ryMtheGavs1LYVevTbTmwjQY8aeX8yLsyA=="; }; }; + "tcp-port-used-0.1.2" = { + name = "tcp-port-used"; + packageName = "tcp-port-used"; + version = "0.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/tcp-port-used/-/tcp-port-used-0.1.2.tgz"; + sha1 = "9450e8768c83b416fd4d1a6a9449eeccbf496c29"; + }; + }; + "telegraf-3.29.0" = { + name = "telegraf"; + packageName = "telegraf"; + version = "3.29.0"; + src = fetchurl { + url = "https://registry.npmjs.org/telegraf/-/telegraf-3.29.0.tgz"; + sha512 = "zvlY2Wnls7D/sJDTD21tORR9S1Exe6NThUCvYhdhCoKx7+OOZlplSd4yhSZbRI4bViuFdyhxCE+IuWS/ZmAElg=="; + }; + }; + "telegram-typings-3.6.1" = { + name = "telegram-typings"; + packageName = "telegram-typings"; + version = "3.6.1"; + src = fetchurl { + url = "https://registry.npmjs.org/telegram-typings/-/telegram-typings-3.6.1.tgz"; + sha512 = "njVv1EAhIZnmQVLocZEADYUyqA1WIXuVcDYlsp+mXua/XB0pxx+PKtMSPeZ/EE4wPWTw9h/hA9ASTT6yQelkiw=="; + }; + }; "temp-0.6.0" = { name = "temp"; packageName = "temp"; @@ -33287,6 +34008,15 @@ let sha1 = "e0c6bc4d26b903124410e4fed81103014dfc1f59"; }; }; + "temp-0.9.0" = { + name = "temp"; + packageName = "temp"; + version = "0.9.0"; + src = fetchurl { + url = "https://registry.npmjs.org/temp/-/temp-0.9.0.tgz"; + sha512 = "YfUhPQCJoNQE5N+FJQcdPz63O3x3sdT4Xju69Gj4iZe0lBKOtnAMi0SLj9xKhGkcGhsxThvTJ/usxtFPo438zQ=="; + }; + }; "temp-dir-1.0.0" = { name = "temp-dir"; packageName = "temp-dir"; @@ -33323,6 +34053,15 @@ let sha1 = "458b83887f288fc56d6fffbfad262e26638efa69"; }; }; + "terminal-kit-1.28.0" = { + name = "terminal-kit"; + packageName = "terminal-kit"; + version = "1.28.0"; + src = fetchurl { + url = "https://registry.npmjs.org/terminal-kit/-/terminal-kit-1.28.0.tgz"; + sha512 = "nBXgQzGfQSaTZ55vma5WlmhpO7lDvFrjP2JiaX2MtzwxAB3Y5fm9GLqEeXwJo+n+M+7BG8zRthe3d88ET23iZA=="; + }; + }; "terser-3.17.0" = { name = "terser"; packageName = "terser"; @@ -33620,15 +34359,6 @@ let sha512 = "b85NUNzTSdodShTIbky6ZF02e8STtVVfD+fu4aXXShEELpozH+bCpJLYMPZbsABN2wDH7fJpqIoXxJpzbf0NqQ=="; }; }; - "timespan-2.3.0" = { - name = "timespan"; - packageName = "timespan"; - version = "2.3.0"; - src = fetchurl { - url = "https://registry.npmjs.org/timespan/-/timespan-2.3.0.tgz"; - sha1 = "4902ce040bd13d845c8f59b27e9d59bad6f39929"; - }; - }; "timsort-0.3.0" = { name = "timsort"; packageName = "timsort"; @@ -33683,6 +34413,15 @@ let sha512 = "rUwGDruKq1gX+FFHbTl5qjI7teVO7eOe+C8IcQ7QT+1BK3eEUXJqbZcBOeaRP4FwSC/C1A5jDoIVta0nIQ9yew=="; }; }; + "tkwidgets-0.5.26" = { + name = "tkwidgets"; + packageName = "tkwidgets"; + version = "0.5.26"; + src = fetchurl { + url = "https://registry.npmjs.org/tkwidgets/-/tkwidgets-0.5.26.tgz"; + sha512 = "zxhwsBpxD5fglnqHYZ9ZjunC8Hc67u/7QXzxHmhAIzzSr4a/Cq5PbzCeHsBZ7WL99uBUa6xgVLfjmGxnFU8XMg=="; + }; + }; "tmp-0.0.29" = { name = "tmp"; packageName = "tmp"; @@ -33710,6 +34449,15 @@ let sha512 = "jRCJlojKnZ3addtTOjdIqoRuPEKBvNXcGYqzO6zWZX8KfKEpnGY5jfggJQ3EjKuu8D4bJRr0y+cYJFmYbImXGw=="; }; }; + "tmp-0.1.0" = { + name = "tmp"; + packageName = "tmp"; + version = "0.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/tmp/-/tmp-0.1.0.tgz"; + sha512 = "J7Z2K08jbGcdA1kkQpJSqLF6T0tdQqpR2pnSUXsIchbPdTI9v3e85cLW0d6WDhwuAleOV71j2xWs8qMPfK7nKw=="; + }; + }; "tmp-graphql-config-extension-openapi-1.0.7" = { name = "tmp-graphql-config-extension-openapi"; packageName = "tmp-graphql-config-extension-openapi"; @@ -33917,6 +34665,15 @@ let sha512 = "gVweAectJU3ebq//Ferr2JUY4WKSDe5N+z0FvjDncLGyHmIDoxgY/2Ie4qfEIDm4IS7OA6Rmdm7pdEEdMcV/xQ=="; }; }; + "toml-3.0.0" = { + name = "toml"; + packageName = "toml"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/toml/-/toml-3.0.0.tgz"; + sha512 = "y/mWCZinnvxjTKYhJ+pYxwD0mRLVvOtdS2Awbgxln6iEnt4rk0yBxeSBHkGJcPucRiG0e55mwWp+g/05rsrd6w=="; + }; + }; "topo-3.0.3" = { name = "topo"; packageName = "topo"; @@ -34016,6 +34773,15 @@ let sha512 = "nlLsUzgm1kfLXSXfRZMc1KLAugd4hqJHDTvc2hDIwS3mZAfMEuMbc03SujMF+GEcpaX/qboeycw6iO8JwVv2+g=="; }; }; + "tough-cookie-3.0.1" = { + name = "tough-cookie"; + packageName = "tough-cookie"; + version = "3.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/tough-cookie/-/tough-cookie-3.0.1.tgz"; + sha512 = "yQyJ0u4pZsv9D4clxO69OEjLWYw+jbgspjTue4lTQZLfV0c5l1VmK2y1JK8E9ahdpltPOaAThPcp5nKPUgSnsg=="; + }; + }; "township-client-1.3.2" = { name = "township-client"; packageName = "township-client"; @@ -34088,6 +34854,15 @@ let sha512 = "4hjqbObwlh2dLyW4tcz0Ymw0ggoaVDMveUB9w8kFSQScdRLo0gxO9J7WFcUBo+W3C1TLdFIEwNOWebgZZ0RH9Q=="; }; }; + "tree-kit-0.6.1" = { + name = "tree-kit"; + packageName = "tree-kit"; + version = "0.6.1"; + src = fetchurl { + url = "https://registry.npmjs.org/tree-kit/-/tree-kit-0.6.1.tgz"; + sha512 = "7mV4KbsLMuA6ths3J1wpVUj2PLmLdoNEGnP9fm3kxef4UXYC/A0rL5gKsqtkUaCMuRYUMORyioy8IpBWUBQ1Ig=="; + }; + }; "trim-0.0.1" = { name = "trim"; packageName = "trim"; @@ -34214,22 +34989,22 @@ let sha512 = "Z/JSxzVmhTo50I+LKagEISFJW3pvPCqsMWLamCTX8Kr3N5aMrnGOqcflbe5hLUzwjvgPfnLzQtHZv0yWQ+FIHg=="; }; }; - "ts-invariant-0.3.2" = { + "ts-invariant-0.3.3" = { name = "ts-invariant"; packageName = "ts-invariant"; - version = "0.3.2"; + version = "0.3.3"; src = fetchurl { - url = "https://registry.npmjs.org/ts-invariant/-/ts-invariant-0.3.2.tgz"; - sha512 = "QsY8BCaRnHiB5T6iE4DPlJMAKEG3gzMiUco9FEt1jUXQf0XP6zi0idT0i0rMTu8A326JqNSDsmlkA9dRSh1TRg=="; + url = "https://registry.npmjs.org/ts-invariant/-/ts-invariant-0.3.3.tgz"; + sha512 = "UReOKsrJFGC9tUblgSRWo+BsVNbEd77Cl6WiV/XpMlkifXwNIJbknViCucHvVZkXSC/mcWeRnIGdY7uprcwvdQ=="; }; }; - "ts-node-8.0.3" = { + "ts-node-8.1.0" = { name = "ts-node"; packageName = "ts-node"; - version = "8.0.3"; + version = "8.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/ts-node/-/ts-node-8.0.3.tgz"; - sha512 = "2qayBA4vdtVRuDo11DEFSsD/SFsBXQBRZZhbRGSIkmYmVkWjULn/GGMdG10KVqkaGndljfaTD8dKjWgcejO8YA=="; + url = "https://registry.npmjs.org/ts-node/-/ts-node-8.1.0.tgz"; + sha512 = "34jpuOrxDuf+O6iW1JpgTRDFynUZ1iEqtYruBqh35gICNjN8x+LpVcPAcwzLPi9VU6mdA3ym+x233nZmZp445A=="; }; }; "tslib-1.9.3" = { @@ -34367,6 +35142,15 @@ let sha512 = "0fr/mIH1dlO+x7TlcMy+bIDqKPsw/70tVyeHW787goQjhmqaZe10uwLujubK9q9Lg6Fiho1KUKDYz0Z7k7g5/g=="; }; }; + "type-fest-0.3.1" = { + name = "type-fest"; + packageName = "type-fest"; + version = "0.3.1"; + src = fetchurl { + url = "https://registry.npmjs.org/type-fest/-/type-fest-0.3.1.tgz"; + sha512 = "cUGJnCdr4STbePCgqNFbpVNCepa+kAVohJs1sLhxzdH+gnEoOd8VhbYa7pD3zZYGiURWM2xzEII3fQcRizDkYQ=="; + }; + }; "type-is-1.5.7" = { name = "type-is"; packageName = "type-is"; @@ -34430,13 +35214,13 @@ let sha512 = "0RNDbSdEokBeEAkgNbxJ+BLwSManFy9TeXz8uW+48j/xhEXv1ePME60olyzw2XzUqUBNAYFeJadIqAgNqIACwg=="; }; }; - "typescript-3.3.4000" = { + "typescript-3.4.5" = { name = "typescript"; packageName = "typescript"; - version = "3.3.4000"; + version = "3.4.5"; src = fetchurl { - url = "https://registry.npmjs.org/typescript/-/typescript-3.3.4000.tgz"; - sha512 = "jjOcCZvpkl2+z7JFn0yBOoLQyLoIkNZAs/fYJkUG6VKy6zLPHJGfQJYFHzibB6GJaF/8QrcECtlQ5cpvRHSMEA=="; + url = "https://registry.npmjs.org/typescript/-/typescript-3.4.5.tgz"; + sha512 = "YycBxUb49UUhdNMU5aJ7z5Ej2XGmaIBL0x34vZ82fn3hGvD+bgrMrVDpatgz2f7YxUMJxMkbWxJZeAvDxVe7Vw=="; }; }; "typewise-1.0.3" = { @@ -34466,6 +35250,15 @@ let sha1 = "c8882fa1bb1092c06005a97f34ef5c8508e3664e"; }; }; + "typical-2.6.1" = { + name = "typical"; + packageName = "typical"; + version = "2.6.1"; + src = fetchurl { + url = "https://registry.npmjs.org/typical/-/typical-2.6.1.tgz"; + sha1 = "5c080e5d661cbbe38259d2e70a3c7253e873881d"; + }; + }; "uc.micro-1.0.6" = { name = "uc.micro"; packageName = "uc.micro"; @@ -34502,13 +35295,22 @@ let sha512 = "8CJsbKOtEbnJsTyv6LE6m6ZKniqMiFWmm9sRbopbkGs3gMPPfd3Fh8iIA4Ykv5MgaTbqHr4BaoGLJLZNhsrW1Q=="; }; }; - "uglify-js-3.5.1" = { + "uglify-js-3.5.3" = { name = "uglify-js"; packageName = "uglify-js"; - version = "3.5.1"; + version = "3.5.3"; + src = fetchurl { + url = "https://registry.npmjs.org/uglify-js/-/uglify-js-3.5.3.tgz"; + sha512 = "rIQPT2UMDnk4jRX+w4WO84/pebU2jiLsjgIyrCktYgSvx28enOE3iYQMr+BD1rHiitWnDmpu0cY/LfIEpKcjcw=="; + }; + }; + "uglify-js-3.5.6" = { + name = "uglify-js"; + packageName = "uglify-js"; + version = "3.5.6"; src = fetchurl { - url = "https://registry.npmjs.org/uglify-js/-/uglify-js-3.5.1.tgz"; - sha512 = "kI+3c+KphOAKIikQsZoT2oDsVYH5qvhpTtFObfMCdhPAYnjSvmW4oTWMhvDD4jtAGHJwztlBXQgozGcq3Xw9oQ=="; + url = "https://registry.npmjs.org/uglify-js/-/uglify-js-3.5.6.tgz"; + sha512 = "YDKRX8F0Y+Jr7LhoVk0n4G7ltR3Y7qFAj+DtVBthlOgCcIj1hyMigCfousVfn9HKmvJ+qiFlLDwaHx44/e5ZKw=="; }; }; "uglify-to-browserify-1.0.2" = { @@ -34592,6 +35394,15 @@ let sha512 = "jNn1eEi81BLiZfJkjbiAKPDMj7iFrturKazqpBu0aJYLr6evgkn+9rgkX/gUwPBj5j2Ri5oUelsqC/S1zmpWBA=="; }; }; + "uint48be-2.0.1" = { + name = "uint48be"; + packageName = "uint48be"; + version = "2.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/uint48be/-/uint48be-2.0.1.tgz"; + sha512 = "LQvWofTo3RCz+XaQR3VNch+dDFwpIvWr/98imhQne++vFhpQP16YAC/a8w9N00Heqqra00ACjHT18cgvn5H+bg=="; + }; + }; "uint64be-2.0.2" = { name = "uint64be"; packageName = "uint64be"; @@ -34745,13 +35556,13 @@ let sha1 = "71c08bf6b428b1133f37e78fa3a21c82f7329b0d"; }; }; - "undertaker-1.2.0" = { + "undertaker-1.2.1" = { name = "undertaker"; packageName = "undertaker"; - version = "1.2.0"; + version = "1.2.1"; src = fetchurl { - url = "https://registry.npmjs.org/undertaker/-/undertaker-1.2.0.tgz"; - sha1 = "339da4646252d082dc378e708067299750e11b49"; + url = "https://registry.npmjs.org/undertaker/-/undertaker-1.2.1.tgz"; + sha512 = "71WxIzDkgYk9ZS+spIB8iZXchFhAdEo2YU8xYqBYJ39DIUIqziK78ftm26eecoIY49X0J2MLhG4hr18Yp6/CMA=="; }; }; "undertaker-registry-1.0.1" = { @@ -35042,13 +35853,13 @@ let sha512 = "eUmNTPzdx+q/WvOHW0bgGYLWvWHNT3PTKEQLg0MAQhc0AHASHVHoP/9YytYd4RBVariqno/mEUhVZN98CmD7bg=="; }; }; - "unorm-1.5.0" = { - name = "unorm"; - packageName = "unorm"; - version = "1.5.0"; + "unpack-string-0.0.2" = { + name = "unpack-string"; + packageName = "unpack-string"; + version = "0.0.2"; src = fetchurl { - url = "https://registry.npmjs.org/unorm/-/unorm-1.5.0.tgz"; - sha512 = "sMfSWoiRaXXeDZSXC+YRZ23H4xchQpwxjpw1tmfR+kgbBCaOgln4NI0LXejJIhnBuKINrB3WRn+ZI8IWssirVw=="; + url = "https://registry.npmjs.org/unpack-string/-/unpack-string-0.0.2.tgz"; + sha1 = "302ecf08238b0139bd434a4d7fd67cdf33ca275d"; }; }; "unpipe-1.0.0" = { @@ -35285,6 +36096,15 @@ let sha512 = "rh+KuAW36YKo0vClhQzLLveoj8FwPJNu65xLb7Mrt+eZht0IPT0IXgSv8gcMegZ6NvjJUALf6Mf25POlMwD1Fw=="; }; }; + "url-parse-1.4.6" = { + name = "url-parse"; + packageName = "url-parse"; + version = "1.4.6"; + src = fetchurl { + url = "https://registry.npmjs.org/url-parse/-/url-parse-1.4.6.tgz"; + sha512 = "/B8AD9iQ01seoXmXf9z/MjLZQIdOoYl/+gvsQF6+mpnxaTfG9P7srYaiqaDMyKkR36XMXfhqSHss5MyFAO8lew=="; + }; + }; "url-parse-lax-1.0.0" = { name = "url-parse-lax"; packageName = "url-parse-lax"; @@ -35573,15 +36393,6 @@ let sha512 = "axKLXVqwtdI/czrjG0X8hyV1KLgeWx8F4KvSbvVCnS+RUvsQMGRjx0kfuZDXXqj0LYvVJmx3B9kWlKtEdRrJLg=="; }; }; - "uuid-2.0.3" = { - name = "uuid"; - packageName = "uuid"; - version = "2.0.3"; - src = fetchurl { - url = "https://registry.npmjs.org/uuid/-/uuid-2.0.3.tgz"; - sha1 = "67e2e863797215530dff318e5bf9dcebfd47b21a"; - }; - }; "uuid-3.3.2" = { name = "uuid"; packageName = "uuid"; @@ -35636,13 +36447,13 @@ let sha512 = "MtivA7GF24yMPte9Rp/BWGCYQNaUj86zeYxV/x2RRJMKagImbbv3u8iJC57lNhWLPcGLJmHcHmFWkNsplbbLWw=="; }; }; - "valid-identifier-0.0.1" = { + "valid-identifier-0.0.2" = { name = "valid-identifier"; packageName = "valid-identifier"; - version = "0.0.1"; + version = "0.0.2"; src = fetchurl { - url = "https://registry.npmjs.org/valid-identifier/-/valid-identifier-0.0.1.tgz"; - sha1 = "ef1d7093a9d3287e3fce92df916f8616b23f90b4"; + url = "https://registry.npmjs.org/valid-identifier/-/valid-identifier-0.0.2.tgz"; + sha512 = "zaSmOW6ykXwrkX0YTuFUSoALNEKGaQHpxBJQLb3TXspRNDpBwbfrIQCZqAQ0LKBlKuyn2YOq7NNd6415hvZ33g=="; }; }; "valid-url-1.0.9" = { @@ -36158,6 +36969,33 @@ let sha512 = "gWZsSbfHR2CmMLgpHxj6viwLczUdZ3zdwkXPoEUa7yn34Z8mZJW/fokwhPONgQNTrs3KNcq+zNQA7ED09+fP4A=="; }; }; + "vue-observe-visibility-0.4.3" = { + name = "vue-observe-visibility"; + packageName = "vue-observe-visibility"; + version = "0.4.3"; + src = fetchurl { + url = "https://registry.npmjs.org/vue-observe-visibility/-/vue-observe-visibility-0.4.3.tgz"; + sha512 = "YyyO3a5OUkgpmC0NEf+xWJR0jVdFWzVbKRDzUumOVMhfr3+jxXEycYNHCM3rEO5lcj3ZNJpDomZEYEx0Wqqh9A=="; + }; + }; + "vue-resize-0.4.5" = { + name = "vue-resize"; + packageName = "vue-resize"; + version = "0.4.5"; + src = fetchurl { + url = "https://registry.npmjs.org/vue-resize/-/vue-resize-0.4.5.tgz"; + sha512 = "bhP7MlgJQ8TIkZJXAfDf78uJO+mEI3CaLABLjv0WNzr4CcGRGPIAItyWYnP6LsPA4Oq0WE+suidNs6dgpO4RHg=="; + }; + }; + "vue-virtual-scroller-1.0.0-rc.2" = { + name = "vue-virtual-scroller"; + packageName = "vue-virtual-scroller"; + version = "1.0.0-rc.2"; + src = fetchurl { + url = "https://registry.npmjs.org/vue-virtual-scroller/-/vue-virtual-scroller-1.0.0-rc.2.tgz"; + sha512 = "4YFx1a+QDP4f6HW/HBI/qHcmSTlh7BMH6IjEH8WC3ylt499cErl0LpvLLAx9yo3c6NtuK/XvjYXi0vvdxFB5dw=="; + }; + }; "w3c-hr-time-1.0.1" = { name = "w3c-hr-time"; packageName = "w3c-hr-time"; @@ -36257,22 +37095,22 @@ let sha512 = "YQ+BmxuTgd6UXZW3+ICGfyqRyHXVlD5GtQr5+qjiNW7bF0cqrzX500HVXPBOvgXb5YnzDd+h0zqyv61KUD7+Sg=="; }; }; - "webpack-4.29.6" = { + "webpack-4.30.0" = { name = "webpack"; packageName = "webpack"; - version = "4.29.6"; + version = "4.30.0"; src = fetchurl { - url = "https://registry.npmjs.org/webpack/-/webpack-4.29.6.tgz"; - sha512 = "MwBwpiE1BQpMDkbnUUaW6K8RFZjljJHArC6tWQJoFm0oQtfoSebtg4Y7/QHnJ/SddtjYLHaKGX64CFjG5rehJw=="; + url = "https://registry.npmjs.org/webpack/-/webpack-4.30.0.tgz"; + sha512 = "4hgvO2YbAFUhyTdlR4FNyt2+YaYBYHavyzjCMbZzgglo02rlKi/pcsEzwCuCpsn1ryzIl1cq/u8ArIKu8JBYMg=="; }; }; - "webpack-cli-3.3.0" = { + "webpack-cli-3.3.1" = { name = "webpack-cli"; packageName = "webpack-cli"; - version = "3.3.0"; + version = "3.3.1"; src = fetchurl { - url = "https://registry.npmjs.org/webpack-cli/-/webpack-cli-3.3.0.tgz"; - sha512 = "t1M7G4z5FhHKJ92WRKwZ1rtvi7rHc0NZoZRbSkol0YKl4HvcC8+DsmGDmK7MmZxHSAetHagiOsjOB6MmzC2TUw=="; + url = "https://registry.npmjs.org/webpack-cli/-/webpack-cli-3.3.1.tgz"; + sha512 = "c2inFU7SM0IttEgF7fK6AaUsbBnORRzminvbyRKS+NlbQHVZdCtzKBlavRL5359bFsywXGRAItA5di/IruC8mg=="; }; }; "webpack-core-0.6.9" = { @@ -36311,13 +37149,13 @@ let sha512 = "nqHUnMXmBzT0w570r2JpJxfiSD1IzoI+HGVdd3aZ0yNi3ngvQ4jv1dtHt5VGxfI2yj5yqImPhOK4vmIh2xMbGg=="; }; }; - "websocket-stream-5.1.2" = { + "websocket-stream-5.5.0" = { name = "websocket-stream"; packageName = "websocket-stream"; - version = "5.1.2"; + version = "5.5.0"; src = fetchurl { - url = "https://registry.npmjs.org/websocket-stream/-/websocket-stream-5.1.2.tgz"; - sha512 = "lchLOk435iDWs0jNuL+hiU14i3ERSrMA0IKSiJh7z6X/i4XNsutBZrtqu2CPOZuA4G/zabiqVAos0vW+S7GEVw=="; + url = "https://registry.npmjs.org/websocket-stream/-/websocket-stream-5.5.0.tgz"; + sha512 = "EXy/zXb9kNHI07TIMz1oIUIrPZxQRA8aeJ5XYg5ihV8K4kD1DuA+FY6R96HfdIHzlSzS8HiISAfrm+vVQkZBug=="; }; }; "webtorrent-0.103.1" = { @@ -36347,6 +37185,15 @@ let sha512 = "dcQ1GWpOD/eEQ97k66aiEVpNnapVj90/+R+SXTPYGHpYBBypfKJEQjLrvMZ7YXbKm21gXd4NcuxUTjiv1YtLng=="; }; }; + "whatwg-fetch-3.0.0" = { + name = "whatwg-fetch"; + packageName = "whatwg-fetch"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/whatwg-fetch/-/whatwg-fetch-3.0.0.tgz"; + sha512 = "9GSJUgz1D4MfyKU7KRqwOjXCXTqWdFNvEr7eUBYchQiVc744mqK/MzXPNR2WsPkmkOa4ywfg8C2n8h+13Bey1Q=="; + }; + }; "whatwg-mimetype-2.3.0" = { name = "whatwg-mimetype"; packageName = "whatwg-mimetype"; @@ -36527,13 +37374,13 @@ let sha1 = "91e5129088330a0fe248520cee12d1ad6bb4ddfb"; }; }; - "windows-release-3.1.0" = { + "windows-release-3.2.0" = { name = "windows-release"; packageName = "windows-release"; - version = "3.1.0"; + version = "3.2.0"; src = fetchurl { - url = "https://registry.npmjs.org/windows-release/-/windows-release-3.1.0.tgz"; - sha512 = "hBb7m7acFgQPQc222uEQTmdcGLeBmQLNLFIh0rDk3CwFOBrfjefLzEfEfmpMq8Af/n/GnFf3eYf203FY1PmudA=="; + url = "https://registry.npmjs.org/windows-release/-/windows-release-3.2.0.tgz"; + sha512 = "QTlz2hKLrdqukrsapKsINzqMgOUpQW268eJ0OaOpJN32h272waxR9fkB9VoWRtK7uKHG5EHJcTXQBD8XZVJkFA=="; }; }; "winreg-0.0.12" = { @@ -36599,13 +37446,13 @@ let sha512 = "NBo2Pepn4hK4V01UfcWcDlmiVTs7VTB1h7bgnB0rgP146bYhMxX0ypCz3lBOfNxCO4Zuek7yeT+y/zM1OfMw4Q=="; }; }; - "winston-3.1.0" = { + "winston-3.2.1" = { name = "winston"; packageName = "winston"; - version = "3.1.0"; + version = "3.2.1"; src = fetchurl { - url = "https://registry.npmjs.org/winston/-/winston-3.1.0.tgz"; - sha512 = "FsQfEE+8YIEeuZEYhHDk5cILo1HOcWkGwvoidLrDgPog0r4bser1lEIOco2dN9zpDJ1M88hfDgZvxe5z4xNcwg=="; + url = "https://registry.npmjs.org/winston/-/winston-3.2.1.tgz"; + sha512 = "zU6vgnS9dAWCEKg/QYigd6cgMVVNwyTzKs81XZtTFuRwJOcDdBg7AU0mXVyNbs7O5RH2zdv+BdNZUlx7mXPuOw=="; }; }; "winston-transport-4.3.0" = { @@ -36617,6 +37464,15 @@ let sha512 = "B2wPuwUi3vhzn/51Uukcao4dIduEiPOcOt9HJ3QeaXgkJ5Z7UwpBzxS4ZGNHtrxrUvTwemsQiSys0ihOf8Mp1A=="; }; }; + "with-open-file-0.1.6" = { + name = "with-open-file"; + packageName = "with-open-file"; + version = "0.1.6"; + src = fetchurl { + url = "https://registry.npmjs.org/with-open-file/-/with-open-file-0.1.6.tgz"; + sha512 = "SQS05JekbtwQSgCYlBsZn/+m2gpn4zWsqpCYIrCHva0+ojXcnmUEPsBN6Ipoz3vmY/81k5PvYEWSxER2g4BTqA=="; + }; + }; "word-wrap-1.2.3" = { name = "word-wrap"; packageName = "word-wrap"; @@ -36653,6 +37509,15 @@ let sha1 = "27584810891456a4171c8d0226441ade90cbcaeb"; }; }; + "wordwrapjs-3.0.0" = { + name = "wordwrapjs"; + packageName = "wordwrapjs"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/wordwrapjs/-/wordwrapjs-3.0.0.tgz"; + sha512 = "mO8XtqyPvykVCsrwj5MlOVWvSnCdT+C+QVbm6blradR7JExAhbkZ7hZ9A+9NUtwzSqrlUo9a67ws0EiILrvRpw=="; + }; + }; "worker-farm-1.6.0" = { name = "worker-farm"; packageName = "worker-farm"; @@ -36725,15 +37590,6 @@ let sha512 = "/lg70HAjtkUgWPVZhZcm+T4hkL8Zbtp1nFNOn3lRrxnlv50SRBv7cR7RqR+GMsd3hUXy9hWBo4CHTbFTcOYwig=="; }; }; - "write-file-atomic-1.3.4" = { - name = "write-file-atomic"; - packageName = "write-file-atomic"; - version = "1.3.4"; - src = fetchurl { - url = "https://registry.npmjs.org/write-file-atomic/-/write-file-atomic-1.3.4.tgz"; - sha1 = "f807a4f0b1d9e913ae7a48112e6cc3af1991b45f"; - }; - }; "write-file-atomic-2.4.2" = { name = "write-file-atomic"; packageName = "write-file-atomic"; @@ -36797,6 +37653,15 @@ let sha512 = "nnWLa/NwZSt4KQJu51MYlCcSQ5g7INpOrOMt4XV8j4dqTXdmlUmSHQ8/oLC069ckre0fRsgfvsKwbTdtKLCDkA=="; }; }; + "ws-4.1.0" = { + name = "ws"; + packageName = "ws"; + version = "4.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/ws/-/ws-4.1.0.tgz"; + sha512 = "ZGh/8kF9rrRNffkLFV4AzhvooEclrOH0xaugmqGsIfFgOE/pIz4fMc4Ef+5HSQqTEug2S9JZIWDR47duDSLfaA=="; + }; + }; "ws-5.2.0" = { name = "ws"; packageName = "ws"; @@ -36824,13 +37689,13 @@ let sha512 = "eqZfL+NE/YQc1/ZynhojeV8q+H050oR8AZ2uIev7RU10svA9ZnJUddHcOUZTJLinZ9yEfdA2kSATS2qZK5fhJA=="; }; }; - "ws-6.2.0" = { + "ws-6.2.1" = { name = "ws"; packageName = "ws"; - version = "6.2.0"; + version = "6.2.1"; src = fetchurl { - url = "https://registry.npmjs.org/ws/-/ws-6.2.0.tgz"; - sha512 = "deZYUNlt2O4buFCa3t5bKLf8A7FPP/TVjwOeVNpw818Ma5nk4MLXls2eoEGS39o8119QIYxTrTDoPQ5B/gTD6w=="; + url = "https://registry.npmjs.org/ws/-/ws-6.2.1.tgz"; + sha512 = "GIyAXC2cB7LjvpgMt9EKS2ldqr0MTrORaleiOno6TweZ6r3TKtoFQWay/2PceJ3RuBasOHzXNn5Lrw1X0bEjqA=="; }; }; "wtf-8-1.0.0" = { @@ -36860,24 +37725,6 @@ let sha1 = "474b50865af3a49a9c4657f05acd145458f77d82"; }; }; - "xcode-1.1.0" = { - name = "xcode"; - packageName = "xcode"; - version = "1.1.0"; - src = fetchurl { - url = "https://registry.npmjs.org/xcode/-/xcode-1.1.0.tgz"; - sha512 = "hllHFtfsNu5WbVzj8KbGNdI3NgOYmTLZqyF4a9c9J1aGMhAdxmLLsXlpG0Bz8fEtKh6I3pyargRXN0ZlLpcF5w=="; - }; - }; - "xdg-basedir-2.0.0" = { - name = "xdg-basedir"; - packageName = "xdg-basedir"; - version = "2.0.0"; - src = fetchurl { - url = "https://registry.npmjs.org/xdg-basedir/-/xdg-basedir-2.0.0.tgz"; - sha1 = "edbc903cc385fc04523d966a335504b5504d1bd2"; - }; - }; "xdg-basedir-3.0.0" = { name = "xdg-basedir"; packageName = "xdg-basedir"; @@ -37239,6 +38086,15 @@ let sha512 = "1UhJbXfzHiPqkfXNHYhiz79qM/kZqjTE8yGlEjZa85Q+3+OwcV6NRkV7XOV1W2Eom2bzILeUn55pQYffjVOLAg=="; }; }; + "yargs-13.2.2" = { + name = "yargs"; + packageName = "yargs"; + version = "13.2.2"; + src = fetchurl { + url = "https://registry.npmjs.org/yargs/-/yargs-13.2.2.tgz"; + sha512 = "WyEoxgyTD3w5XRpAQNYUB9ycVH/PQrToaTXdYXRdOXvEy1l19br+VJsc0vcO8PTGg5ro/l/GY7F/JMEBmI0BxA=="; + }; + }; "yargs-3.10.0" = { name = "yargs"; packageName = "yargs"; @@ -37410,13 +38266,13 @@ let sha512 = "KLxE5ft/74Qj7h3AsQZv8G6MEEHYJwmD5F99nfOVaep3rBzCtbrJKkdqWc7bDV141Nr8UZZsIXmzc3IcCm6E2w=="; }; }; - "yn-3.0.0" = { + "yn-3.1.0" = { name = "yn"; packageName = "yn"; - version = "3.0.0"; + version = "3.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/yn/-/yn-3.0.0.tgz"; - sha512 = "+Wo/p5VRfxUgBUGy2j/6KX2mj9AYJWOHuhMjMcbBFc3y54o9/4buK1ksBvuiK01C3kby8DH9lSmJdSxw+4G/2Q=="; + url = "https://registry.npmjs.org/yn/-/yn-3.1.0.tgz"; + sha512 = "kKfnnYkbTfrAdd0xICNFw7Atm8nKpLcLv9AZGEt+kczL/WQVai4e2V6ZN8U/O+iI6WrNuJjNNOyu4zfhl9D3Hg=="; }; }; "yosay-2.0.2" = { @@ -37446,13 +38302,13 @@ let sha512 = "Dhp/R0pqSHj3vPs5O1gVd9kZx5Iew2lqVcfJQOBHx3llM/dLea8vl9wSa9FK8wLdSBQJ6mmgKi9+Rk2DRH3i9Q=="; }; }; - "zen-observable-0.8.13" = { + "zen-observable-0.8.14" = { name = "zen-observable"; packageName = "zen-observable"; - version = "0.8.13"; + version = "0.8.14"; src = fetchurl { - url = "https://registry.npmjs.org/zen-observable/-/zen-observable-0.8.13.tgz"; - sha512 = "fa+6aDUVvavYsefZw0zaZ/v3ckEtMgCFi30sn91SEZea4y/6jQp05E3omjkX91zV6RVdn15fqnFZ6RKjRGbp2g=="; + url = "https://registry.npmjs.org/zen-observable/-/zen-observable-0.8.14.tgz"; + sha512 = "kQz39uonEjEESwh+qCi83kcC3rZJGh4mrZW7xjkSQYXkq//JZHTtKo+6yuVloTgMtzsIWOJrjIrKvk/dqm0L5g=="; }; }; "zen-observable-ts-0.8.18" = { @@ -37506,17 +38362,17 @@ in "@angular/cli" = nodeEnv.buildNodePackage { name = "_at_angular_slash_cli"; packageName = "@angular/cli"; - version = "7.3.6"; + version = "7.3.8"; src = fetchurl { - url = "https://registry.npmjs.org/@angular/cli/-/cli-7.3.6.tgz"; - sha512 = "u5lBcYVQRk9cez/DozJvFOYomeko9b5kE+NElyFhPtM3GF1SBcXKb5QyNxH/zSOc850VL7KPe7ZfC6kW3Phhyw=="; + url = "https://registry.npmjs.org/@angular/cli/-/cli-7.3.8.tgz"; + sha512 = "5ldU1idvWstmRaavGZen9WRjfjIViERGt8NYuLLI7dgVLYOPF5TyFoTnpT5nxkiCopp4tPIcpbzPV394Bxmdtg=="; }; dependencies = [ - sources."@angular-devkit/architect-0.13.6" - sources."@angular-devkit/core-7.3.6" - sources."@angular-devkit/schematics-7.3.6" - sources."@schematics/angular-7.3.6" - sources."@schematics/update-0.13.6" + sources."@angular-devkit/architect-0.13.8" + sources."@angular-devkit/core-7.3.8" + sources."@angular-devkit/schematics-7.3.8" + sources."@schematics/angular-7.3.8" + sources."@schematics/update-0.13.8" sources."@yarnpkg/lockfile-1.1.0" sources."JSONStream-1.3.5" sources."agent-base-4.2.1" @@ -37532,7 +38388,7 @@ in sources."arr-union-3.1.0" sources."array-unique-0.3.2" sources."assign-symbols-1.0.0" - sources."async-each-1.0.2" + sources."async-each-1.0.3" sources."atob-2.1.2" sources."balanced-match-1.0.0" (sources."base-0.11.2" // { @@ -37540,8 +38396,8 @@ in sources."define-property-1.0.0" ]; }) - sources."binary-extensions-1.13.0" - sources."bluebird-3.5.3" + sources."binary-extensions-1.13.1" + sources."bluebird-3.5.4" sources."brace-expansion-1.1.11" (sources."braces-2.3.2" // { dependencies = [ @@ -37579,7 +38435,7 @@ in sources."collection-visit-1.0.0" sources."color-convert-1.9.3" sources."color-name-1.1.3" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."concat-map-0.0.1" sources."concat-stream-1.6.2" sources."copy-concurrently-1.0.5" @@ -37641,7 +38497,7 @@ in sources."fs-minipass-1.2.5" sources."fs-write-stream-atomic-1.0.10" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.7" + sources."fsevents-1.2.8" sources."genfun-5.0.0" sources."get-stream-4.1.0" sources."get-value-2.0.6" @@ -37690,7 +38546,7 @@ in sources."is-extendable-1.0.1" sources."is-extglob-2.1.1" sources."is-fullwidth-code-point-2.0.0" - sources."is-glob-4.0.0" + sources."is-glob-4.0.1" (sources."is-number-3.0.0" // { dependencies = [ sources."kind-of-3.2.2" @@ -37730,7 +38586,7 @@ in sources."move-concurrently-1.0.1" sources."ms-2.0.0" sources."mute-stream-0.0.7" - sources."nan-2.13.1" + sources."nan-2.13.2" sources."nanomatch-1.2.13" sources."node-fetch-npm-2.0.2" sources."normalize-package-data-2.5.0" @@ -37762,7 +38618,7 @@ in sources."object.pick-1.3.0" sources."once-1.4.0" sources."onetime-2.0.1" - sources."opn-5.4.0" + sources."open-6.0.0" sources."os-homedir-1.0.2" sources."os-tmpdir-1.0.2" sources."osenv-0.1.5" @@ -37851,7 +38707,7 @@ in sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" sources."split-string-3.1.0" sources."ssri-6.0.1" (sources."static-extend-0.1.2" // { @@ -37951,10 +38807,10 @@ in }; dependencies = [ sources."balanced-match-1.0.0" - sources."bluebird-3.5.3" + sources."bluebird-3.5.4" sources."brace-expansion-1.1.11" sources."chromium-pickle-js-0.2.0" - sources."commander-2.19.0" + sources."commander-2.20.0" sources."concat-map-0.0.1" sources."cuint-0.2.2" sources."fs.realpath-1.0.0" @@ -37984,16 +38840,16 @@ in azure-functions-core-tools = nodeEnv.buildNodePackage { name = "azure-functions-core-tools"; packageName = "azure-functions-core-tools"; - version = "2.4.419"; + version = "2.6.666"; src = fetchurl { - url = "https://registry.npmjs.org/azure-functions-core-tools/-/azure-functions-core-tools-2.4.419.tgz"; - sha512 = "GMVzgR1VwKGOZ819qkgYV0PxqK/xJRZU4ZwLGRHfJZmngHC/FN1fw/yaQxNahCokBuCoSHECzbVG6jobUxbriw=="; + url = "https://registry.npmjs.org/azure-functions-core-tools/-/azure-functions-core-tools-2.6.666.tgz"; + sha512 = "upfBIn8oNw4gdgeFUwHep+3UTDdiMKOLoKDdKX3n40ymxGundNb4nAI7HhAauz6Hx0bvL8HURojnVCrep5kCeQ=="; }; dependencies = [ sources."agent-base-4.2.1" sources."ansi-styles-3.2.1" sources."balanced-match-1.0.0" - sources."big-integer-1.6.42" + sources."big-integer-1.6.43" sources."binary-0.3.0" sources."bluebird-3.4.7" sources."brace-expansion-1.1.11" @@ -38134,7 +38990,7 @@ in sources."loud-rejection-1.6.0" sources."map-obj-1.0.1" sources."meow-3.7.0" - sources."mime-db-1.38.0" + sources."mime-db-1.40.0" sources."minimatch-3.0.4" sources."minimist-1.2.0" (sources."mkdirp-0.5.1" // { @@ -38173,14 +39029,14 @@ in sources."glob-7.1.3" ]; }) - sources."semver-5.6.0" + sources."semver-5.7.0" sources."signal-exit-3.0.2" sources."sort-keys-1.1.2" sources."sort-keys-length-1.0.1" sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" sources."sprintf-js-1.0.3" sources."strip-bom-2.0.0" sources."strip-indent-1.0.1" @@ -38286,7 +39142,7 @@ in sources."hmac-drbg-1.0.1" sources."htmlescape-1.1.1" sources."https-browserify-1.0.0" - sources."ieee754-1.1.12" + sources."ieee754-1.1.13" sources."inflight-1.0.6" sources."inherits-2.0.3" sources."inline-source-map-0.6.2" @@ -38444,12 +39300,12 @@ in sources."codepage-1.4.0" sources."colour-0.7.1" sources."combined-stream-1.0.7" - sources."commander-2.19.0" + sources."commander-2.20.0" sources."compact2string-1.4.1" sources."concat-map-0.0.1" (sources."concat-stream-2.0.0" // { dependencies = [ - sources."readable-stream-3.2.0" + sources."readable-stream-3.3.0" sources."string_decoder-1.2.0" ]; }) @@ -38556,8 +39412,8 @@ in ]; }) sources."mime-1.6.0" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."mimic-response-1.0.1" sources."minimatch-3.0.4" sources."minimist-1.2.0" @@ -38632,7 +39488,7 @@ in sources."qap-3.3.1" sources."qs-6.5.2" sources."query-string-1.0.1" - (sources."random-access-file-2.1.0" // { + (sources."random-access-file-2.1.1" // { dependencies = [ sources."minimist-0.0.8" sources."mkdirp-0.5.1" @@ -38676,7 +39532,7 @@ in sources."safe-buffer-5.1.2" sources."safer-buffer-2.1.2" sources."sax-1.2.4" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."signal-exit-3.0.2" sources."simple-concat-1.0.0" sources."simple-get-2.8.1" @@ -38701,7 +39557,7 @@ in sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" sources."speedometer-0.1.4" sources."srt2vtt-1.3.1" sources."sshpk-1.16.1" @@ -38830,7 +39686,7 @@ in sources."color-convert-1.9.3" sources."color-name-1.1.3" sources."colors-1.3.3" - sources."commander-2.19.0" + sources."commander-2.20.0" sources."debug-3.2.6" sources."escape-string-regexp-1.0.5" sources."follow-redirects-1.7.0" @@ -38863,10 +39719,10 @@ in configurable-http-proxy = nodeEnv.buildNodePackage { name = "configurable-http-proxy"; packageName = "configurable-http-proxy"; - version = "4.0.1"; + version = "4.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/configurable-http-proxy/-/configurable-http-proxy-4.0.1.tgz"; - sha512 = "Agj3tsKjvXD53aSdy7rmEo35vYMSHm1MiW8NssH4+z+TpifPQwJxl0y72z+v4TbTg/K1xe5IUGrMfqZ00Z82zw=="; + url = "https://registry.npmjs.org/configurable-http-proxy/-/configurable-http-proxy-4.1.0.tgz"; + sha512 = "HwWCWql35j7EIp29mO4yRAxPH+Z2t2gwsLk3RLr6wktYjRacy0S83sG4RBDNfbfyLqJeZxFgMoKaCC/bTNxoWA=="; }; dependencies = [ sources."async-2.6.2" @@ -38894,25 +39750,30 @@ in sources."isarray-1.0.0" sources."kuler-1.0.1" sources."lodash-4.17.11" - sources."logform-1.10.0" + sources."logform-2.1.2" sources."lynx-0.2.0" sources."mersenne-0.0.4" sources."ms-2.1.1" sources."one-time-0.0.4" sources."process-nextick-args-2.0.0" - sources."readable-stream-2.3.6" + sources."readable-stream-3.3.0" sources."requires-port-1.0.0" sources."safe-buffer-5.1.2" sources."simple-swizzle-0.2.2" sources."stack-trace-0.0.10" sources."statsd-parser-0.0.4" sources."strftime-0.10.0" - sources."string_decoder-1.1.1" + sources."string_decoder-1.2.0" sources."text-hex-1.0.0" sources."triple-beam-1.3.0" sources."util-deprecate-1.0.2" - sources."winston-3.1.0" - sources."winston-transport-4.3.0" + sources."winston-3.2.1" + (sources."winston-transport-4.3.0" // { + dependencies = [ + sources."readable-stream-2.3.6" + sources."string_decoder-1.1.1" + ]; + }) ]; buildInputs = globalBuildInputs; meta = { @@ -38926,164 +39787,129 @@ in cordova = nodeEnv.buildNodePackage { name = "cordova"; packageName = "cordova"; - version = "8.1.2"; + version = "9.0.0"; src = fetchurl { - url = "https://registry.npmjs.org/cordova/-/cordova-8.1.2.tgz"; - sha512 = "IfslM3MP42CA/ebVJVlit6FhQ2P6Fercwx9NNQjkVs0wahEwqamL4bcqh1gKiTti7+/ZsDtBRSVmRv+y7LcTbg=="; + url = "https://registry.npmjs.org/cordova/-/cordova-9.0.0.tgz"; + sha512 = "zWEPo9uGj9KNcEhU2Lpo3r4HYK21tL+at496N2LLnuCWuWVndv6QWed8+EYl/08rrcNshrEtfzXj9Ux6vQm2PQ=="; }; dependencies = [ - sources."JSONStream-1.3.5" + sources."@mrmlnc/readdir-enhanced-2.2.1" + sources."@nodelib/fs.stat-1.1.3" + sources."@types/events-3.0.0" + sources."@types/glob-7.1.1" + sources."@types/minimatch-3.0.3" + sources."@types/node-11.13.7" sources."abbrev-1.1.1" sources."accepts-1.3.5" - sources."acorn-5.7.3" - sources."acorn-dynamic-import-4.0.0" - (sources."acorn-node-1.6.2" // { - dependencies = [ - sources."acorn-6.1.1" - ]; - }) - sources."acorn-walk-6.1.1" sources."ajv-6.10.0" - sources."aliasify-2.1.0" sources."ansi-0.3.1" sources."ansi-align-2.0.0" - sources."ansi-escapes-1.4.0" - sources."ansi-regex-2.1.1" - sources."ansi-styles-2.2.1" - sources."array-filter-0.0.1" + sources."ansi-escapes-3.2.0" + sources."ansi-regex-3.0.0" + sources."ansi-styles-3.2.1" + sources."arr-diff-4.0.0" + sources."arr-flatten-1.1.0" + sources."arr-union-3.1.0" sources."array-find-index-1.0.2" sources."array-flatten-1.1.1" - sources."array-map-0.0.0" - sources."array-reduce-0.0.0" + sources."array-union-1.0.2" + sources."array-uniq-1.0.3" + sources."array-unique-0.3.2" sources."asn1-0.2.4" - sources."asn1.js-4.10.1" - (sources."assert-1.4.1" // { - dependencies = [ - sources."inherits-2.0.1" - sources."util-0.10.3" - ]; - }) sources."assert-plus-1.0.0" - sources."async-1.5.2" + sources."assign-symbols-1.0.0" + sources."async-2.6.2" sources."asynckit-0.4.0" + sources."atob-2.1.2" sources."aws-sign2-0.7.0" sources."aws4-1.8.0" sources."balanced-match-1.0.0" - sources."base64-js-1.2.0" - sources."bcrypt-pbkdf-1.0.2" - sources."big-integer-1.6.42" - sources."block-stream-0.0.9" - sources."bn.js-4.11.8" - sources."body-parser-1.18.3" - (sources."boxen-1.3.0" // { + (sources."base-0.11.2" // { dependencies = [ - sources."ansi-styles-3.2.1" - sources."chalk-2.4.2" - sources."supports-color-5.5.0" + sources."define-property-1.0.0" ]; }) - sources."bplist-creator-0.0.7" + sources."base64-js-1.3.0" + sources."bcrypt-pbkdf-1.0.2" + sources."big-integer-1.6.43" + sources."body-parser-1.18.3" + sources."boxen-1.3.0" sources."bplist-parser-0.1.1" sources."brace-expansion-1.1.11" - sources."brorand-1.1.0" - sources."browser-pack-6.1.0" - (sources."browser-resolve-1.11.3" // { - dependencies = [ - sources."resolve-1.1.7" - ]; - }) - (sources."browserify-14.4.0" // { + (sources."braces-2.3.2" // { dependencies = [ - sources."glob-7.1.3" + sources."extend-shallow-2.0.1" ]; }) - sources."browserify-aes-1.2.0" - sources."browserify-cipher-1.0.1" - sources."browserify-des-1.0.2" - sources."browserify-rsa-4.0.1" - sources."browserify-sign-4.0.4" - sources."browserify-transform-tools-1.7.0" - sources."browserify-zlib-0.1.4" - sources."buffer-5.2.1" - sources."buffer-from-1.1.1" - sources."buffer-xor-1.0.3" - sources."builtin-status-codes-3.0.0" sources."builtins-1.0.3" sources."bytes-3.0.0" - sources."cached-path-relative-1.0.2" + sources."cache-base-1.0.1" + sources."call-me-maybe-1.0.1" + sources."callsites-3.1.0" sources."camelcase-4.1.0" sources."capture-stack-trace-1.0.1" sources."caseless-0.12.0" - sources."chalk-1.1.3" + sources."chalk-2.4.2" + sources."chardet-0.7.0" sources."ci-info-1.6.0" - sources."cipher-base-1.0.4" + (sources."class-utils-0.3.6" // { + dependencies = [ + sources."define-property-0.2.5" + (sources."is-accessor-descriptor-0.1.6" // { + dependencies = [ + sources."kind-of-3.2.2" + ]; + }) + (sources."is-data-descriptor-0.1.4" // { + dependencies = [ + sources."kind-of-3.2.2" + ]; + }) + sources."is-descriptor-0.1.6" + sources."kind-of-5.1.0" + ]; + }) sources."cli-boxes-1.0.0" - sources."cli-cursor-1.0.2" - sources."cli-width-1.1.1" - sources."code-point-at-1.1.0" + sources."cli-cursor-2.1.0" + sources."cli-width-2.2.0" + sources."collection-visit-1.0.0" sources."color-convert-1.9.3" sources."color-name-1.1.3" - sources."combine-source-map-0.8.0" sources."combined-stream-1.0.7" + sources."component-emitter-1.3.0" sources."compressible-2.0.16" sources."compression-1.7.4" sources."concat-map-0.0.1" - (sources."concat-stream-1.5.2" // { - dependencies = [ - sources."isarray-1.0.0" - sources."readable-stream-2.0.6" - sources."string_decoder-0.10.31" - ]; - }) - sources."configstore-3.1.2" - sources."console-browserify-1.1.0" - sources."constants-browserify-1.0.0" + sources."conf-1.4.0" + sources."configstore-4.0.0" sources."content-disposition-0.5.2" sources."content-type-1.0.4" - sources."convert-source-map-1.1.3" sources."cookie-0.3.1" sources."cookie-signature-1.0.6" - sources."cordova-app-hello-world-3.12.0" - sources."cordova-common-2.2.5" - (sources."cordova-create-1.1.2" // { - dependencies = [ - sources."q-1.0.1" - sources."shelljs-0.3.0" - ]; - }) - (sources."cordova-fetch-1.3.1" // { - dependencies = [ - sources."glob-7.1.3" - sources."shelljs-0.7.8" - ]; - }) - sources."cordova-js-4.2.4" - (sources."cordova-lib-8.1.1" // { + sources."copy-descriptor-0.1.1" + sources."cordova-app-hello-world-4.0.0" + sources."cordova-common-3.1.0" + sources."cordova-create-2.0.0" + (sources."cordova-fetch-2.0.1" // { dependencies = [ - sources."base64-js-1.1.2" - sources."elementtree-0.1.7" - sources."glob-7.1.3" - sources."plist-2.0.1" - sources."sax-1.1.4" - sources."shelljs-0.3.0" + sources."pify-4.0.1" ]; }) - sources."cordova-registry-mapper-1.1.15" - sources."cordova-serve-2.0.1" + sources."cordova-lib-9.0.1" + sources."cordova-serve-3.0.0" sources."core-util-is-1.0.2" - sources."create-ecdh-4.0.3" sources."create-error-class-3.0.2" - sources."create-hash-1.2.0" - sources."create-hmac-1.1.7" - sources."cross-spawn-5.1.0" - sources."crypto-browserify-3.12.0" + sources."cross-spawn-6.0.5" sources."crypto-random-string-1.0.0" sources."currently-unhandled-0.4.1" - sources."dash-ast-1.0.0" sources."dashdash-1.14.1" - sources."date-now-0.1.4" sources."debug-2.6.9" + sources."decode-uri-component-0.2.0" + sources."dedent-0.7.0" + sources."deep-equal-1.0.1" sources."deep-extend-0.6.0" + sources."define-properties-1.1.3" + sources."define-property-2.0.2" sources."defined-1.0.0" sources."delayed-stream-1.0.0" (sources."dep-graph-1.1.0" // { @@ -39092,363 +39918,474 @@ in ]; }) sources."depd-1.1.2" - (sources."dependency-ls-1.1.1" // { - dependencies = [ - sources."q-1.4.1" - ]; - }) - sources."deps-sort-2.0.0" - sources."des.js-1.0.0" sources."destroy-1.0.4" sources."detect-indent-5.0.0" - sources."detective-4.7.1" - sources."diffie-hellman-5.0.3" - sources."domain-browser-1.1.7" + sources."dir-glob-2.2.2" sources."dot-prop-4.2.0" - sources."duplexer2-0.1.4" sources."duplexer3-0.1.4" sources."ecc-jsbn-0.1.2" sources."editor-1.0.0" sources."ee-first-1.1.1" - sources."elementtree-0.1.6" - sources."elliptic-6.4.1" + sources."elementtree-0.1.7" sources."encodeurl-1.0.2" + sources."end-of-stream-1.4.1" + sources."endent-1.3.0" + sources."env-paths-1.0.0" + sources."es-abstract-1.13.0" + sources."es-to-primitive-1.2.0" sources."escape-html-1.0.3" sources."escape-string-regexp-1.0.5" sources."etag-1.8.1" - sources."events-1.1.1" - sources."evp_bytestokey-1.0.3" - sources."execa-0.7.0" - sources."exit-hook-1.1.1" + sources."execa-1.0.0" + (sources."expand-brackets-2.1.4" // { + dependencies = [ + sources."define-property-0.2.5" + sources."extend-shallow-2.0.1" + (sources."is-accessor-descriptor-0.1.6" // { + dependencies = [ + sources."kind-of-3.2.2" + ]; + }) + (sources."is-data-descriptor-0.1.4" // { + dependencies = [ + sources."kind-of-3.2.2" + ]; + }) + sources."is-descriptor-0.1.6" + sources."kind-of-5.1.0" + ]; + }) sources."express-4.16.4" sources."extend-3.0.2" + (sources."extend-shallow-3.0.2" // { + dependencies = [ + sources."is-extendable-1.0.1" + ]; + }) + (sources."external-editor-3.0.3" // { + dependencies = [ + sources."iconv-lite-0.4.24" + ]; + }) + (sources."extglob-2.0.4" // { + dependencies = [ + sources."define-property-1.0.0" + sources."extend-shallow-2.0.1" + ]; + }) sources."extsprintf-1.3.0" - sources."falafel-2.1.0" sources."fast-deep-equal-2.0.1" + sources."fast-glob-2.2.6" + sources."fast-json-parse-1.0.3" sources."fast-json-stable-stringify-2.0.0" - sources."figures-1.7.0" + sources."figures-2.0.0" + (sources."fill-range-4.0.0" // { + dependencies = [ + sources."extend-shallow-2.0.1" + ]; + }) sources."finalhandler-1.1.1" - sources."foreach-2.0.5" + sources."find-up-2.1.0" + sources."for-each-0.3.3" + sources."for-in-1.0.2" sources."forever-agent-0.6.1" sources."form-data-2.3.3" sources."forwarded-0.1.2" + sources."fragment-cache-0.2.1" sources."fresh-0.5.2" + sources."fs-extra-7.0.1" sources."fs.realpath-1.0.0" - sources."fstream-1.0.11" sources."function-bind-1.1.1" - sources."get-assigned-identifiers-1.2.0" - sources."get-stream-3.0.0" + sources."get-stream-4.1.0" + sources."get-value-2.0.6" sources."getpass-0.1.7" - sources."glob-5.0.15" + sources."glob-7.1.3" + (sources."glob-parent-3.1.0" // { + dependencies = [ + sources."is-glob-3.1.0" + ]; + }) + sources."glob-to-regexp-0.3.0" sources."global-dirs-0.1.1" - sources."got-6.7.1" + (sources."globby-9.2.0" // { + dependencies = [ + sources."pify-4.0.1" + ]; + }) + (sources."got-6.7.1" // { + dependencies = [ + sources."get-stream-3.0.0" + ]; + }) sources."graceful-fs-4.1.15" sources."har-schema-2.0.0" sources."har-validator-5.1.3" sources."has-1.0.3" - sources."has-ansi-2.0.0" sources."has-flag-3.0.0" - sources."hash-base-3.0.4" - sources."hash.js-1.1.7" - sources."hmac-drbg-1.0.1" + sources."has-symbols-1.0.0" + sources."has-value-1.0.0" + (sources."has-values-1.0.0" // { + dependencies = [ + sources."kind-of-4.0.0" + ]; + }) sources."hosted-git-info-2.7.1" - sources."htmlescape-1.1.1" sources."http-errors-1.6.3" sources."http-signature-1.2.0" - sources."https-browserify-1.0.0" sources."iconv-lite-0.4.23" - sources."ieee754-1.1.12" + sources."ignore-4.0.6" + sources."import-fresh-3.0.0" sources."import-lazy-2.1.0" sources."imurmurhash-0.1.4" - sources."indexof-0.0.1" + sources."indent-string-3.2.0" sources."inflight-1.0.6" sources."inherits-2.0.3" sources."ini-1.3.5" - (sources."init-package-json-1.10.3" // { - dependencies = [ - sources."glob-7.1.3" - ]; - }) - sources."inline-source-map-0.6.2" - sources."inquirer-0.10.1" - (sources."insert-module-globals-7.2.0" // { - dependencies = [ - sources."concat-stream-1.6.2" - ]; - }) - (sources."insight-0.8.4" // { + sources."init-package-json-1.10.3" + (sources."inquirer-6.3.1" // { dependencies = [ - (sources."configstore-1.4.0" // { - dependencies = [ - sources."uuid-2.0.3" - ]; - }) - sources."write-file-atomic-1.3.4" - sources."xdg-basedir-2.0.0" + sources."mute-stream-0.0.7" ]; }) - sources."interpret-1.2.0" - sources."ipaddr.js-1.8.0" + sources."insight-0.10.3" + sources."ip-regex-2.1.0" + sources."ipaddr.js-1.9.0" + sources."is-accessor-descriptor-1.0.0" sources."is-buffer-1.1.6" + sources."is-callable-1.1.4" sources."is-ci-1.2.1" - sources."is-fullwidth-code-point-1.0.0" - sources."is-git-url-1.0.0" + sources."is-data-descriptor-1.0.0" + sources."is-date-object-1.0.1" + sources."is-descriptor-1.0.2" + sources."is-extendable-0.1.1" + sources."is-extglob-2.1.1" + sources."is-fullwidth-code-point-2.0.0" + sources."is-glob-4.0.1" sources."is-installed-globally-0.1.0" sources."is-npm-1.0.0" + (sources."is-number-3.0.0" // { + dependencies = [ + sources."kind-of-3.2.2" + ]; + }) sources."is-obj-1.0.1" sources."is-path-inside-1.0.1" + sources."is-plain-object-2.0.4" + sources."is-promise-2.1.0" sources."is-redirect-1.0.0" + sources."is-regex-1.0.4" sources."is-retry-allowed-1.1.0" sources."is-stream-1.1.0" + sources."is-symbol-1.0.2" sources."is-typedarray-1.0.0" sources."is-url-1.2.4" + sources."is-windows-1.0.2" sources."is-wsl-1.1.0" - sources."isarray-0.0.1" + sources."isarray-1.0.0" sources."isexe-2.0.0" + sources."isobject-3.0.1" sources."isstream-0.1.2" sources."jsbn-0.1.1" sources."json-parse-better-errors-1.0.2" sources."json-schema-0.2.3" sources."json-schema-traverse-0.4.1" - sources."json-stable-stringify-0.0.1" sources."json-stringify-safe-5.0.1" - sources."jsonify-0.0.0" - sources."jsonparse-1.3.1" + sources."jsonfile-4.0.0" sources."jsprim-1.4.1" - (sources."labeled-stream-splicer-2.0.1" // { - dependencies = [ - sources."isarray-2.0.4" - ]; - }) + sources."kind-of-6.0.2" sources."latest-version-3.1.0" - sources."lodash-3.10.1" - sources."lodash._getnative-3.9.1" - sources."lodash.debounce-3.1.1" - sources."lodash.memoize-3.0.4" - sources."loud-rejection-1.6.0" + sources."locate-path-2.0.0" + sources."lodash-4.17.11" + sources."lodash.debounce-4.0.8" + sources."loud-rejection-2.1.0" sources."lowercase-keys-1.0.1" sources."lru-cache-4.1.5" + sources."macos-release-2.2.0" sources."make-dir-1.3.0" - sources."md5.js-1.3.5" + sources."map-cache-0.2.2" + sources."map-visit-1.0.0" + sources."md5-file-4.0.0" sources."media-typer-0.3.0" sources."merge-descriptors-1.0.1" + sources."merge2-1.2.3" sources."methods-1.1.2" - sources."miller-rabin-4.0.1" + sources."micromatch-3.1.10" sources."mime-1.4.1" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" - sources."minimalistic-assert-1.0.1" - sources."minimalistic-crypto-utils-1.0.1" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" + sources."mimic-fn-1.2.0" sources."minimatch-3.0.4" sources."minimist-1.2.0" - (sources."mkdirp-0.5.1" // { + (sources."mixin-deep-1.3.1" // { dependencies = [ - sources."minimist-0.0.8" + sources."is-extendable-1.0.1" ]; }) - sources."module-deps-4.1.1" sources."ms-2.0.0" sources."mute-stream-0.0.8" + sources."nanomatch-1.2.13" sources."negotiator-0.6.1" + sources."nice-try-1.0.5" sources."nopt-4.0.1" sources."normalize-package-data-2.5.0" sources."npm-package-arg-6.1.0" sources."npm-run-path-2.0.2" - sources."number-is-nan-1.0.1" sources."oauth-sign-0.9.0" - sources."object-assign-4.1.1" - sources."object-keys-1.1.0" + (sources."object-copy-0.1.0" // { + dependencies = [ + sources."define-property-0.2.5" + sources."is-accessor-descriptor-0.1.6" + sources."is-data-descriptor-0.1.4" + (sources."is-descriptor-0.1.6" // { + dependencies = [ + sources."kind-of-5.1.0" + ]; + }) + sources."kind-of-3.2.2" + ]; + }) + sources."object-inspect-1.6.0" + sources."object-keys-1.1.1" + sources."object-visit-1.0.1" + sources."object.pick-1.3.0" + sources."objectorarray-1.0.3" sources."on-finished-2.3.0" sources."on-headers-1.0.2" sources."once-1.4.0" - sources."onetime-1.1.0" - sources."opener-1.5.1" + sources."onetime-2.0.1" sources."opn-5.5.0" - sources."os-browserify-0.1.2" sources."os-homedir-1.0.2" - sources."os-name-1.0.3" + sources."os-name-3.1.0" sources."os-tmpdir-1.0.2" sources."osenv-0.1.5" - sources."osx-release-1.1.0" sources."p-finally-1.0.0" + (sources."p-limit-1.3.0" // { + dependencies = [ + sources."p-try-1.0.0" + ]; + }) + sources."p-locate-2.0.0" + sources."p-try-2.2.0" sources."package-json-4.0.1" - sources."pako-0.2.9" - sources."parents-1.0.1" - sources."parse-asn1-5.1.4" - sources."parseurl-1.3.2" - sources."path-browserify-0.0.1" + sources."parent-module-1.0.1" + sources."parseurl-1.3.3" + sources."pascalcase-0.1.1" + sources."path-dirname-1.0.2" + sources."path-exists-3.0.0" sources."path-is-absolute-1.0.1" sources."path-is-inside-1.0.2" sources."path-key-2.0.1" sources."path-parse-1.0.6" - sources."path-platform-0.11.15" sources."path-to-regexp-0.1.7" - sources."pbkdf2-3.0.17" + sources."path-type-3.0.0" sources."performance-now-2.1.0" sources."pify-3.0.0" - sources."plist-2.1.0" + sources."pkg-up-2.0.0" + sources."plist-3.0.1" + sources."posix-character-classes-0.1.1" sources."prepend-http-1.0.4" - sources."process-0.11.10" - sources."process-nextick-args-1.0.7" sources."promzard-0.3.0" - sources."properties-parser-0.3.1" - sources."proxy-addr-2.0.4" + sources."proxy-addr-2.0.5" sources."pseudomap-1.0.2" sources."psl-1.1.31" - sources."public-encrypt-4.0.3" - sources."punycode-1.4.1" + sources."pump-3.0.0" + sources."punycode-2.1.1" sources."q-1.5.1" sources."qs-6.5.2" - sources."querystring-0.2.0" - sources."querystring-es3-0.2.1" - sources."randombytes-2.1.0" - sources."randomfill-1.0.4" sources."range-parser-1.2.0" sources."raw-body-2.3.3" sources."rc-1.2.8" sources."read-1.0.7" - sources."read-chunk-2.1.0" - sources."read-only-stream-2.0.0" - (sources."read-package-json-2.0.13" // { - dependencies = [ - sources."glob-7.1.3" - ]; - }) - (sources."readable-stream-2.3.6" // { + (sources."read-chunk-3.2.0" // { dependencies = [ - sources."isarray-1.0.0" - sources."process-nextick-args-2.0.0" - sources."string_decoder-1.1.1" + sources."pify-4.0.1" ]; }) - (sources."readline2-1.0.1" // { + (sources."read-package-json-2.0.13" // { dependencies = [ - sources."mute-stream-0.0.5" + sources."slash-1.0.0" ]; }) - sources."rechoir-0.6.2" + sources."regex-not-1.0.2" sources."registry-auth-token-3.4.0" sources."registry-url-3.1.0" - sources."request-2.88.0" - sources."resolve-1.10.0" - sources."restore-cursor-1.0.1" - (sources."rimraf-2.6.3" // { + sources."repeat-element-1.1.3" + sources."repeat-string-1.6.1" + (sources."request-2.88.0" // { dependencies = [ - sources."glob-7.1.3" + sources."punycode-1.4.1" + sources."tough-cookie-2.4.3" ]; }) - sources."ripemd160-2.0.2" - sources."run-async-0.1.0" - sources."rx-lite-3.1.2" + sources."resolve-1.10.0" + sources."resolve-from-4.0.0" + sources."resolve-url-0.2.1" + sources."restore-cursor-2.0.0" + sources."resumer-0.0.0" + sources."ret-0.1.15" + sources."run-async-2.3.0" + sources."rxjs-6.5.1" sources."safe-buffer-5.1.2" + sources."safe-regex-1.1.0" sources."safer-buffer-2.1.2" - sources."sax-0.3.5" - sources."semver-5.6.0" + sources."sax-1.1.4" + sources."semver-5.7.0" sources."semver-diff-2.1.0" sources."send-0.16.2" sources."serve-static-1.13.2" + (sources."set-value-2.0.0" // { + dependencies = [ + sources."extend-shallow-2.0.1" + ]; + }) sources."setprototypeof-1.1.0" - sources."sha.js-2.4.11" - sources."shasum-1.0.2" sources."shebang-command-1.2.0" sources."shebang-regex-1.0.0" - sources."shell-quote-1.6.1" - sources."shelljs-0.5.3" sources."signal-exit-3.0.2" - sources."simple-concat-1.0.0" - (sources."simple-plist-0.2.1" // { + sources."slash-2.0.0" + (sources."snapdragon-0.8.2" // { dependencies = [ - sources."base64-js-1.1.2" - sources."plist-2.0.1" + sources."define-property-0.2.5" + sources."extend-shallow-2.0.1" + (sources."is-accessor-descriptor-0.1.6" // { + dependencies = [ + sources."kind-of-3.2.2" + ]; + }) + (sources."is-data-descriptor-0.1.4" // { + dependencies = [ + sources."kind-of-3.2.2" + ]; + }) + sources."is-descriptor-0.1.6" + sources."kind-of-5.1.0" + ]; + }) + (sources."snapdragon-node-2.1.1" // { + dependencies = [ + sources."define-property-1.0.0" + ]; + }) + (sources."snapdragon-util-3.0.1" // { + dependencies = [ + sources."kind-of-3.2.2" ]; }) - sources."slash-1.0.0" - sources."slide-1.1.6" sources."source-map-0.5.7" + sources."source-map-resolve-0.5.2" + sources."source-map-url-0.4.0" sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" + sources."split-string-3.1.0" sources."sshpk-1.16.1" + (sources."static-extend-0.1.2" // { + dependencies = [ + sources."define-property-0.2.5" + (sources."is-accessor-descriptor-0.1.6" // { + dependencies = [ + sources."kind-of-3.2.2" + ]; + }) + (sources."is-data-descriptor-0.1.4" // { + dependencies = [ + sources."kind-of-3.2.2" + ]; + }) + sources."is-descriptor-0.1.6" + sources."kind-of-5.1.0" + ]; + }) sources."statuses-1.4.0" - sources."stream-browserify-2.0.2" - sources."stream-buffers-2.2.0" - sources."stream-combiner2-1.1.1" - sources."stream-http-2.8.3" - sources."stream-splicer-2.0.0" (sources."string-width-2.1.1" // { dependencies = [ - sources."ansi-regex-3.0.0" - sources."is-fullwidth-code-point-2.0.0" sources."strip-ansi-4.0.0" ]; }) - sources."string.prototype.codepointat-0.2.1" - sources."string_decoder-1.0.3" - sources."strip-ansi-3.0.1" + sources."string.prototype.trim-1.1.2" + (sources."strip-ansi-5.2.0" // { + dependencies = [ + sources."ansi-regex-4.1.0" + ]; + }) + sources."strip-bom-3.0.0" sources."strip-eof-1.0.0" sources."strip-json-comments-2.0.1" - sources."subarg-1.0.0" - sources."supports-color-2.0.0" - sources."syntax-error-1.4.0" - sources."tar-2.2.1" - sources."term-size-1.2.0" + sources."supports-color-5.5.0" + sources."tape-4.10.1" + (sources."term-size-1.2.0" // { + dependencies = [ + sources."cross-spawn-5.1.0" + sources."execa-0.7.0" + sources."get-stream-3.0.0" + ]; + }) sources."through-2.3.8" - sources."through2-2.0.5" sources."timed-out-4.0.1" - sources."timers-browserify-1.4.2" - sources."to-arraybuffer-1.0.1" - sources."tough-cookie-2.4.3" - sources."tty-browserify-0.0.1" + sources."tmp-0.0.33" + (sources."to-object-path-0.3.0" // { + dependencies = [ + sources."kind-of-3.2.2" + ]; + }) + sources."to-regex-3.0.2" + sources."to-regex-range-2.1.1" + sources."tough-cookie-3.0.1" + sources."tslib-1.9.3" sources."tunnel-agent-0.6.0" sources."tweetnacl-0.14.5" sources."type-is-1.6.16" - sources."typedarray-0.0.6" - sources."umd-3.0.3" - sources."undeclared-identifiers-1.1.3" sources."underscore-1.9.1" - sources."unique-string-1.0.0" - sources."unorm-1.5.0" - sources."unpipe-1.0.0" - sources."unzip-response-2.0.1" - (sources."update-notifier-2.5.0" // { + (sources."union-value-1.0.0" // { dependencies = [ - sources."ansi-styles-3.2.1" - sources."chalk-2.4.2" - sources."supports-color-5.5.0" + sources."extend-shallow-2.0.1" + sources."set-value-0.4.3" ]; }) - (sources."uri-js-4.2.2" // { + sources."unique-string-1.0.0" + sources."universalify-0.1.2" + sources."unpipe-1.0.0" + (sources."unset-value-1.0.0" // { dependencies = [ - sources."punycode-2.1.1" + (sources."has-value-0.3.1" // { + dependencies = [ + sources."isobject-2.1.0" + ]; + }) + sources."has-values-0.1.4" ]; }) - (sources."url-0.11.0" // { + sources."unzip-response-2.0.1" + (sources."update-notifier-2.5.0" // { dependencies = [ - sources."punycode-1.3.2" + sources."configstore-3.1.2" ]; }) + sources."uri-js-4.2.2" + sources."urix-0.1.0" sources."url-parse-lax-1.0.0" - sources."util-0.10.4" - sources."util-deprecate-1.0.2" + sources."use-3.1.1" sources."utils-merge-1.0.1" sources."uuid-3.3.2" - sources."valid-identifier-0.0.1" + sources."valid-identifier-0.0.2" sources."validate-npm-package-license-3.0.4" sources."validate-npm-package-name-3.0.0" sources."vary-1.1.2" sources."verror-1.10.0" - sources."vm-browserify-0.0.4" sources."which-1.3.1" sources."widest-line-2.0.1" - sources."win-release-1.1.1" + sources."windows-release-3.2.0" + (sources."with-open-file-0.1.6" // { + dependencies = [ + sources."pify-4.0.1" + ]; + }) sources."wrappy-1.0.2" sources."write-file-atomic-2.4.2" - sources."xcode-1.1.0" sources."xdg-basedir-3.0.0" - sources."xmlbuilder-8.2.2" + sources."xmlbuilder-9.0.7" sources."xmldom-0.1.27" - sources."xtend-4.0.1" sources."yallist-2.1.2" ]; buildInputs = globalBuildInputs; @@ -39474,7 +40411,7 @@ in sources."@types/events-3.0.0" sources."@types/glob-7.1.1" sources."@types/minimatch-3.0.3" - sources."@types/node-11.11.4" + sources."@types/node-11.13.7" sources."arr-diff-4.0.0" sources."arr-flatten-1.1.0" sources."arr-union-3.1.0" @@ -39519,11 +40456,11 @@ in ]; }) sources."collection-visit-1.0.0" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."concat-map-0.0.1" sources."copy-descriptor-0.1.1" - sources."cp-file-6.1.0" - sources."cpy-7.1.0" + sources."cp-file-6.2.0" + sources."cpy-7.2.0" sources."currently-unhandled-0.4.1" sources."debug-2.6.9" sources."decamelize-1.2.0" @@ -39583,7 +40520,7 @@ in ]; }) sources."glob-to-regexp-0.3.0" - sources."globby-9.1.0" + sources."globby-9.2.0" sources."graceful-fs-4.1.15" sources."has-value-1.0.0" (sources."has-values-1.0.0" // { @@ -39603,7 +40540,7 @@ in sources."is-descriptor-1.0.2" sources."is-extendable-0.1.1" sources."is-extglob-2.1.1" - sources."is-glob-4.0.0" + sources."is-glob-4.0.1" (sources."is-number-3.0.0" // { dependencies = [ sources."kind-of-3.2.2" @@ -39685,7 +40622,7 @@ in sources."ret-0.1.15" sources."safe-buffer-5.1.2" sources."safe-regex-1.1.0" - sources."semver-5.6.0" + sources."semver-5.7.0" (sources."set-value-2.0.0" // { dependencies = [ sources."extend-shallow-2.0.1" @@ -39727,7 +40664,7 @@ in sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" sources."split-string-3.1.0" (sources."static-extend-0.1.2" // { dependencies = [ @@ -39806,7 +40743,7 @@ in sources."@cycle/run-3.4.0" sources."@cycle/time-0.10.1" sources."@types/cookiejar-2.1.1" - sources."@types/node-11.11.4" + sources."@types/node-11.13.7" sources."@types/superagent-3.8.2" sources."ansi-escapes-3.2.0" sources."ansi-regex-2.1.1" @@ -39825,7 +40762,7 @@ in sources."color-name-1.1.3" sources."combine-errors-3.0.3" sources."combined-stream-1.0.7" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."cookiejar-2.1.2" sources."core-util-is-1.0.2" sources."cross-spawn-5.1.0" @@ -39872,8 +40809,8 @@ in sources."lru-cache-4.1.5" sources."methods-1.1.2" sources."mime-1.6.0" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."mimic-fn-1.2.0" sources."minimist-1.2.0" sources."ms-2.1.1" @@ -39885,7 +40822,7 @@ in sources."performance-now-2.1.0" sources."process-nextick-args-2.0.0" sources."pseudomap-1.0.2" - sources."qs-6.6.0" + sources."qs-6.7.0" sources."quicktask-1.1.0" sources."raf-3.3.2" sources."readable-stream-2.3.6" @@ -39937,58 +40874,94 @@ in create-react-app = nodeEnv.buildNodePackage { name = "create-react-app"; packageName = "create-react-app"; - version = "2.1.8"; + version = "3.0.0"; src = fetchurl { - url = "https://registry.npmjs.org/create-react-app/-/create-react-app-2.1.8.tgz"; - sha512 = "osHOZ3fw4BT4+L3CnhGsr/92CXEczQ/0fl/vd1Tbud4gT1ykt3a+zQlNJpOchDCnZjSqpHOxoWaH0XljFOhJLg=="; + url = "https://registry.npmjs.org/create-react-app/-/create-react-app-3.0.0.tgz"; + sha512 = "0Q54JOwb88ccGTIG6C9KAwY9sO7ZEKVsh3g1BcHr/NvdQjZu8j6jHqQQlgiGZeevjrOhPlPFdUnd2OaNdVGezA=="; }; dependencies = [ - sources."ansi-regex-2.1.1" - sources."ansi-styles-2.2.1" + sources."ansi-escapes-3.2.0" + sources."ansi-regex-3.0.0" + sources."ansi-styles-3.2.1" sources."balanced-match-1.0.0" sources."block-stream-0.0.9" sources."brace-expansion-1.1.11" sources."buffer-from-0.1.2" sources."builtins-1.0.3" - sources."chalk-1.1.3" - sources."commander-2.18.0" + sources."chalk-2.4.2" + sources."chardet-0.7.0" + sources."cli-cursor-2.1.0" + sources."cli-width-2.2.0" + sources."color-convert-1.9.3" + sources."color-name-1.1.3" + sources."commander-2.19.0" sources."concat-map-0.0.1" sources."core-util-is-1.0.2" - sources."cross-spawn-4.0.2" + (sources."cross-spawn-6.0.5" // { + dependencies = [ + sources."semver-5.7.0" + ]; + }) sources."debug-2.6.9" sources."duplexer2-0.0.2" - sources."envinfo-5.11.1" + sources."envinfo-7.1.0" sources."escape-string-regexp-1.0.5" - sources."fs-extra-5.0.0" + sources."external-editor-3.0.3" + sources."figures-2.0.0" + sources."fs-extra-7.0.1" sources."fs.realpath-1.0.0" sources."fstream-1.0.11" sources."fstream-ignore-1.0.5" sources."glob-7.1.3" sources."graceful-fs-4.1.15" - sources."has-ansi-2.0.0" + sources."has-flag-3.0.0" sources."hyperquest-2.1.3" + sources."iconv-lite-0.4.24" sources."inflight-1.0.6" sources."inherits-2.0.3" + sources."inquirer-6.2.2" + sources."is-fullwidth-code-point-2.0.0" + sources."is-promise-2.1.0" sources."isarray-0.0.1" sources."isexe-2.0.0" sources."jsonfile-4.0.0" - sources."lru-cache-4.1.5" + sources."lodash-4.17.11" + sources."mimic-fn-1.2.0" sources."minimatch-3.0.4" sources."minimist-0.0.8" sources."mkdirp-0.5.1" sources."ms-2.0.0" + sources."mute-stream-0.0.7" + sources."nice-try-1.0.5" sources."once-1.4.0" + sources."onetime-2.0.1" sources."os-tmpdir-1.0.2" sources."path-is-absolute-1.0.1" + sources."path-key-2.0.1" sources."process-nextick-args-2.0.0" - sources."pseudomap-1.0.2" sources."readable-stream-1.1.14" + sources."restore-cursor-2.0.0" sources."rimraf-2.6.3" + sources."run-async-2.3.0" + sources."rxjs-6.5.1" sources."safe-buffer-5.1.2" - sources."semver-5.5.1" + sources."safer-buffer-2.1.2" + sources."semver-6.0.0" + sources."shebang-command-1.2.0" + sources."shebang-regex-1.0.0" + sources."signal-exit-3.0.2" + (sources."string-width-2.1.1" // { + dependencies = [ + sources."strip-ansi-4.0.0" + ]; + }) sources."string_decoder-0.10.31" - sources."strip-ansi-3.0.1" - sources."supports-color-2.0.0" + (sources."strip-ansi-5.2.0" // { + dependencies = [ + sources."ansi-regex-4.1.0" + ]; + }) + sources."supports-color-5.5.0" sources."tar-2.2.1" (sources."tar-pack-3.4.1" // { dependencies = [ @@ -39997,12 +40970,14 @@ in sources."string_decoder-1.1.1" ]; }) + sources."through-2.3.8" (sources."through2-0.6.5" // { dependencies = [ sources."readable-stream-1.0.34" ]; }) sources."tmp-0.0.33" + sources."tslib-1.9.3" sources."uid-number-0.0.6" sources."universalify-0.1.2" sources."util-deprecate-1.0.2" @@ -40010,7 +40985,6 @@ in sources."which-1.3.1" sources."wrappy-1.0.2" sources."xtend-4.0.1" - sources."yallist-2.1.2" ]; buildInputs = globalBuildInputs; meta = { @@ -40048,7 +41022,7 @@ in sources."path-exists-3.0.0" sources."pseudomap-1.0.2" sources."regenerator-runtime-0.11.1" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."shebang-command-1.2.0" sources."shebang-regex-1.0.0" sources."source-map-0.5.7" @@ -40091,10 +41065,10 @@ in dat = nodeEnv.buildNodePackage { name = "dat"; packageName = "dat"; - version = "13.11.5"; + version = "13.13.0"; src = fetchurl { - url = "https://registry.npmjs.org/dat/-/dat-13.11.5.tgz"; - sha512 = "ORD9AdA61EiiuO5hoPkQgDgaJruAykPHXl0r9cRsIzKgGM/BCe7g957REaxCr2nilT+YWhlTloitAPc2B03DSg=="; + url = "https://registry.npmjs.org/dat/-/dat-13.13.0.tgz"; + sha512 = "zAmxI7qdWRyoTWQfMk0C2mO+WK4GJZhVu3H8Wz2dQXcaU17oSN9cpcZQp3iQkiZYB6+LL3YJic64T8yD82YB2Q=="; }; dependencies = [ sources."abstract-random-access-1.1.2" @@ -40196,7 +41170,7 @@ in sources."color-name-1.1.3" sources."colors-1.3.3" sources."combined-stream-1.0.7" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."concat-map-0.0.1" sources."concat-stream-1.6.2" sources."configstore-3.1.2" @@ -40211,7 +41185,7 @@ in sources."crypto-random-string-1.0.0" sources."cycle-1.0.3" sources."dashdash-1.14.1" - sources."dat-dns-3.2.0" + sources."dat-dns-3.2.1" sources."dat-doctor-2.1.2" sources."dat-encoding-5.0.1" sources."dat-ignore-2.1.2" @@ -40222,7 +41196,7 @@ in sources."neat-log-2.4.0" ]; }) - sources."dat-node-3.5.14" + sources."dat-node-3.5.15" sources."dat-registry-4.0.1" sources."dat-secret-storage-4.0.1" sources."dat-storage-1.1.1" @@ -40343,7 +41317,7 @@ in }) sources."http-methods-0.1.0" sources."http-signature-1.2.0" - (sources."hypercore-6.25.0" // { + (sources."hypercore-6.26.0" // { dependencies = [ sources."process-nextick-args-1.0.7" sources."unordered-set-2.0.1" @@ -40355,7 +41329,7 @@ in sources."varint-5.0.0" ]; }) - sources."hyperdrive-9.14.3" + sources."hyperdrive-9.14.5" sources."hyperdrive-http-4.4.0" (sources."hyperdrive-network-speed-2.1.0" // { dependencies = [ @@ -40436,9 +41410,9 @@ in sources."menu-string-1.3.0" sources."merkle-tree-stream-3.0.3" sources."micromatch-3.1.10" - sources."mime-2.4.0" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-2.4.2" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."mimic-response-1.0.1" sources."min-document-2.19.0" sources."minimatch-3.0.4" @@ -40460,7 +41434,7 @@ in sources."multistream-2.1.1" sources."mute-stream-0.0.8" sources."mutexify-1.2.0" - sources."nan-2.13.1" + sources."nan-2.13.2" sources."nanoassert-1.1.0" sources."nanobus-4.4.0" sources."nanomatch-1.2.13" @@ -40491,7 +41465,7 @@ in sources."kind-of-3.2.2" ]; }) - sources."object-keys-1.1.0" + sources."object-keys-1.1.1" sources."object-visit-1.0.1" sources."object.pick-1.3.0" sources."once-1.4.0" @@ -40524,7 +41498,7 @@ in sources."pump-3.0.0" sources."punycode-2.1.1" sources."qs-6.5.2" - sources."random-access-file-2.1.0" + sources."random-access-file-2.1.1" sources."random-access-memory-3.1.1" sources."random-access-storage-1.3.0" sources."randombytes-2.1.0" @@ -40549,7 +41523,7 @@ in sources."safe-buffer-5.1.2" sources."safe-regex-1.1.0" sources."safer-buffer-2.1.2" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."semver-diff-2.1.0" (sources."set-value-2.0.0" // { dependencies = [ @@ -40712,7 +41686,7 @@ in sources."utile-0.3.0" (sources."utp-native-2.1.3" // { dependencies = [ - sources."readable-stream-3.2.0" + sources."readable-stream-3.3.0" sources."unordered-set-2.0.1" ]; }) @@ -40836,11 +41810,11 @@ in sources."merge-descriptors-0.0.2" sources."methods-1.1.2" sources."mime-1.2.11" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimist-0.0.10" sources."ms-0.7.0" - sources."nan-2.13.1" + sources."nan-2.13.2" (sources."native-dns-git+https://github.com/okTurtles/node-dns.git#08433ec98f517eed3c6d5e47bdf62603539cd402" // { dependencies = [ sources."native-dns-packet-git+https://github.com/okTurtles/native-dns-packet.git#8bf2714c318cfe7d31bca2006385882ccbf503e4" @@ -40856,7 +41830,7 @@ in sources."negotiator-0.5.3" sources."on-finished-2.2.1" sources."optimist-0.6.1" - sources."parseurl-1.3.2" + sources."parseurl-1.3.3" sources."path-to-regexp-0.1.3" sources."pause-stream-0.0.11" sources."pkginfo-0.3.1" @@ -40911,10 +41885,10 @@ in elasticdump = nodeEnv.buildNodePackage { name = "elasticdump"; packageName = "elasticdump"; - version = "4.6.0"; + version = "5.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/elasticdump/-/elasticdump-4.6.0.tgz"; - sha512 = "AUHAYzYJNV6QlCxHlZt40iNXc00ibi2pLGwEjcIpXiNW7w28C57SW2bbVa8e+mYwgSr89GRi8RnihooOsZmOcg=="; + url = "https://registry.npmjs.org/elasticdump/-/elasticdump-5.1.0.tgz"; + sha512 = "V01evtLxcM9Zy7lkVJBKJo0M0mYt/D9qum8VL+SCvSSfrh6pYyw1K2gaIJaYDIl+r7eZZPXTSY+G8pJDRtAJAg=="; }; dependencies = [ sources."JSONStream-1.3.5" @@ -40923,7 +41897,7 @@ in sources."assert-plus-1.0.0" sources."async-2.6.2" sources."asynckit-0.4.0" - sources."aws-sdk-2.425.0" + sources."aws-sdk-2.441.0" sources."aws-sign2-0.7.0" sources."aws4-1.8.0" sources."base64-js-1.3.0" @@ -40974,8 +41948,8 @@ in sources."lodash.padstart-4.6.1" sources."lodash.repeat-4.1.0" sources."lossless-json-1.0.3" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimist-0.0.10" sources."oauth-sign-0.9.0" sources."optimist-0.6.1" @@ -40989,6 +41963,12 @@ in sources."request-2.88.0" sources."requestretry-3.1.0" sources."s3-stream-upload-2.0.2" + sources."s3signed-0.1.0" + (sources."s3urls-1.5.2" // { + dependencies = [ + sources."minimist-1.2.0" + ]; + }) sources."safe-buffer-5.1.2" sources."safer-buffer-2.1.2" sources."sax-1.2.1" @@ -41093,8 +42073,8 @@ in sources."collection-visit-1.0.0" sources."color-convert-1.9.3" sources."color-name-1.1.3" - sources."commander-2.19.0" - sources."component-emitter-1.2.1" + sources."commander-2.20.0" + sources."component-emitter-1.3.0" sources."content-disposition-0.5.2" sources."content-type-1.0.4" sources."cookie-0.3.1" @@ -41187,7 +42167,7 @@ in sources."inherits-2.0.3" sources."ini-1.3.5" sources."into-stream-3.1.0" - sources."ipaddr.js-1.8.0" + sources."ipaddr.js-1.9.0" sources."is-accessor-descriptor-1.0.0" sources."is-buffer-1.1.6" sources."is-data-descriptor-1.0.0" @@ -41221,8 +42201,8 @@ in sources."methods-1.1.2" sources."micromatch-3.1.10" sources."mime-1.4.1" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."mimic-response-1.0.1" sources."minimist-1.2.0" (sources."mixin-deep-1.3.1" // { @@ -41262,7 +42242,7 @@ in sources."p-is-promise-1.1.0" sources."p-timeout-2.0.1" sources."package-json-5.0.0" - sources."parseurl-1.3.2" + sources."parseurl-1.3.3" sources."pascalcase-0.1.1" sources."path-key-2.0.1" sources."path-to-regexp-0.1.7" @@ -41270,7 +42250,7 @@ in sources."posix-character-classes-0.1.1" sources."prepend-http-2.0.0" sources."process-nextick-args-2.0.0" - sources."proxy-addr-2.0.4" + sources."proxy-addr-2.0.5" sources."pump-3.0.0" sources."qs-6.5.2" sources."query-string-5.1.1" @@ -41292,7 +42272,7 @@ in sources."safe-regex-1.1.0" sources."safer-buffer-2.1.2" sources."sane-4.1.0" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."send-0.16.2" sources."serve-static-1.13.2" (sources."set-value-2.0.0" // { @@ -41398,7 +42378,7 @@ in sources."walker-1.0.7" sources."which-1.3.1" sources."wrappy-1.0.2" - sources."ws-6.2.0" + sources."ws-6.2.1" ]; buildInputs = globalBuildInputs; meta = { @@ -41426,7 +42406,7 @@ in sources."arr-union-3.1.0" sources."array-unique-0.2.1" sources."assign-symbols-1.0.0" - sources."async-each-1.0.2" + sources."async-each-1.0.3" sources."async-limiter-1.0.0" sources."atob-2.1.2" (sources."base-0.11.2" // { @@ -41435,7 +42415,7 @@ in sources."isobject-3.0.1" ]; }) - sources."binary-extensions-1.13.0" + sources."binary-extensions-1.13.1" sources."braces-1.8.5" (sources."cache-base-1.0.1" // { dependencies = [ @@ -41466,7 +42446,7 @@ in sources."cli-color-1.2.0" sources."collection-visit-1.0.0" sources."commander-2.17.1" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."connect-pushstate-1.1.0" sources."copy-descriptor-0.1.1" sources."core-util-is-1.0.2" @@ -41526,7 +42506,7 @@ in sources."for-own-0.1.5" sources."fragment-cache-0.2.1" sources."fresh-0.5.2" - sources."fsevents-1.2.7" + sources."fsevents-1.2.8" sources."get-stream-3.0.0" sources."get-value-2.0.6" sources."glob-base-0.3.0" @@ -41609,7 +42589,7 @@ in ]; }) sources."ms-2.0.0" - sources."nan-2.13.1" + sources."nan-2.13.2" (sources."nanomatch-1.2.13" // { dependencies = [ sources."arr-diff-4.0.0" @@ -41649,7 +42629,7 @@ in sources."os-tmpdir-1.0.2" sources."p-finally-1.0.0" sources."parse-glob-3.0.4" - sources."parseurl-1.3.2" + sources."parseurl-1.3.3" sources."pascalcase-0.1.1" sources."path-is-absolute-1.0.1" sources."path-key-2.0.1" @@ -41728,7 +42708,7 @@ in sources."ret-0.1.15" sources."safe-buffer-5.1.2" sources."safe-regex-1.1.0" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."send-0.16.2" sources."serve-static-1.13.2" (sources."set-value-2.0.0" // { @@ -41875,7 +42855,7 @@ in sources."asn1-0.2.4" sources."assert-plus-1.0.0" sources."assign-symbols-1.0.0" - sources."async-each-1.0.2" + sources."async-each-1.0.3" sources."asynckit-0.4.0" sources."atob-2.1.2" sources."aws-sign2-0.7.0" @@ -41888,10 +42868,10 @@ in }) sources."bcrypt-pbkdf-1.0.2" sources."binary-0.3.0" - sources."binary-extensions-1.13.0" + sources."binary-extensions-1.13.1" sources."binwrap-0.2.0" sources."block-stream-0.0.9" - sources."bluebird-3.5.3" + sources."bluebird-3.5.4" sources."brace-expansion-1.1.11" (sources."braces-2.3.2" // { dependencies = [ @@ -41906,7 +42886,7 @@ in sources."chalk-2.1.0" (sources."chokidar-2.1.2" // { dependencies = [ - sources."fsevents-1.2.7" + sources."fsevents-1.2.8" ]; }) (sources."class-utils-0.3.6" // { @@ -41930,7 +42910,7 @@ in sources."color-convert-1.9.3" sources."color-name-1.1.3" sources."combined-stream-1.0.7" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."concat-map-0.0.1" sources."copy-descriptor-0.1.1" sources."core-util-is-1.0.2" @@ -42023,7 +43003,7 @@ in sources."is-descriptor-1.0.2" sources."is-extendable-1.0.1" sources."is-extglob-2.1.1" - sources."is-glob-4.0.0" + sources."is-glob-4.0.1" (sources."is-number-3.0.0" // { dependencies = [ sources."kind-of-3.2.2" @@ -42049,8 +43029,8 @@ in sources."map-cache-0.2.2" sources."map-visit-1.0.0" sources."micromatch-3.1.10" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimatch-3.0.4" sources."minimist-1.2.0" sources."mixin-deep-1.3.1" @@ -42062,7 +43042,7 @@ in sources."ms-2.0.0" sources."murmur-hash-js-1.0.0" sources."mustache-2.3.2" - sources."nan-2.13.1" + sources."nan-2.13.2" sources."nanomatch-1.2.13" sources."node-elm-compiler-5.0.3" sources."normalize-path-3.0.0" @@ -42400,7 +43380,7 @@ in sources."private-0.1.8" sources."prop-types-15.7.2" sources."pseudomap-1.0.2" - sources."react-is-16.8.4" + sources."react-is-16.8.6" sources."read-pkg-1.1.0" (sources."read-pkg-up-1.0.1" // { dependencies = [ @@ -42420,7 +43400,7 @@ in sources."resolve-from-3.0.0" sources."restore-cursor-2.0.0" sources."safe-buffer-5.1.2" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."shebang-command-1.2.0" sources."shebang-regex-1.0.0" sources."signal-exit-3.0.2" @@ -42431,7 +43411,7 @@ in sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" (sources."string-width-2.1.1" // { dependencies = [ sources."strip-ansi-4.0.0" @@ -42475,10 +43455,10 @@ in eslint = nodeEnv.buildNodePackage { name = "eslint"; packageName = "eslint"; - version = "5.15.3"; + version = "5.16.0"; src = fetchurl { - url = "https://registry.npmjs.org/eslint/-/eslint-5.15.3.tgz"; - sha512 = "vMGi0PjCHSokZxE0NLp2VneGw5sio7SSiDNgIUn2tC0XkWJRNOIoHIg3CliLVfXnJsiHxGAYrkw0PieAu8+KYQ=="; + url = "https://registry.npmjs.org/eslint/-/eslint-5.16.0.tgz"; + sha512 = "S3Rz11i7c8AA5JPv7xAH+dOyq/Cu/VXHiHXBPOU1k/JAM5dXqQPt3qcrhpHSorXmrpu2g0gkIBVXAqCpzfoZIg=="; }; dependencies = [ sources."@babel/code-frame-7.0.0" @@ -42493,7 +43473,7 @@ in sources."astral-regex-1.0.0" sources."balanced-match-1.0.0" sources."brace-expansion-1.1.11" - sources."callsites-3.0.0" + sources."callsites-3.1.0" sources."chalk-2.4.2" sources."chardet-0.7.0" sources."cli-cursor-2.1.0" @@ -42535,7 +43515,7 @@ in sources."imurmurhash-0.1.4" sources."inflight-1.0.6" sources."inherits-2.0.3" - (sources."inquirer-6.2.2" // { + (sources."inquirer-6.3.1" // { dependencies = [ sources."strip-ansi-5.2.0" ]; @@ -42544,7 +43524,7 @@ in sources."is-promise-2.1.0" sources."isexe-2.0.0" sources."js-tokens-4.0.0" - sources."js-yaml-3.13.0" + sources."js-yaml-3.13.1" sources."json-schema-traverse-0.4.1" sources."json-stable-stringify-without-jsonify-1.0.1" sources."levn-0.3.0" @@ -42561,7 +43541,7 @@ in sources."onetime-2.0.1" sources."optionator-0.8.2" sources."os-tmpdir-1.0.2" - sources."parent-module-1.0.0" + sources."parent-module-1.0.1" sources."path-is-absolute-1.0.1" sources."path-is-inside-1.0.2" sources."path-key-2.0.1" @@ -42573,9 +43553,9 @@ in sources."restore-cursor-2.0.0" sources."rimraf-2.6.3" sources."run-async-2.3.0" - sources."rxjs-6.4.0" + sources."rxjs-6.5.1" sources."safer-buffer-2.1.2" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."shebang-command-1.2.0" sources."shebang-regex-1.0.0" sources."signal-exit-3.0.2" @@ -42636,7 +43616,7 @@ in sources."astral-regex-1.0.0" sources."balanced-match-1.0.0" sources."brace-expansion-1.1.11" - sources."callsites-3.0.0" + sources."callsites-3.1.0" sources."chalk-2.4.2" sources."chardet-0.7.0" sources."cli-cursor-2.1.0" @@ -42651,7 +43631,7 @@ in sources."doctrine-3.0.0" sources."emoji-regex-7.0.3" sources."escape-string-regexp-1.0.5" - sources."eslint-5.15.3" + sources."eslint-5.16.0" sources."eslint-scope-4.0.3" sources."eslint-utils-1.3.1" sources."eslint-visitor-keys-1.0.0" @@ -42680,7 +43660,7 @@ in sources."imurmurhash-0.1.4" sources."inflight-1.0.6" sources."inherits-2.0.3" - (sources."inquirer-6.2.2" // { + (sources."inquirer-6.3.1" // { dependencies = [ sources."strip-ansi-5.2.0" ]; @@ -42689,7 +43669,7 @@ in sources."is-promise-2.1.0" sources."isexe-2.0.0" sources."js-tokens-4.0.0" - sources."js-yaml-3.13.0" + sources."js-yaml-3.13.1" sources."json-schema-traverse-0.4.1" sources."json-stable-stringify-without-jsonify-1.0.1" sources."levn-0.3.0" @@ -42707,7 +43687,7 @@ in sources."onetime-2.0.1" sources."optionator-0.8.2" sources."os-tmpdir-1.0.2" - sources."parent-module-1.0.0" + sources."parent-module-1.0.1" sources."path-is-absolute-1.0.1" sources."path-is-inside-1.0.2" sources."path-key-2.0.1" @@ -42721,9 +43701,9 @@ in sources."restore-cursor-2.0.0" sources."rimraf-2.6.3" sources."run-async-2.3.0" - sources."rxjs-6.4.0" + sources."rxjs-6.5.1" sources."safer-buffer-2.1.2" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."shebang-command-1.2.0" sources."shebang-regex-1.0.0" sources."signal-exit-3.0.2" @@ -42872,8 +43852,8 @@ in sources."loud-rejection-1.6.0" sources."map-obj-1.0.1" sources."meow-3.7.0" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."mimic-fn-1.2.0" sources."minimist-1.2.0" (sources."mkdirp-0.5.1" // { @@ -42927,12 +43907,12 @@ in sources."restore-cursor-1.0.1" sources."safe-buffer-5.1.2" sources."safer-buffer-2.1.2" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."signal-exit-3.0.2" sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" sources."sshpk-1.16.1" sources."string_decoder-1.1.1" sources."strip-ansi-3.0.1" @@ -43026,7 +44006,7 @@ in sources."iconv-lite-0.4.24" sources."indent-string-3.2.0" sources."inherits-2.0.3" - (sources."inquirer-6.2.2" // { + (sources."inquirer-6.3.1" // { dependencies = [ sources."ansi-regex-4.1.0" sources."strip-ansi-5.2.0" @@ -43103,11 +44083,11 @@ in sources."resolve-1.10.0" sources."restore-cursor-2.0.0" sources."run-async-2.3.0" - sources."rxjs-6.4.0" + sources."rxjs-6.5.1" sources."safe-buffer-5.1.2" sources."safer-buffer-2.1.2" sources."sec-1.0.0" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."shebang-command-1.2.0" sources."shebang-regex-1.0.0" sources."signal-exit-3.0.2" @@ -43115,7 +44095,7 @@ in sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" sources."split2-2.2.0" sources."string-width-2.1.1" sources."string_decoder-1.1.1" @@ -43158,10 +44138,10 @@ in forever = nodeEnv.buildNodePackage { name = "forever"; packageName = "forever"; - version = "0.15.3"; + version = "1.0.0"; src = fetchurl { - url = "https://registry.npmjs.org/forever/-/forever-0.15.3.tgz"; - sha1 = "77d9d7e15fd2f511ad9d84a110c7dd8fc8ecebc2"; + url = "https://registry.npmjs.org/forever/-/forever-1.0.0.tgz"; + sha512 = "ZaNWo5aMdtfui5GZWxaPcmFPW/TaaJZgGE0/p47lhn0FGRhFehuG338zuUURIqjn0dZEmgNPUAahZMOdykEAiw=="; }; dependencies = [ sources."anymatch-1.3.2" @@ -43171,7 +44151,7 @@ in sources."array-unique-0.2.1" sources."assign-symbols-1.0.0" sources."async-0.2.10" - sources."async-each-1.0.2" + sources."async-each-1.0.3" sources."atob-2.1.2" sources."balanced-match-1.0.0" (sources."base-0.11.2" // { @@ -43180,12 +44160,13 @@ in sources."isobject-3.0.1" ]; }) - sources."binary-extensions-1.13.0" + sources."binary-extensions-1.13.1" sources."brace-expansion-1.1.11" sources."braces-1.8.5" (sources."broadway-0.3.6" // { dependencies = [ sources."cliff-0.1.9" + sources."utile-0.2.1" sources."winston-0.8.0" ]; }) @@ -43222,14 +44203,14 @@ in sources."clone-1.0.4" sources."collection-visit-1.0.0" sources."colors-0.6.2" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."concat-map-0.0.1" sources."copy-descriptor-0.1.1" sources."core-util-is-1.0.2" sources."cycle-1.0.3" sources."debug-2.6.9" sources."decode-uri-component-0.2.0" - sources."deep-equal-0.1.2" + sources."deep-equal-1.0.1" (sources."define-property-2.0.2" // { dependencies = [ sources."isobject-3.0.1" @@ -43261,10 +44242,14 @@ in }) sources."for-in-1.0.2" sources."for-own-0.1.5" - sources."forever-monitor-1.7.1" + (sources."forever-monitor-1.7.1" // { + dependencies = [ + sources."utile-0.2.1" + ]; + }) sources."fragment-cache-0.2.1" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.7" + sources."fsevents-1.2.8" sources."get-value-2.0.6" sources."glob-7.1.3" sources."glob-base-0.3.0" @@ -43344,7 +44329,7 @@ in }) sources."ms-2.0.0" sources."mute-stream-0.0.8" - sources."nan-2.13.1" + sources."nan-2.13.2" (sources."nanomatch-1.2.13" // { dependencies = [ sources."arr-diff-4.0.0" @@ -43400,7 +44385,11 @@ in ]; }) sources."process-nextick-args-2.0.0" - sources."prompt-0.2.14" + (sources."prompt-0.2.14" // { + dependencies = [ + sources."utile-0.2.1" + ]; + }) sources."ps-tree-0.0.3" (sources."randomatic-3.1.1" // { dependencies = [ @@ -43528,9 +44517,12 @@ in }) sources."string_decoder-1.1.1" sources."strip-json-comments-0.1.3" - sources."tape-2.3.3" + (sources."tape-2.3.3" // { + dependencies = [ + sources."deep-equal-0.1.2" + ]; + }) sources."through-2.3.8" - sources."timespan-2.3.0" sources."to-object-path-0.3.0" sources."to-regex-3.0.2" (sources."to-regex-range-2.1.1" // { @@ -43558,7 +44550,13 @@ in sources."urix-0.1.0" sources."use-3.1.1" sources."util-deprecate-1.0.2" - sources."utile-0.2.1" + (sources."utile-0.3.0" // { + dependencies = [ + sources."async-0.9.2" + sources."deep-equal-0.2.2" + sources."ncp-1.0.1" + ]; + }) sources."winston-0.8.3" sources."wordwrap-0.0.3" sources."wrappy-1.0.2" @@ -43588,7 +44586,7 @@ in sources."microee-0.0.6" sources."minilog-3.1.0" sources."ms-2.1.1" - sources."simple-git-1.107.0" + sources."simple-git-1.110.0" sources."tabtab-git+https://github.com/mixu/node-tabtab.git" ]; buildInputs = globalBuildInputs; @@ -43610,9 +44608,9 @@ in }; dependencies = [ sources."asyncmemo-1.0.0" - sources."chloride-2.2.12" + sources."chloride-2.2.14" sources."chloride-test-1.2.4" - sources."commander-2.19.0" + sources."commander-2.20.0" sources."debug-4.1.1" sources."deep-equal-1.0.1" sources."deep-extend-0.6.0" @@ -43637,7 +44635,7 @@ in sources."is-my-ip-valid-1.0.0" sources."is-my-json-valid-2.19.0" sources."is-property-1.0.2" - sources."is-valid-domain-0.0.7" + sources."is-valid-domain-0.0.9" sources."json-buffer-2.0.11" sources."jsonpointer-4.0.1" sources."kvgraph-0.1.0" @@ -43646,8 +44644,8 @@ in sources."libsodium-wrappers-0.7.4" sources."looper-4.0.0" sources."lrucache-1.0.3" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimist-1.2.0" (sources."mkdirp-0.5.1" // { dependencies = [ @@ -43658,11 +44656,11 @@ in sources."moo-0.4.3" sources."ms-2.1.1" sources."multicb-1.2.2" - sources."multiserver-3.3.1" + sources."multiserver-3.3.2" sources."multiserver-address-1.0.1" sources."multiserver-scopes-1.0.0" sources."muxrpc-6.4.2" - sources."nan-2.13.1" + sources."nan-2.13.2" sources."nearley-2.16.0" sources."node-gyp-build-3.8.0" sources."node-polyglot-1.0.0" @@ -43726,13 +44724,13 @@ in sources."ret-0.1.15" sources."safe-buffer-5.1.2" sources."secret-handshake-1.1.16" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."separator-escape-0.0.0" sources."sha.js-2.4.5" sources."smart-buffer-4.0.2" sources."socks-2.3.2" - sources."sodium-browserify-1.2.5" - (sources."sodium-browserify-tweetnacl-0.2.5" // { + sources."sodium-browserify-1.2.7" + (sources."sodium-browserify-tweetnacl-0.2.6" // { dependencies = [ sources."sha.js-2.4.11" sources."tweetnacl-1.0.1" @@ -43742,7 +44740,7 @@ in sources."sodium-native-2.3.0" sources."split-buffer-1.0.0" sources."ssb-avatar-0.2.0" - sources."ssb-client-4.7.1" + sources."ssb-client-4.7.2" sources."ssb-config-2.3.9" sources."ssb-git-0.5.0" sources."ssb-git-repo-2.8.3" @@ -43854,7 +44852,7 @@ in sources."babel-runtime-6.26.0" sources."balanced-match-1.0.0" sources."bcrypt-pbkdf-1.0.2" - sources."bluebird-3.5.3" + sources."bluebird-3.5.4" (sources."body-parser-1.18.3" // { dependencies = [ sources."iconv-lite-0.4.23" @@ -43877,7 +44875,7 @@ in sources."ci-info-1.6.0" sources."cli-boxes-1.0.0" sources."cli-cursor-2.1.0" - sources."cli-spinners-2.0.0" + sources."cli-spinners-2.1.0" sources."cli-width-2.2.0" sources."cliui-4.1.0" sources."clone-1.0.4" @@ -43893,7 +44891,7 @@ in }) sources."combined-stream-1.0.7" sources."command-exists-1.2.8" - sources."commander-2.19.0" + sources."commander-2.20.0" sources."common-tags-1.8.0" sources."concat-map-0.0.1" sources."configstore-3.1.2" @@ -43906,7 +44904,7 @@ in sources."core-util-is-1.0.2" sources."cosmiconfig-4.0.0" sources."create-error-class-3.0.2" - sources."creato-1.0.4" + sources."creato-1.0.5" (sources."cross-fetch-2.2.2" // { dependencies = [ sources."node-fetch-2.1.2" @@ -43968,7 +44966,11 @@ in ]; }) sources."extend-3.0.2" - sources."external-editor-3.0.3" + (sources."external-editor-3.0.3" // { + dependencies = [ + sources."tmp-0.0.33" + ]; + }) sources."extsprintf-1.3.0" sources."fast-deep-equal-2.0.1" sources."fast-json-stable-stringify-2.0.0" @@ -44005,7 +45007,7 @@ in sources."ms-2.1.1" ]; }) - sources."graphql-14.1.1" + sources."graphql-14.2.1" (sources."graphql-cli-prepare-1.4.19" // { dependencies = [ sources."chalk-2.3.1" @@ -44054,7 +45056,7 @@ in sources."inquirer-6.2.0" sources."invert-kv-1.0.0" sources."ip-regex-1.0.3" - sources."ipaddr.js-1.8.0" + sources."ipaddr.js-1.9.0" sources."is-arrayish-0.2.1" sources."is-ci-1.2.1" sources."is-directory-0.3.1" @@ -44083,7 +45085,7 @@ in sources."isstream-0.1.2" sources."iterall-1.2.2" sources."js-base64-2.5.1" - sources."js-yaml-3.13.0" + sources."js-yaml-3.13.1" sources."jsbn-0.1.1" sources."jsesc-2.5.2" sources."json-parse-better-errors-1.0.2" @@ -44141,8 +45143,8 @@ in sources."merge-descriptors-1.0.1" sources."methods-1.1.2" sources."mime-1.4.1" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."mimic-fn-1.2.0" sources."minimatch-3.0.4" sources."minimist-0.0.8" @@ -44179,7 +45181,7 @@ in sources."ono-4.0.11" sources."open-0.0.5" sources."opn-5.5.0" - (sources."ora-3.2.0" // { + (sources."ora-3.4.0" // { dependencies = [ sources."ansi-regex-4.1.0" sources."strip-ansi-5.2.0" @@ -44189,7 +45191,7 @@ in sources."os-tmpdir-1.0.2" sources."p-defer-1.0.0" sources."p-finally-1.0.0" - sources."p-is-promise-2.0.0" + sources."p-is-promise-2.1.0" sources."p-limit-1.3.0" sources."p-locate-2.0.0" sources."p-try-1.0.0" @@ -44198,7 +45200,7 @@ in sources."parse-github-url-1.0.2" sources."parse-json-4.0.0" sources."parse-passwd-1.0.0" - sources."parseurl-1.3.2" + sources."parseurl-1.3.3" sources."pascal-case-2.0.1" sources."path-case-2.1.1" sources."path-exists-3.0.0" @@ -44225,7 +45227,7 @@ in }) sources."process-nextick-args-2.0.0" sources."protochain-1.0.5" - sources."proxy-addr-2.0.4" + sources."proxy-addr-2.0.5" sources."prr-1.0.1" sources."pseudomap-1.0.2" sources."psl-1.1.31" @@ -44266,11 +45268,11 @@ in sources."restore-cursor-2.0.0" sources."rimraf-2.6.3" sources."run-async-2.3.0" - sources."rxjs-6.4.0" + sources."rxjs-6.5.1" sources."safe-buffer-5.1.2" sources."safer-buffer-2.1.2" sources."scuid-1.1.0" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."semver-diff-2.1.0" sources."send-0.16.2" sources."sentence-case-2.1.1" @@ -44284,7 +45286,7 @@ in sources."simple-errors-1.0.1" sources."snake-case-2.1.0" sources."source-map-0.5.7" - (sources."source-map-support-0.5.11" // { + (sources."source-map-support-0.5.12" // { dependencies = [ sources."source-map-0.6.1" ]; @@ -44292,7 +45294,7 @@ in sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" sources."sprintf-js-1.0.3" sources."sshpk-1.16.1" sources."statuses-1.4.0" @@ -44320,7 +45322,7 @@ in sources."through2-2.0.5" sources."timed-out-4.0.1" sources."title-case-2.1.1" - sources."tmp-0.0.33" + sources."tmp-0.1.0" sources."tmp-graphql-config-extension-openapi-1.0.7" sources."to-fast-properties-2.0.0" (sources."tough-cookie-2.4.3" // { @@ -44373,19 +45375,19 @@ in sources."yaml-ast-parser-0.0.40" (sources."yargs-12.0.5" // { dependencies = [ - sources."camelcase-5.2.0" + sources."camelcase-5.3.1" sources."execa-1.0.0" sources."find-up-3.0.0" sources."get-stream-4.1.0" sources."invert-kv-2.0.0" sources."lcid-2.0.0" sources."locate-path-3.0.0" - sources."mem-4.2.0" - sources."mimic-fn-2.0.0" + sources."mem-4.3.0" + sources."mimic-fn-2.1.0" sources."os-locale-3.1.0" sources."p-limit-2.2.0" sources."p-locate-3.0.0" - sources."p-try-2.1.0" + sources."p-try-2.2.0" sources."yargs-parser-11.1.1" ]; }) @@ -44448,7 +45450,7 @@ in ]; }) sources."collection-visit-1.0.0" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."copy-descriptor-0.1.1" sources."debug-2.6.9" sources."decode-uri-component-0.2.0" @@ -44491,7 +45493,7 @@ in ]; }) sources."findup-sync-2.0.0" - sources."fined-1.1.1" + sources."fined-1.2.0" sources."flagged-respawn-1.0.1" sources."for-in-1.0.2" sources."for-own-1.0.0" @@ -44674,10 +45676,10 @@ in gulp = nodeEnv.buildNodePackage { name = "gulp"; packageName = "gulp"; - version = "4.0.0"; + version = "4.0.1"; src = fetchurl { - url = "https://registry.npmjs.org/gulp/-/gulp-4.0.0.tgz"; - sha1 = "95766c601dade4a77ed3e7b2b6dc03881b596366"; + url = "https://registry.npmjs.org/gulp/-/gulp-4.0.1.tgz"; + sha512 = "yDVtVunxrAdsk7rIV/b7lVSBifPN1Eqe6wTjsESGrFcL+MEVzaaeNTkpUuGTUptloSOU+8oJm/lBJbgPV+tMAw=="; }; dependencies = [ sources."ansi-colors-1.1.0" @@ -44712,7 +45714,7 @@ in sources."array-unique-0.3.2" sources."assign-symbols-1.0.0" sources."async-done-1.3.1" - sources."async-each-1.0.2" + sources."async-each-1.0.3" sources."async-settle-1.0.0" sources."atob-2.1.2" sources."bach-1.2.0" @@ -44722,7 +45724,7 @@ in sources."define-property-1.0.0" ]; }) - sources."binary-extensions-1.13.0" + sources."binary-extensions-1.13.1" sources."brace-expansion-1.1.11" (sources."braces-2.3.2" // { dependencies = [ @@ -44733,7 +45735,7 @@ in sources."buffer-from-1.1.1" sources."cache-base-1.0.1" sources."camelcase-3.0.0" - (sources."chokidar-2.1.2" // { + (sources."chokidar-2.1.5" // { dependencies = [ sources."normalize-path-3.0.0" ]; @@ -44768,7 +45770,7 @@ in sources."collection-map-1.0.0" sources."collection-visit-1.0.0" sources."color-support-1.1.3" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."concat-map-0.0.1" sources."concat-stream-1.6.2" sources."convert-source-map-1.6.0" @@ -44834,12 +45836,8 @@ in ]; }) sources."find-up-1.1.2" - (sources."findup-sync-2.0.0" // { - dependencies = [ - sources."is-glob-3.1.0" - ]; - }) - sources."fined-1.1.1" + sources."findup-sync-3.0.0" + sources."fined-1.2.0" sources."flagged-respawn-1.0.1" sources."flush-write-stream-1.1.1" sources."for-in-1.0.2" @@ -44847,7 +45845,7 @@ in sources."fragment-cache-0.2.1" sources."fs-mkdirp-stream-1.0.0" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.7" + sources."fsevents-1.2.8" sources."function-bind-1.1.1" sources."get-caller-file-1.0.3" sources."get-value-2.0.6" @@ -44863,7 +45861,7 @@ in sources."global-prefix-1.0.2" sources."glogg-1.0.2" sources."graceful-fs-4.1.15" - sources."gulp-cli-2.0.1" + sources."gulp-cli-2.2.0" sources."gulplog-1.0.0" sources."has-symbols-1.0.0" sources."has-value-1.0.0" @@ -44889,7 +45887,7 @@ in sources."is-extendable-0.1.1" sources."is-extglob-2.1.1" sources."is-fullwidth-code-point-1.0.0" - sources."is-glob-4.0.0" + sources."is-glob-4.0.1" sources."is-negated-glob-1.0.0" (sources."is-number-3.0.0" // { dependencies = [ @@ -44912,12 +45910,17 @@ in sources."lazystream-1.0.0" sources."lcid-1.0.0" sources."lead-1.0.0" - sources."liftoff-2.5.0" + sources."liftoff-3.1.0" sources."load-json-file-1.1.0" sources."make-iterator-1.0.1" sources."map-cache-0.2.2" sources."map-visit-1.0.0" - sources."matchdep-2.0.0" + (sources."matchdep-2.0.0" // { + dependencies = [ + sources."findup-sync-2.0.0" + sources."is-glob-3.1.0" + ]; + }) sources."micromatch-3.1.10" sources."minimatch-3.0.4" (sources."mixin-deep-1.3.1" // { @@ -44927,12 +45930,12 @@ in }) sources."ms-2.0.0" sources."mute-stdout-1.0.1" - sources."nan-2.13.1" + sources."nan-2.13.2" sources."nanomatch-1.2.13" sources."next-tick-1.0.0" sources."normalize-package-data-2.5.0" sources."normalize-path-2.1.1" - sources."now-and-later-2.0.0" + sources."now-and-later-2.0.1" sources."number-is-nan-1.0.1" (sources."object-copy-0.1.0" // { dependencies = [ @@ -44947,7 +45950,7 @@ in sources."kind-of-3.2.2" ]; }) - sources."object-keys-1.1.0" + sources."object-keys-1.1.1" sources."object-visit-1.0.1" sources."object.assign-4.1.0" sources."object.defaults-1.1.0" @@ -45003,7 +46006,7 @@ in sources."ret-0.1.15" sources."safe-buffer-5.1.2" sources."safe-regex-1.1.0" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."semver-greatest-satisfied-range-1.1.0" sources."set-blocking-2.0.0" (sources."set-value-2.0.0" // { @@ -45046,7 +46049,7 @@ in sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" sources."split-string-3.1.0" sources."stack-trace-0.0.10" (sources."static-extend-0.1.2" // { @@ -45087,7 +46090,7 @@ in sources."to-through-2.0.0" sources."typedarray-0.0.6" sources."unc-path-regex-0.1.2" - sources."undertaker-1.2.0" + sources."undertaker-1.2.1" sources."undertaker-registry-1.0.1" (sources."union-value-1.0.0" // { dependencies = [ @@ -45128,7 +46131,7 @@ in buildInputs = globalBuildInputs; meta = { description = "The streaming build system."; - homepage = http://gulpjs.com/; + homepage = https://gulpjs.com/; license = "MIT"; }; production = true; @@ -45137,10 +46140,10 @@ in gulp-cli = nodeEnv.buildNodePackage { name = "gulp-cli"; packageName = "gulp-cli"; - version = "2.0.1"; + version = "2.2.0"; src = fetchurl { - url = "https://registry.npmjs.org/gulp-cli/-/gulp-cli-2.0.1.tgz"; - sha512 = "RxujJJdN8/O6IW2nPugl7YazhmrIEjmiVfPKrWt68r71UCaLKS71Hp0gpKT+F6qOUFtr7KqtifDKaAJPRVvMYQ=="; + url = "https://registry.npmjs.org/gulp-cli/-/gulp-cli-2.2.0.tgz"; + sha512 = "rGs3bVYHdyJpLqR0TUBnlcZ1O5O++Zs4bA0ajm+zr3WFCfiSLjGwoCBqFs18wzN+ZxahT9DkOK5nDf26iDsWjA=="; }; dependencies = [ sources."ansi-colors-1.1.0" @@ -45183,7 +46186,7 @@ in sources."code-point-at-1.1.0" sources."collection-visit-1.0.0" sources."color-support-1.1.3" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."concat-stream-1.6.2" sources."copy-descriptor-0.1.1" sources."copy-props-2.0.4" @@ -45230,8 +46233,8 @@ in ]; }) sources."find-up-1.1.2" - sources."findup-sync-2.0.0" - sources."fined-1.1.1" + sources."findup-sync-3.0.0" + sources."fined-1.2.0" sources."flagged-respawn-1.0.1" sources."for-in-1.0.2" sources."for-own-1.0.0" @@ -45276,7 +46279,7 @@ in sources."is-extendable-0.1.1" sources."is-extglob-2.1.1" sources."is-fullwidth-code-point-1.0.0" - sources."is-glob-3.1.0" + sources."is-glob-4.0.1" (sources."is-number-3.0.0" // { dependencies = [ sources."kind-of-3.2.2" @@ -45292,7 +46295,7 @@ in sources."isobject-3.0.1" sources."kind-of-5.1.0" sources."lcid-1.0.0" - sources."liftoff-2.5.0" + sources."liftoff-3.1.0" sources."load-json-file-1.1.0" (sources."make-iterator-1.0.1" // { dependencies = [ @@ -45301,7 +46304,12 @@ in }) sources."map-cache-0.2.2" sources."map-visit-1.0.0" - sources."matchdep-2.0.0" + (sources."matchdep-2.0.0" // { + dependencies = [ + sources."findup-sync-2.0.0" + sources."is-glob-3.1.0" + ]; + }) (sources."micromatch-3.1.10" // { dependencies = [ sources."kind-of-6.0.2" @@ -45373,7 +46381,7 @@ in sources."ret-0.1.15" sources."safe-buffer-5.1.2" sources."safe-regex-1.1.0" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."semver-greatest-satisfied-range-1.1.0" sources."set-blocking-2.0.0" (sources."set-value-2.0.0" // { @@ -45408,7 +46416,7 @@ in sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" sources."split-string-3.1.0" sources."stack-trace-0.0.10" (sources."static-extend-0.1.2" // { @@ -45549,8 +46557,8 @@ in sources."json-stringify-safe-5.0.1" sources."jsprim-1.4.1" sources."lodash-4.17.11" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimatch-3.0.4" sources."oauth-sign-0.9.0" sources."once-1.4.0" @@ -45595,26 +46603,22 @@ in html-minifier = nodeEnv.buildNodePackage { name = "html-minifier"; packageName = "html-minifier"; - version = "3.5.21"; + version = "4.0.0"; src = fetchurl { - url = "https://registry.npmjs.org/html-minifier/-/html-minifier-3.5.21.tgz"; - sha512 = "LKUKwuJDhxNa3uf/LPR/KVjm/l3rBqtYeCOAekvG8F1vItxMUpueGd94i/asDDr8/1u7InxzFA5EeGjhhG5mMA=="; + url = "https://registry.npmjs.org/html-minifier/-/html-minifier-4.0.0.tgz"; + sha512 = "aoGxanpFPLg7MkIl/DDFYtb0iWz7jMFGqFhvEDZga6/4QTjneiD8I/NXL1x5aaoCp7FSIT6h/OhykDdPsbtMig=="; }; dependencies = [ sources."camel-case-3.0.0" sources."clean-css-4.2.1" - sources."commander-2.17.1" + sources."commander-2.20.0" sources."he-1.2.0" sources."lower-case-1.1.4" sources."no-case-2.3.2" sources."param-case-2.1.1" sources."relateurl-0.2.7" sources."source-map-0.6.1" - (sources."uglify-js-3.4.10" // { - dependencies = [ - sources."commander-2.19.0" - ]; - }) + sources."uglify-js-3.5.6" sources."upper-case-1.1.3" ]; buildInputs = globalBuildInputs; @@ -45715,7 +46719,7 @@ in sources."npm-2.15.12" sources."once-1.4.0" sources."path-is-absolute-1.0.1" - sources."readable-stream-3.2.0" + sources."readable-stream-3.3.0" sources."safe-buffer-5.1.2" sources."sprintf-js-1.0.3" sources."string_decoder-1.2.0" @@ -45753,7 +46757,7 @@ in sources."@ionic/utils-stream-0.0.1" sources."@ionic/utils-subprocess-0.1.0" sources."@ionic/utils-terminal-0.0.1" - sources."@types/node-8.10.44" + sources."@types/node-8.10.46" sources."agent-base-4.2.1" (sources."ansi-align-2.0.0" // { dependencies = [ @@ -45764,7 +46768,7 @@ in sources."ansi-escapes-3.2.0" sources."ansi-regex-3.0.0" sources."ansi-styles-3.2.1" - sources."ast-types-0.12.2" + sources."ast-types-0.12.3" sources."astral-regex-1.0.0" sources."async-limiter-1.0.0" sources."asynckit-0.4.0" @@ -45776,7 +46780,7 @@ in ]; }) sources."brace-expansion-1.1.11" - sources."bytes-3.0.0" + sources."bytes-3.1.0" sources."camelcase-4.1.0" sources."capture-stack-trace-1.0.1" sources."chalk-2.4.2" @@ -45790,7 +46794,7 @@ in sources."color-convert-1.9.3" sources."color-name-1.1.3" sources."combined-stream-1.0.7" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."concat-map-0.0.1" sources."configstore-3.1.2" sources."cookiejar-2.1.2" @@ -45798,7 +46802,7 @@ in sources."create-error-class-3.0.2" sources."cross-spawn-6.0.5" sources."crypto-random-string-1.0.0" - sources."data-uri-to-buffer-2.0.0" + sources."data-uri-to-buffer-2.0.1" sources."debug-4.1.1" sources."deep-extend-0.6.0" sources."deep-is-0.1.3" @@ -45811,6 +46815,7 @@ in sources."duplexer3-0.1.4" sources."elementtree-0.1.7" sources."emoji-regex-7.0.3" + sources."end-of-stream-1.4.1" sources."es6-promise-4.2.6" sources."es6-promisify-5.0.0" sources."escape-string-regexp-1.0.5" @@ -45818,7 +46823,7 @@ in sources."esprima-3.1.3" sources."estraverse-4.2.0" sources."esutils-2.0.2" - sources."execa-0.10.0" + sources."execa-1.0.0" sources."extend-3.0.2" sources."external-editor-3.0.3" sources."fast-levenshtein-2.0.6" @@ -45836,18 +46841,22 @@ in sources."string_decoder-0.10.31" ]; }) - sources."get-stream-3.0.0" + sources."get-stream-4.1.0" (sources."get-uri-2.0.3" // { dependencies = [ - sources."readable-stream-3.2.0" + sources."readable-stream-3.3.0" ]; }) sources."glob-7.1.3" sources."global-dirs-0.1.1" - sources."got-6.7.1" + (sources."got-6.7.1" // { + dependencies = [ + sources."get-stream-3.0.0" + ]; + }) sources."graceful-fs-4.1.15" sources."has-flag-3.0.0" - sources."http-errors-1.6.3" + sources."http-errors-1.7.2" (sources."http-proxy-agent-2.1.0" // { dependencies = [ sources."debug-3.1.0" @@ -45865,7 +46874,7 @@ in sources."inflight-1.0.6" sources."inherits-2.0.3" sources."ini-1.3.5" - (sources."inquirer-6.2.2" // { + (sources."inquirer-6.3.1" // { dependencies = [ (sources."string-width-2.1.1" // { dependencies = [ @@ -45918,12 +46927,12 @@ in }) sources."lowercase-keys-1.0.1" sources."lru-cache-4.1.5" - sources."macos-release-2.1.0" + sources."macos-release-2.2.0" sources."make-dir-1.3.0" sources."methods-1.1.2" - sources."mime-2.4.0" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-2.4.2" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."mimic-fn-1.2.0" sources."minimatch-3.0.4" sources."minimist-1.2.0" @@ -45947,7 +46956,7 @@ in sources."onetime-2.0.1" sources."opn-5.5.0" sources."optionator-0.8.2" - sources."os-name-3.0.0" + sources."os-name-3.1.0" sources."os-tmpdir-1.0.2" sources."p-finally-1.0.0" (sources."pac-proxy-agent-3.0.0" // { @@ -45971,12 +46980,9 @@ in }) sources."proxy-from-env-1.0.0" sources."pseudomap-1.0.2" - sources."qs-6.6.0" - (sources."raw-body-2.3.3" // { - dependencies = [ - sources."iconv-lite-0.4.23" - ]; - }) + sources."pump-3.0.0" + sources."qs-6.7.0" + sources."raw-body-2.4.0" sources."rc-1.2.8" sources."readable-stream-2.3.6" sources."registry-auth-token-3.4.0" @@ -45985,13 +46991,13 @@ in sources."rimraf-2.6.3" sources."rsvp-3.6.2" sources."run-async-2.3.0" - sources."rxjs-6.4.0" + sources."rxjs-6.5.1" sources."safe-buffer-5.1.2" sources."safer-buffer-2.1.2" sources."sax-1.1.4" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."semver-diff-2.1.0" - sources."setprototypeof-1.1.0" + sources."setprototypeof-1.1.1" sources."shebang-command-1.2.0" sources."shebang-regex-1.0.0" sources."signal-exit-3.0.2" @@ -46002,10 +47008,10 @@ in sources."source-map-0.6.1" (sources."split2-3.1.1" // { dependencies = [ - sources."readable-stream-3.2.0" + sources."readable-stream-3.3.0" ]; }) - sources."ssh-config-1.1.5" + sources."ssh-config-1.1.6" sources."statuses-1.5.0" sources."stream-combiner2-1.1.1" sources."string-width-3.1.0" @@ -46019,7 +47025,7 @@ in sources."strip-json-comments-2.0.1" (sources."superagent-4.1.0" // { dependencies = [ - sources."readable-stream-3.2.0" + sources."readable-stream-3.3.0" ]; }) (sources."superagent-proxy-2.0.0" // { @@ -46037,6 +47043,7 @@ in dependencies = [ sources."cross-spawn-5.1.0" sources."execa-0.7.0" + sources."get-stream-3.0.0" ]; }) sources."through-2.3.8" @@ -46044,6 +47051,7 @@ in sources."thunkify-2.1.2" sources."timed-out-4.0.1" sources."tmp-0.0.33" + sources."toidentifier-1.0.0" sources."tree-kill-1.2.1" sources."tslib-1.9.3" sources."type-check-0.3.2" @@ -46063,7 +47071,7 @@ in sources."strip-ansi-4.0.0" ]; }) - sources."windows-release-3.1.0" + sources."windows-release-3.2.0" sources."wordwrap-1.0.0" (sources."wrap-ansi-4.0.0" // { dependencies = [ @@ -46073,7 +47081,7 @@ in }) sources."wrappy-1.0.2" sources."write-file-atomic-2.4.2" - sources."ws-6.2.0" + sources."ws-6.2.1" sources."xdg-basedir-3.0.0" sources."xregexp-2.0.0" sources."yallist-2.1.2" @@ -46138,7 +47146,7 @@ in }) sources."moment-2.24.0" sources."mv-2.1.1" - sources."nan-2.13.1" + sources."nan-2.13.2" sources."ncp-2.0.0" sources."once-1.4.0" sources."optimist-0.6.1" @@ -46180,7 +47188,7 @@ in sources."commander-2.11.0" sources."node-fetch-2.3.0" sources."safe-buffer-5.1.2" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."source-map-0.7.3" sources."ultron-1.1.1" sources."ws-3.3.3" @@ -46250,13 +47258,13 @@ in sources."check-error-1.0.2" sources."color-convert-1.9.3" sources."color-name-1.1.3" - sources."commander-2.19.0" + sources."commander-2.20.0" sources."concat-map-0.0.1" sources."deep-eql-3.0.1" sources."deep-equal-1.0.1" sources."error-7.0.2" sources."escape-string-regexp-1.0.5" - sources."fast-json-patch-2.0.7" + sources."fast-json-patch-2.1.0" sources."fs.realpath-1.0.0" sources."get-func-name-2.0.0" sources."glob-7.1.3" @@ -46310,6 +47318,476 @@ in production = true; bypassCache = true; }; + joplin = nodeEnv.buildNodePackage { + name = "joplin"; + packageName = "joplin"; + version = "1.0.124"; + src = fetchurl { + url = "https://registry.npmjs.org/joplin/-/joplin-1.0.124.tgz"; + sha512 = "+ADcAggh5HUOZLEW3Q60KcV7Mz86w+2wYrkG6mHtnyJ+nqEdXHWwOG3g61QH9A+3w3vSFDUvEMs6wraGg+ySQQ=="; + }; + dependencies = [ + sources."@cronvel/get-pixels-3.3.1" + sources."abab-2.0.0" + sources."abbrev-1.1.1" + sources."acorn-5.7.3" + (sources."acorn-globals-4.3.2" // { + dependencies = [ + sources."acorn-6.1.1" + ]; + }) + sources."acorn-walk-6.1.1" + sources."ajv-6.10.0" + (sources."ansi-escape-sequences-4.1.0" // { + dependencies = [ + sources."array-back-3.1.0" + ]; + }) + sources."ansi-regex-2.1.1" + sources."ansi-styles-3.2.1" + sources."app-module-path-2.2.0" + sources."aproba-1.2.0" + sources."are-we-there-yet-1.1.5" + (sources."argparse-1.0.10" // { + dependencies = [ + sources."sprintf-js-1.0.3" + ]; + }) + sources."array-back-2.0.0" + sources."array-equal-1.0.0" + sources."asap-2.0.6" + sources."asn1-0.2.4" + sources."assert-plus-1.0.0" + sources."async-limiter-1.0.0" + sources."async-mutex-0.1.3" + sources."asynckit-0.4.0" + sources."aws-sign2-0.7.0" + sources."aws4-1.8.0" + sources."balanced-match-1.0.0" + sources."base-64-0.1.0" + sources."bcrypt-pbkdf-1.0.2" + sources."bl-1.2.2" + sources."brace-expansion-1.1.11" + sources."browser-process-hrtime-0.1.3" + sources."buffer-alloc-1.2.0" + sources."buffer-alloc-unsafe-1.1.0" + sources."buffer-fill-1.0.0" + sources."camel-case-3.0.0" + sources."camelcase-4.1.0" + sources."caseless-0.12.0" + sources."chalk-2.4.2" + sources."charenc-0.0.2" + sources."chownr-1.1.1" + sources."clean-css-4.2.1" + sources."cliss-0.0.2" + sources."code-point-at-1.1.0" + sources."color-3.1.1" + sources."color-convert-1.9.3" + sources."color-name-1.1.3" + sources."color-string-1.5.3" + sources."combined-stream-1.0.7" + sources."command-line-usage-4.1.0" + sources."commander-2.17.1" + sources."compare-version-0.1.2" + sources."concat-map-0.0.1" + sources."console-control-strings-1.1.0" + sources."core-util-is-1.0.2" + sources."crypt-0.0.2" + sources."cssom-0.3.6" + sources."cssstyle-1.2.2" + sources."cwise-compiler-1.1.3" + sources."dashdash-1.14.1" + (sources."data-urls-1.1.0" // { + dependencies = [ + sources."whatwg-url-7.0.0" + ]; + }) + sources."debug-3.2.6" + sources."decompress-response-3.3.0" + sources."deep-extend-0.6.0" + sources."deep-is-0.1.3" + sources."deepmerge-2.2.1" + sources."delayed-stream-1.0.0" + sources."delegates-1.0.0" + sources."detect-libc-1.0.3" + sources."diacritics-1.3.0" + sources."domexception-1.0.1" + sources."ecc-jsbn-0.1.2" + (sources."emphasize-1.5.0" // { + dependencies = [ + sources."ansi-styles-2.2.1" + sources."chalk-1.1.3" + sources."strip-ansi-3.0.1" + sources."supports-color-2.0.0" + ]; + }) + sources."encoding-0.1.12" + sources."end-of-stream-1.4.1" + sources."entities-1.1.2" + sources."es6-promise-pool-2.5.0" + sources."escape-string-regexp-1.0.5" + sources."escodegen-1.11.1" + sources."esprima-3.1.3" + sources."estraverse-4.2.0" + sources."esutils-2.0.2" + sources."expand-template-1.1.1" + sources."extend-3.0.2" + sources."extsprintf-1.3.0" + sources."fast-deep-equal-2.0.1" + sources."fast-json-stable-stringify-2.0.0" + sources."fast-levenshtein-2.0.6" + sources."fault-1.0.2" + sources."file-type-10.11.0" + sources."find-up-2.1.0" + sources."follow-redirects-1.7.0" + sources."for-each-property-0.0.4" + sources."for-each-property-deep-0.0.3" + sources."forever-agent-0.6.1" + sources."form-data-2.3.3" + sources."format-0.2.2" + sources."fs-constants-1.0.0" + sources."fs-copy-file-sync-1.1.1" + sources."fs-extra-5.0.0" + sources."fs-minipass-1.2.5" + sources."fs.realpath-1.0.0" + (sources."gauge-2.7.4" // { + dependencies = [ + sources."strip-ansi-3.0.1" + ]; + }) + sources."get-prototype-chain-1.0.1" + sources."get-stdin-5.0.1" + sources."getpass-0.1.7" + sources."github-from-package-0.0.0" + sources."glob-7.1.3" + sources."graceful-fs-4.1.15" + sources."har-schema-2.0.0" + sources."har-validator-5.1.3" + sources."has-ansi-2.0.0" + sources."has-flag-3.0.0" + sources."has-unicode-2.0.1" + sources."he-1.2.0" + sources."highlight.js-9.12.0" + sources."html-encoding-sniffer-1.0.2" + sources."html-entities-1.2.1" + sources."html-minifier-3.5.21" + sources."http-signature-1.2.0" + sources."iconv-lite-0.4.24" + sources."ignore-walk-3.0.1" + (sources."image-data-uri-2.0.0" // { + dependencies = [ + sources."fs-extra-0.26.7" + sources."jsonfile-2.4.0" + ]; + }) + sources."image-type-3.1.0" + sources."inflight-1.0.6" + sources."inherits-2.0.3" + sources."ini-1.3.5" + (sources."inspect-function-0.2.2" // { + dependencies = [ + sources."split-skip-0.0.1" + ]; + }) + (sources."inspect-parameters-declaration-0.0.9" // { + dependencies = [ + sources."magicli-0.0.5" + ]; + }) + (sources."inspect-property-0.0.6" // { + dependencies = [ + sources."inspect-function-0.3.4" + (sources."inspect-parameters-declaration-0.0.8" // { + dependencies = [ + sources."split-skip-0.0.2" + ]; + }) + (sources."magicli-0.0.5" // { + dependencies = [ + sources."inspect-function-0.2.2" + ]; + }) + sources."split-skip-0.0.1" + ]; + }) + sources."iota-array-1.0.0" + sources."is-absolute-0.2.6" + sources."is-arrayish-0.3.2" + sources."is-buffer-1.1.6" + sources."is-fullwidth-code-point-1.0.0" + sources."is-relative-0.2.1" + sources."is-stream-1.1.0" + sources."is-typedarray-1.0.0" + sources."is-unc-path-0.1.2" + sources."is-windows-0.2.0" + (sources."is2-0.0.9" // { + dependencies = [ + sources."deep-is-0.1.2" + ]; + }) + sources."isarray-1.0.0" + sources."isstream-0.1.2" + sources."joplin-turndown-4.0.11" + sources."joplin-turndown-plugin-gfm-1.0.7" + sources."jpeg-js-0.1.2" + sources."js-tokens-4.0.0" + sources."jsbn-0.1.1" + sources."jsdom-11.12.0" + sources."json-schema-0.2.3" + sources."json-schema-traverse-0.4.1" + sources."json-stringify-safe-5.0.1" + sources."jsonfile-4.0.0" + sources."jsprim-1.4.1" + sources."jssha-2.3.1" + sources."klaw-1.3.1" + sources."lazyness-1.1.1" + sources."left-pad-1.3.0" + sources."levenshtein-1.0.5" + sources."levn-0.3.0" + sources."linkify-it-2.1.0" + sources."locate-path-2.0.0" + sources."lodash-4.17.11" + sources."lodash-es-4.17.11" + sources."lodash.padend-4.6.1" + sources."lodash.sortby-4.7.0" + sources."lodash.toarray-4.4.0" + sources."loose-envify-1.4.0" + sources."lower-case-1.1.4" + sources."lowlight-1.9.2" + sources."magicli-0.0.8" + sources."markdown-it-8.4.2" + sources."md5-2.2.1" + sources."mdurl-1.0.1" + sources."mime-2.4.2" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" + sources."mimic-response-1.0.1" + sources."minimatch-3.0.4" + sources."minimist-0.0.8" + sources."minipass-2.3.5" + sources."minizlib-1.2.1" + sources."mkdirp-0.5.1" + sources."moment-2.24.0" + sources."ms-2.1.1" + sources."nan-2.13.2" + sources."ndarray-1.0.18" + sources."ndarray-pack-1.2.1" + (sources."needle-2.3.0" // { + dependencies = [ + sources."debug-4.1.1" + ]; + }) + sources."nextgen-events-1.1.1" + sources."no-case-2.3.2" + sources."node-abi-2.7.1" + sources."node-bitmap-0.0.1" + sources."node-emoji-1.10.0" + sources."node-fetch-1.7.3" + sources."node-persist-2.1.0" + sources."node-pre-gyp-0.11.0" + sources."noop-logger-0.1.1" + sources."nopt-4.0.1" + sources."npm-bundled-1.0.6" + sources."npm-packlist-1.4.1" + sources."npmlog-4.1.2" + sources."number-is-nan-1.0.1" + sources."nwsapi-2.1.3" + sources."oauth-sign-0.9.0" + sources."object-assign-4.1.1" + (sources."object-to-arguments-0.0.8" // { + dependencies = [ + sources."inspect-parameters-declaration-0.0.10" + sources."magicli-0.0.5" + ]; + }) + sources."omggif-1.0.9" + sources."once-1.4.0" + sources."optionator-0.8.2" + sources."os-homedir-1.0.2" + sources."os-tmpdir-1.0.2" + sources."osenv-0.1.5" + sources."p-limit-1.3.0" + sources."p-locate-2.0.0" + sources."p-try-1.0.0" + sources."param-case-2.1.1" + sources."parse5-4.0.0" + sources."path-exists-3.0.0" + sources."path-is-absolute-1.0.1" + sources."performance-now-2.1.0" + sources."pify-3.0.0" + sources."pipe-functions-1.3.0" + sources."pn-1.1.0" + sources."pngjs-2.3.1" + (sources."prebuild-install-4.0.0" // { + dependencies = [ + sources."minimist-1.2.0" + ]; + }) + sources."prelude-ls-1.1.2" + sources."process-nextick-args-2.0.0" + sources."promise-7.3.1" + sources."proper-lockfile-2.0.1" + sources."psl-1.1.31" + sources."pump-2.0.1" + sources."punycode-2.1.1" + sources."q-1.1.2" + sources."qs-6.5.2" + sources."query-string-4.3.4" + sources."querystringify-2.1.1" + (sources."rc-1.2.8" // { + dependencies = [ + sources."minimist-1.2.0" + ]; + }) + sources."read-chunk-2.1.0" + sources."readable-stream-2.3.6" + sources."reduce-flatten-1.0.1" + sources."redux-3.7.2" + sources."relateurl-0.2.7" + sources."request-2.88.0" + sources."request-promise-core-1.1.2" + sources."request-promise-native-1.0.7" + sources."requires-port-1.0.0" + sources."retry-0.10.1" + sources."rimraf-2.6.3" + sources."safe-buffer-5.1.2" + sources."safer-buffer-2.1.2" + sources."sax-1.2.4" + sources."semver-5.7.0" + sources."server-destroy-1.0.1" + sources."set-blocking-2.0.0" + sources."setimmediate-1.0.5" + sources."seventh-0.7.21" + sources."sharp-0.20.8" + sources."signal-exit-3.0.2" + sources."simple-concat-1.0.0" + sources."simple-get-2.8.1" + sources."simple-swizzle-0.2.2" + (sources."slice-ansi-1.0.0" // { + dependencies = [ + sources."is-fullwidth-code-point-2.0.0" + ]; + }) + sources."source-map-0.6.1" + sources."split-skip-0.0.2" + sources."sprintf-js-1.1.2" + (sources."sqlite3-4.0.6" // { + dependencies = [ + sources."nan-2.10.0" + ]; + }) + sources."sshpk-1.16.1" + sources."stealthy-require-1.1.1" + sources."strict-uri-encode-1.1.0" + sources."string-kit-0.9.3" + sources."string-padding-1.0.2" + sources."string-to-stream-1.1.1" + (sources."string-width-1.0.2" // { + dependencies = [ + sources."strip-ansi-3.0.1" + ]; + }) + sources."string_decoder-1.1.1" + (sources."stringify-parameters-0.0.4" // { + dependencies = [ + sources."magicli-0.0.5" + ]; + }) + (sources."strip-ansi-4.0.0" // { + dependencies = [ + sources."ansi-regex-3.0.0" + ]; + }) + sources."strip-json-comments-2.0.1" + sources."supports-color-5.5.0" + sources."symbol-observable-1.2.0" + sources."symbol-tree-3.2.2" + sources."syswide-cas-5.3.0" + sources."table-layout-0.4.4" + sources."tar-4.4.8" + (sources."tar-fs-1.16.3" // { + dependencies = [ + sources."pump-1.0.3" + ]; + }) + sources."tar-stream-1.6.2" + (sources."tcp-port-used-0.1.2" // { + dependencies = [ + sources."debug-0.7.4" + sources."q-0.9.7" + ]; + }) + sources."terminal-kit-1.28.0" + (sources."tkwidgets-0.5.26" // { + dependencies = [ + sources."is-fullwidth-code-point-2.0.0" + sources."node-emoji-git+https://github.com/laurent22/node-emoji.git" + sources."string-width-2.1.1" + ]; + }) + sources."to-buffer-1.1.1" + (sources."tough-cookie-2.4.3" // { + dependencies = [ + sources."punycode-1.4.1" + ]; + }) + sources."tr46-1.0.1" + sources."tree-kit-0.6.1" + sources."tunnel-agent-0.6.0" + sources."tweetnacl-0.14.5" + sources."type-check-0.3.2" + sources."typical-2.6.1" + sources."uc.micro-1.0.6" + (sources."uglify-js-3.4.10" // { + dependencies = [ + sources."commander-2.19.0" + ]; + }) + sources."unc-path-regex-0.1.2" + sources."uniq-1.0.1" + sources."universalify-0.1.2" + sources."unpack-string-0.0.2" + sources."upper-case-1.1.3" + sources."uri-js-4.2.2" + sources."url-parse-1.4.6" + sources."util-deprecate-1.0.2" + sources."uuid-3.3.2" + sources."valid-url-1.0.9" + sources."verror-1.10.0" + sources."w3c-hr-time-1.0.1" + sources."webidl-conversions-4.0.2" + sources."whatwg-encoding-1.0.5" + sources."whatwg-mimetype-2.3.0" + sources."whatwg-url-6.5.0" + sources."which-pm-runs-1.0.0" + sources."wide-align-1.1.3" + sources."word-wrap-1.2.3" + sources."wordwrap-1.0.0" + sources."wordwrapjs-3.0.0" + (sources."wrap-ansi-3.0.1" // { + dependencies = [ + sources."is-fullwidth-code-point-2.0.0" + sources."string-width-2.1.1" + ]; + }) + sources."wrappy-1.0.2" + sources."ws-5.2.2" + sources."xml-name-validator-3.0.0" + sources."xml2js-0.4.19" + sources."xmlbuilder-9.0.7" + sources."xtend-4.0.1" + sources."yallist-3.0.3" + sources."yargs-parser-7.0.0" + ]; + buildInputs = globalBuildInputs; + meta = { + description = "Joplin CLI Client"; + homepage = "https://github.com/laurent22/joplin#readme"; + license = "MIT"; + }; + production = true; + bypassCache = true; + }; jsdoc = nodeEnv.buildNodePackage { name = "jsdoc"; packageName = "jsdoc"; @@ -46320,7 +47798,7 @@ in }; dependencies = [ sources."babylon-7.0.0-beta.19" - sources."bluebird-3.5.3" + sources."bluebird-3.5.4" sources."catharsis-0.8.9" sources."escape-string-regexp-1.0.5" sources."graceful-fs-4.1.15" @@ -46423,16 +47901,16 @@ in js-beautify = nodeEnv.buildNodePackage { name = "js-beautify"; packageName = "js-beautify"; - version = "1.9.0"; + version = "1.9.1"; src = fetchurl { - url = "https://registry.npmjs.org/js-beautify/-/js-beautify-1.9.0.tgz"; - sha512 = "P0skmY4IDjfLiVrx+GLDeme8w5G0R1IGXgccVU5HP2VM3lRblH7qN2LTea5vZAxrDjpZBD0Jv+ahpjwVcbz/rw=="; + url = "https://registry.npmjs.org/js-beautify/-/js-beautify-1.9.1.tgz"; + sha512 = "oxxvVZdOdUfzk8IOLBF2XUZvl2GoBEfA+b0of4u2EBY/46NlXasi8JdFvazA5lCrf9/lQhTjyVy2QCUW7iq0MQ=="; }; dependencies = [ sources."abbrev-1.1.1" sources."balanced-match-1.0.0" sources."brace-expansion-1.1.11" - sources."commander-2.19.0" + sources."commander-2.20.0" sources."concat-map-0.0.1" sources."config-chain-1.1.12" sources."editorconfig-0.15.3" @@ -46453,7 +47931,7 @@ in sources."path-is-absolute-1.0.1" sources."proto-list-1.2.4" sources."pseudomap-1.0.2" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."sigmund-1.0.1" sources."wrappy-1.0.2" sources."yallist-2.1.2" @@ -46519,17 +47997,17 @@ in json-refs = nodeEnv.buildNodePackage { name = "json-refs"; packageName = "json-refs"; - version = "3.0.12"; + version = "3.0.13"; src = fetchurl { - url = "https://registry.npmjs.org/json-refs/-/json-refs-3.0.12.tgz"; - sha512 = "6RbO1Y3e0Hty/tEpXtQG6jUx7g1G8e39GIOuPugobPC8BX1gZ0OGZQpBn1FLWGkuWF35GRGADvhwdEIFpwIjyA=="; + url = "https://registry.npmjs.org/json-refs/-/json-refs-3.0.13.tgz"; + sha512 = "/FJ+BJ6BASjmNsBJHE8qMVj46HTS2Pfq5gI5BQRhyUsdrw9HaHRWSOsOh87deTOyWMtGas5Qr8H6ikrcWHdZbw=="; }; dependencies = [ sources."argparse-1.0.10" sources."asynckit-0.4.0" sources."combined-stream-1.0.7" - sources."commander-2.11.0" - sources."component-emitter-1.2.1" + sources."commander-2.19.0" + sources."component-emitter-1.3.0" sources."cookiejar-2.1.2" sources."core-util-is-1.0.2" sources."debug-3.2.6" @@ -46541,25 +48019,25 @@ in sources."graphlib-2.1.7" sources."inherits-2.0.3" sources."isarray-1.0.0" - sources."js-yaml-3.13.0" + sources."js-yaml-3.13.1" sources."lodash-4.17.11" sources."methods-1.1.2" sources."mime-1.6.0" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."ms-2.1.1" sources."native-promise-only-0.8.1" - sources."path-loader-1.0.9" + sources."path-loader-1.0.10" sources."process-nextick-args-2.0.0" sources."punycode-2.1.1" - sources."qs-6.6.0" + sources."qs-6.7.0" sources."readable-stream-2.3.6" sources."safe-buffer-5.1.2" - sources."slash-1.0.0" + sources."slash-2.0.0" sources."sprintf-js-1.0.3" sources."string_decoder-1.1.1" sources."superagent-3.8.3" - sources."uri-js-3.0.2" + sources."uri-js-4.2.2" sources."util-deprecate-1.0.2" ]; buildInputs = globalBuildInputs; @@ -46679,7 +48157,7 @@ in sources."inherits-2.0.3" sources."ini-1.3.5" sources."invert-kv-2.0.0" - sources."ipaddr.js-1.8.0" + sources."ipaddr.js-1.9.0" sources."is-ci-1.2.1" sources."is-fullwidth-code-point-2.0.0" sources."is-installed-globally-0.1.0" @@ -46712,7 +48190,7 @@ in sources."make-dir-1.3.0" sources."map-age-cleaner-0.1.3" sources."media-typer-0.3.0" - sources."mem-4.2.0" + sources."mem-4.3.0" sources."merge-descriptors-1.0.1" (sources."method-override-3.0.0" // { dependencies = [ @@ -46721,9 +48199,9 @@ in }) sources."methods-1.1.2" sources."mime-1.4.1" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" - sources."mimic-fn-2.0.0" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" + sources."mimic-fn-2.1.0" sources."minimist-1.2.0" sources."morgan-1.9.1" sources."ms-2.0.0" @@ -46746,12 +48224,12 @@ in }) sources."p-defer-1.0.0" sources."p-finally-1.0.0" - sources."p-is-promise-2.0.0" + sources."p-is-promise-2.1.0" sources."p-limit-2.2.0" sources."p-locate-3.0.0" - sources."p-try-2.1.0" + sources."p-try-2.2.0" sources."package-json-4.0.1" - sources."parseurl-1.3.2" + sources."parseurl-1.3.3" sources."path-exists-3.0.0" sources."path-is-inside-1.0.2" sources."path-key-2.0.1" @@ -46761,7 +48239,7 @@ in sources."please-upgrade-node-3.1.1" sources."pluralize-7.0.0" sources."prepend-http-1.0.4" - sources."proxy-addr-2.0.4" + sources."proxy-addr-2.0.5" sources."pseudomap-1.0.2" sources."psl-1.1.31" sources."pump-3.0.0" @@ -46777,7 +48255,7 @@ in sources."require-main-filename-1.0.1" sources."safe-buffer-5.1.2" sources."safer-buffer-2.1.2" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."semver-compare-1.0.0" sources."semver-diff-2.1.0" (sources."send-0.16.2" // { @@ -46839,7 +48317,7 @@ in sources."yargs-12.0.5" (sources."yargs-parser-11.1.1" // { dependencies = [ - sources."camelcase-5.2.0" + sources."camelcase-5.3.1" ]; }) ]; @@ -46855,10 +48333,10 @@ in js-yaml = nodeEnv.buildNodePackage { name = "js-yaml"; packageName = "js-yaml"; - version = "3.13.0"; + version = "3.13.1"; src = fetchurl { - url = "https://registry.npmjs.org/js-yaml/-/js-yaml-3.13.0.tgz"; - sha512 = "pZZoSxcCYco+DIKBTimr67J6Hy+EYGZDY/HCWC+iAEA9h1ByhMXAIVUXMcMFpOCxQ/xjXmPI2MkDL5HRm5eFrQ=="; + url = "https://registry.npmjs.org/js-yaml/-/js-yaml-3.13.1.tgz"; + sha512 = "YfbcO7jXDdyj0DGxYVSlSeQNHbD7XPWvrVWeVUujrQEoZzWJIRrCPoyk6kL6IAjAG2IolMK4T0hNUe0HOUs5Jw=="; }; dependencies = [ sources."argparse-1.0.10" @@ -46877,10 +48355,10 @@ in karma = nodeEnv.buildNodePackage { name = "karma"; packageName = "karma"; - version = "4.0.1"; + version = "4.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/karma/-/karma-4.0.1.tgz"; - sha512 = "ind+4s03BqIXas7ZmraV3/kc5+mnqwCd+VDX1FndS6jxbt03kQKX2vXrWxNLuCjVYmhMwOZosAEKMM0a2q7w7A=="; + url = "https://registry.npmjs.org/karma/-/karma-4.1.0.tgz"; + sha512 = "xckiDqyNi512U4dXGOOSyLKPwek6X/vUizSy2f3geYevbLj+UIdvNwbn7IwfUIL2g1GXEPWt/87qFD1fBbl/Uw=="; }; dependencies = [ sources."accepts-1.3.5" @@ -46897,7 +48375,7 @@ in sources."arraybuffer.slice-0.0.7" sources."assign-symbols-1.0.0" sources."async-2.6.2" - sources."async-each-1.0.2" + sources."async-each-1.0.3" sources."async-limiter-1.0.0" sources."atob-2.1.2" sources."backo2-1.0.2" @@ -46910,9 +48388,9 @@ in sources."base64-arraybuffer-0.1.5" sources."base64id-1.0.0" sources."better-assert-1.0.2" - sources."binary-extensions-1.13.0" + sources."binary-extensions-1.13.1" sources."blob-0.0.5" - sources."bluebird-3.5.3" + sources."bluebird-3.5.4" sources."body-parser-1.18.3" sources."brace-expansion-1.1.11" sources."braces-2.3.2" @@ -46922,12 +48400,12 @@ in sources."bytes-3.0.0" sources."cache-base-1.0.1" sources."callsite-1.0.0" - sources."chokidar-2.1.2" + sources."chokidar-2.1.5" sources."class-utils-0.3.6" sources."collection-visit-1.0.0" sources."colors-1.3.3" sources."component-bind-1.0.0" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."component-inherit-0.0.3" sources."concat-map-0.0.1" sources."connect-3.6.6" @@ -46968,6 +48446,7 @@ in }) (sources."engine.io-client-3.2.1" // { dependencies = [ + sources."component-emitter-1.2.1" sources."debug-3.1.0" ]; }) @@ -47000,7 +48479,7 @@ in sources."fragment-cache-0.2.1" sources."fs-extra-7.0.1" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.7" + sources."fsevents-1.2.8" sources."get-value-2.0.6" sources."glob-7.1.3" (sources."glob-parent-3.1.0" // { @@ -47046,7 +48525,7 @@ in }) sources."is-extendable-0.1.1" sources."is-extglob-2.1.1" - sources."is-glob-4.0.0" + sources."is-glob-4.0.1" sources."is-number-3.0.0" sources."is-plain-object-2.0.4" sources."is-windows-1.0.2" @@ -47056,9 +48535,9 @@ in sources."jsonfile-4.0.0" sources."kind-of-3.2.2" sources."lodash-4.17.11" - (sources."log4js-4.0.2" // { + (sources."log4js-4.1.0" // { dependencies = [ - sources."debug-3.2.6" + sources."debug-4.1.1" sources."ms-2.1.1" ]; }) @@ -47074,9 +48553,9 @@ in sources."kind-of-6.0.2" ]; }) - sources."mime-2.4.0" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-2.4.2" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimatch-3.0.4" sources."minimist-0.0.10" (sources."mixin-deep-1.3.1" // { @@ -47085,7 +48564,7 @@ in ]; }) sources."ms-2.0.0" - sources."nan-2.13.1" + sources."nan-2.13.2" (sources."nanomatch-1.2.13" // { dependencies = [ sources."define-property-2.0.2" @@ -47106,7 +48585,7 @@ in sources."os-tmpdir-1.0.2" sources."parseqs-0.0.5" sources."parseuri-0.0.5" - sources."parseurl-1.3.2" + sources."parseurl-1.3.3" sources."pascalcase-0.1.1" sources."path-dirname-1.0.2" sources."path-is-absolute-1.0.1" @@ -47157,11 +48636,13 @@ in sources."socket.io-adapter-1.1.1" (sources."socket.io-client-2.1.1" // { dependencies = [ + sources."component-emitter-1.2.1" sources."debug-3.1.0" ]; }) (sources."socket.io-parser-3.2.0" // { dependencies = [ + sources."component-emitter-1.2.1" sources."debug-3.1.0" sources."isarray-2.0.1" ]; @@ -47177,7 +48658,7 @@ in }) sources."static-extend-0.1.2" sources."statuses-1.5.0" - (sources."streamroller-1.0.3" // { + (sources."streamroller-1.0.4" // { dependencies = [ sources."debug-3.2.6" sources."ms-2.1.1" @@ -47288,8 +48769,8 @@ in sources."lead-1.0.0" sources."minimatch-3.0.4" sources."normalize-path-2.1.1" - sources."now-and-later-2.0.0" - sources."object-keys-1.1.0" + sources."now-and-later-2.0.1" + sources."object-keys-1.1.1" sources."object.assign-4.1.0" sources."once-1.4.0" sources."ordered-read-streams-1.0.1" @@ -47442,13 +48923,13 @@ in sources."lodash-4.17.11" sources."log-symbols-2.2.0" sources."map-age-cleaner-0.1.3" - (sources."mem-4.2.0" // { + (sources."mem-4.3.0" // { dependencies = [ - sources."mimic-fn-2.0.0" + sources."mimic-fn-2.1.0" ]; }) - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."mimic-fn-1.2.0" sources."minimatch-3.0.4" sources."minimist-0.0.8" @@ -47479,10 +48960,10 @@ in sources."os-locale-1.4.0" sources."p-defer-1.0.0" sources."p-finally-1.0.0" - sources."p-is-promise-2.0.0" + sources."p-is-promise-2.1.0" sources."p-limit-2.2.0" sources."p-locate-3.0.0" - sources."p-try-2.1.0" + sources."p-try-2.2.0" sources."parse5-1.5.1" sources."path-exists-3.0.0" sources."path-is-absolute-1.0.1" @@ -47512,7 +48993,7 @@ in sources."safer-buffer-2.1.2" sources."sax-1.2.4" sources."secure-keys-1.0.0" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."set-blocking-2.0.0" sources."shebang-command-1.2.0" sources."shebang-regex-1.0.0" @@ -47572,7 +49053,7 @@ in }) (sources."yargs-parser-11.1.1" // { dependencies = [ - sources."camelcase-5.2.0" + sources."camelcase-5.3.1" ]; }) ]; @@ -47588,71 +49069,71 @@ in lerna = nodeEnv.buildNodePackage { name = "lerna"; packageName = "lerna"; - version = "3.13.1"; + version = "3.13.3"; src = fetchurl { - url = "https://registry.npmjs.org/lerna/-/lerna-3.13.1.tgz"; - sha512 = "7kSz8LLozVsoUNTJzJzy+b8TnV9YdviR2Ee2PwGZSlVw3T1Rn7kOAPZjEi+3IWnOPC96zMPHVmjCmzQ4uubalw=="; + url = "https://registry.npmjs.org/lerna/-/lerna-3.13.3.tgz"; + sha512 = "0TkG40F02A4wjKraJBztPtj87BjUezFmaZKAha8eLdtngZkSpAdrSANa5K7jnnA8mywmpQwrKJuBmjdNpm9cBw=="; }; dependencies = [ - sources."@lerna/add-3.13.1" + sources."@lerna/add-3.13.3" sources."@lerna/batch-packages-3.13.0" - sources."@lerna/bootstrap-3.13.1" - sources."@lerna/changed-3.13.1" - sources."@lerna/check-working-tree-3.13.0" - sources."@lerna/child-process-3.13.0" - sources."@lerna/clean-3.13.1" + sources."@lerna/bootstrap-3.13.3" + sources."@lerna/changed-3.13.3" + sources."@lerna/check-working-tree-3.13.3" + sources."@lerna/child-process-3.13.3" + sources."@lerna/clean-3.13.3" sources."@lerna/cli-3.13.0" - sources."@lerna/collect-updates-3.13.0" - sources."@lerna/command-3.13.1" + sources."@lerna/collect-updates-3.13.3" + sources."@lerna/command-3.13.3" sources."@lerna/conventional-commits-3.13.0" - sources."@lerna/create-3.13.1" + sources."@lerna/create-3.13.3" sources."@lerna/create-symlink-3.13.0" - sources."@lerna/describe-ref-3.13.0" - sources."@lerna/diff-3.13.1" - sources."@lerna/exec-3.13.1" - sources."@lerna/filter-options-3.13.0" + sources."@lerna/describe-ref-3.13.3" + sources."@lerna/diff-3.13.3" + sources."@lerna/exec-3.13.3" + sources."@lerna/filter-options-3.13.3" sources."@lerna/filter-packages-3.13.0" sources."@lerna/get-npm-exec-opts-3.13.0" sources."@lerna/get-packed-3.13.0" - sources."@lerna/github-client-3.13.1" + sources."@lerna/github-client-3.13.3" sources."@lerna/global-options-3.13.0" - sources."@lerna/has-npm-version-3.13.0" - sources."@lerna/import-3.13.1" - sources."@lerna/init-3.13.1" - sources."@lerna/link-3.13.1" - sources."@lerna/list-3.13.1" + sources."@lerna/has-npm-version-3.13.3" + sources."@lerna/import-3.13.3" + sources."@lerna/init-3.13.3" + sources."@lerna/link-3.13.3" + sources."@lerna/list-3.13.3" sources."@lerna/listable-3.13.0" sources."@lerna/log-packed-3.13.0" sources."@lerna/npm-conf-3.13.0" sources."@lerna/npm-dist-tag-3.13.0" - sources."@lerna/npm-install-3.13.0" - sources."@lerna/npm-publish-3.13.0" - sources."@lerna/npm-run-script-3.13.0" + sources."@lerna/npm-install-3.13.3" + sources."@lerna/npm-publish-3.13.2" + sources."@lerna/npm-run-script-3.13.3" sources."@lerna/output-3.13.0" sources."@lerna/pack-directory-3.13.1" sources."@lerna/package-3.13.0" sources."@lerna/package-graph-3.13.0" sources."@lerna/project-3.13.1" sources."@lerna/prompt-3.13.0" - sources."@lerna/publish-3.13.1" + sources."@lerna/publish-3.13.3" sources."@lerna/pulse-till-done-3.13.0" sources."@lerna/resolve-symlink-3.13.0" - sources."@lerna/rimraf-dir-3.13.0" - sources."@lerna/run-3.13.1" + sources."@lerna/rimraf-dir-3.13.3" + sources."@lerna/run-3.13.3" sources."@lerna/run-lifecycle-3.13.0" sources."@lerna/run-parallel-batches-3.13.0" sources."@lerna/symlink-binary-3.13.0" sources."@lerna/symlink-dependencies-3.13.0" sources."@lerna/timer-3.13.0" sources."@lerna/validation-error-3.13.0" - sources."@lerna/version-3.13.1" + sources."@lerna/version-3.13.3" sources."@lerna/write-log-file-3.13.0" sources."@mrmlnc/readdir-enhanced-2.2.1" sources."@nodelib/fs.stat-1.1.3" - sources."@octokit/endpoint-3.2.3" + sources."@octokit/endpoint-4.0.0" sources."@octokit/plugin-enterprise-rest-2.2.2" - sources."@octokit/request-2.4.2" - sources."@octokit/rest-16.20.0" + sources."@octokit/request-3.0.0" + sources."@octokit/rest-16.25.0" sources."JSONStream-1.3.5" sources."abbrev-1.1.1" sources."agent-base-4.2.1" @@ -47680,6 +49161,7 @@ in sources."assign-symbols-1.0.0" sources."asynckit-0.4.0" sources."atob-2.1.2" + sources."atob-lite-2.0.0" sources."aws-sign2-0.7.0" sources."aws4-1.8.0" sources."balanced-match-1.0.0" @@ -47691,7 +49173,7 @@ in sources."bcrypt-pbkdf-1.0.2" sources."before-after-hook-1.4.0" sources."block-stream-0.0.9" - sources."bluebird-3.5.3" + sources."bluebird-3.5.4" sources."brace-expansion-1.1.11" (sources."braces-2.3.2" // { dependencies = [ @@ -47709,7 +49191,7 @@ in sources."caller-callsite-2.0.0" sources."caller-path-2.0.0" sources."callsites-2.0.0" - sources."camelcase-5.2.0" + sources."camelcase-5.3.1" (sources."camelcase-keys-4.2.0" // { dependencies = [ sources."camelcase-4.1.0" @@ -47753,28 +49235,45 @@ in sources."color-name-1.1.3" sources."columnify-1.5.4" sources."combined-stream-1.0.7" - sources."commander-2.19.0" + sources."commander-2.20.0" (sources."compare-func-1.3.2" // { dependencies = [ sources."dot-prop-3.0.0" ]; }) - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."concat-map-0.0.1" sources."concat-stream-1.6.2" sources."config-chain-1.1.12" sources."console-control-strings-1.1.0" sources."conventional-changelog-angular-5.0.3" - sources."conventional-changelog-core-3.1.6" - sources."conventional-changelog-preset-loader-2.0.2" - sources."conventional-changelog-writer-4.0.3" - sources."conventional-commits-filter-2.0.1" - sources."conventional-commits-parser-3.0.1" - sources."conventional-recommended-bump-4.0.4" + (sources."conventional-changelog-core-3.2.2" // { + dependencies = [ + sources."through2-3.0.1" + ]; + }) + sources."conventional-changelog-preset-loader-2.1.1" + (sources."conventional-changelog-writer-4.0.5" // { + dependencies = [ + sources."through2-3.0.1" + ]; + }) + sources."conventional-commits-filter-2.0.2" + (sources."conventional-commits-parser-3.0.2" // { + dependencies = [ + sources."through2-3.0.1" + ]; + }) + (sources."conventional-recommended-bump-4.1.1" // { + dependencies = [ + sources."concat-stream-2.0.0" + sources."readable-stream-3.3.0" + ]; + }) sources."copy-concurrently-1.0.5" sources."copy-descriptor-0.1.1" sources."core-util-is-1.0.2" - sources."cosmiconfig-5.1.0" + sources."cosmiconfig-5.2.0" sources."cross-spawn-6.0.5" sources."currently-unhandled-0.4.1" sources."cyclist-0.2.2" @@ -47848,7 +49347,7 @@ in sources."fast-deep-equal-2.0.1" (sources."fast-glob-2.2.6" // { dependencies = [ - sources."is-glob-4.0.0" + sources."is-glob-4.0.1" ]; }) sources."fast-json-stable-stringify-2.0.0" @@ -47920,7 +49419,7 @@ in sources."glob-to-regexp-0.3.0" sources."globby-8.0.2" sources."graceful-fs-4.1.15" - (sources."handlebars-4.1.1" // { + (sources."handlebars-4.1.2" // { dependencies = [ sources."source-map-0.6.1" ]; @@ -47966,7 +49465,7 @@ in sources."inherits-2.0.3" sources."ini-1.3.5" sources."init-package-json-1.10.3" - (sources."inquirer-6.2.2" // { + (sources."inquirer-6.3.1" // { dependencies = [ sources."ansi-regex-4.1.0" sources."strip-ansi-5.2.0" @@ -47997,7 +49496,6 @@ in sources."is-promise-2.1.0" sources."is-ssh-1.3.1" sources."is-stream-1.1.0" - sources."is-subset-0.1.1" sources."is-text-path-1.0.1" sources."is-typedarray-1.0.0" sources."is-utf8-0.2.1" @@ -48006,7 +49504,7 @@ in sources."isexe-2.0.0" sources."isobject-3.0.1" sources."isstream-0.1.2" - sources."js-yaml-3.13.0" + sources."js-yaml-3.13.1" sources."jsbn-0.1.1" sources."json-parse-better-errors-1.0.2" sources."json-schema-0.2.3" @@ -48033,6 +49531,7 @@ in sources."lodash._reinterpolate-3.0.0" sources."lodash.clonedeep-4.5.0" sources."lodash.get-4.4.2" + sources."lodash.ismatch-4.4.0" sources."lodash.set-4.3.2" sources."lodash.sortby-4.7.0" sources."lodash.template-4.4.0" @@ -48040,7 +49539,7 @@ in sources."lodash.uniq-4.5.0" sources."loud-rejection-1.6.0" sources."lru-cache-5.1.1" - sources."macos-release-2.1.0" + sources."macos-release-2.2.0" sources."make-dir-1.3.0" (sources."make-fetch-happen-4.0.1" // { dependencies = [ @@ -48052,16 +49551,16 @@ in sources."map-cache-0.2.2" sources."map-obj-2.0.0" sources."map-visit-1.0.0" - (sources."mem-4.2.0" // { + (sources."mem-4.3.0" // { dependencies = [ - sources."mimic-fn-2.0.0" + sources."mimic-fn-2.1.0" ]; }) sources."meow-4.0.1" sources."merge2-1.2.3" sources."micromatch-3.1.10" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."mimic-fn-1.2.0" sources."minimatch-3.0.4" sources."minimist-1.2.0" @@ -48139,19 +49638,19 @@ in }) sources."os-homedir-1.0.2" sources."os-locale-3.1.0" - sources."os-name-3.0.0" + sources."os-name-3.1.0" sources."os-tmpdir-1.0.2" sources."osenv-0.1.5" sources."p-defer-1.0.0" sources."p-finally-1.0.0" - sources."p-is-promise-2.0.0" + sources."p-is-promise-2.1.0" sources."p-limit-2.2.0" sources."p-locate-3.0.0" sources."p-map-1.2.0" sources."p-map-series-1.0.0" sources."p-pipe-1.2.0" sources."p-reduce-1.0.0" - sources."p-try-2.1.0" + sources."p-try-2.2.0" sources."p-waterfall-1.0.0" sources."pacote-9.5.0" sources."parallel-transform-1.1.0" @@ -48237,11 +49736,11 @@ in sources."rimraf-2.6.3" sources."run-async-2.3.0" sources."run-queue-1.0.3" - sources."rxjs-6.4.0" + sources."rxjs-6.5.1" sources."safe-buffer-5.1.2" sources."safe-regex-1.1.0" sources."safer-buffer-2.1.2" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."set-blocking-2.0.0" (sources."set-value-2.0.0" // { dependencies = [ @@ -48291,7 +49790,7 @@ in sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" sources."split-1.0.1" sources."split-string-3.1.0" sources."split2-2.2.0" @@ -48356,7 +49855,7 @@ in sources."tunnel-agent-0.6.0" sources."tweetnacl-0.14.5" sources."typedarray-0.0.6" - (sources."uglify-js-3.5.1" // { + (sources."uglify-js-3.5.6" // { dependencies = [ sources."source-map-0.6.1" ]; @@ -48398,12 +49897,7 @@ in sources."which-1.3.1" sources."which-module-2.0.0" sources."wide-align-1.1.3" - (sources."windows-release-3.1.0" // { - dependencies = [ - sources."execa-0.10.0" - sources."get-stream-3.0.0" - ]; - }) + sources."windows-release-3.2.0" sources."wordwrap-0.0.3" (sources."wrap-ansi-2.1.0" // { dependencies = [ @@ -48475,8 +49969,8 @@ in sources."json-stringify-safe-5.0.1" sources."jsprim-1.4.1" sources."mime-1.6.0" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimist-0.0.8" sources."mkdirp-0.5.1" sources."oauth-sign-0.9.0" @@ -48556,7 +50050,7 @@ in sources."arr-union-3.1.0" sources."array-unique-0.3.2" sources."assign-symbols-1.0.0" - sources."async-each-1.0.2" + sources."async-each-1.0.3" sources."atob-2.1.2" (sources."base-0.11.2" // { dependencies = [ @@ -48566,7 +50060,7 @@ in sources."basic-auth-2.0.1" sources."batch-0.6.1" sources."bcryptjs-2.4.3" - sources."binary-extensions-1.13.0" + sources."binary-extensions-1.13.1" (sources."braces-2.3.2" // { dependencies = [ sources."extend-shallow-2.0.1" @@ -48574,7 +50068,7 @@ in ]; }) sources."cache-base-1.0.1" - sources."chokidar-2.1.2" + sources."chokidar-2.1.5" (sources."class-utils-0.3.6" // { dependencies = [ sources."define-property-0.2.5" @@ -48594,7 +50088,7 @@ in }) sources."collection-visit-1.0.0" sources."colors-1.3.3" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."connect-3.6.6" sources."copy-descriptor-0.1.1" sources."core-util-is-1.0.2" @@ -48649,7 +50143,7 @@ in sources."fragment-cache-0.2.1" sources."fresh-0.5.2" sources."from-0.1.7" - sources."fsevents-1.2.7" + sources."fsevents-1.2.8" sources."get-value-2.0.6" (sources."glob-parent-3.1.0" // { dependencies = [ @@ -48678,7 +50172,7 @@ in sources."is-descriptor-1.0.2" sources."is-extendable-1.0.1" sources."is-extglob-2.1.1" - sources."is-glob-4.0.0" + sources."is-glob-4.0.1" (sources."is-number-3.0.0" // { dependencies = [ sources."kind-of-3.2.2" @@ -48695,12 +50189,12 @@ in sources."map-visit-1.0.0" sources."micromatch-3.1.10" sources."mime-1.4.1" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."mixin-deep-1.3.1" sources."morgan-1.9.1" sources."ms-2.0.0" - sources."nan-2.13.1" + sources."nan-2.13.2" sources."nanomatch-1.2.13" sources."negotiator-0.6.1" sources."normalize-path-3.0.0" @@ -48722,8 +50216,8 @@ in sources."object.pick-1.3.0" sources."on-finished-2.3.0" sources."on-headers-1.0.2" - sources."opn-5.5.0" - sources."parseurl-1.3.2" + sources."opn-6.0.0" + sources."parseurl-1.3.3" sources."pascalcase-0.1.1" sources."path-dirname-1.0.2" sources."path-is-absolute-1.0.1" @@ -48878,7 +50372,7 @@ in sources."asn1-0.2.4" sources."assert-plus-1.0.0" sources."assign-symbols-1.0.0" - sources."async-each-1.0.2" + sources."async-each-1.0.3" sources."async-limiter-1.0.0" sources."asynckit-0.4.0" sources."atob-2.1.2" @@ -48895,7 +50389,7 @@ in sources."base64id-1.0.0" sources."bcrypt-pbkdf-1.0.2" sources."better-assert-1.0.2" - sources."binary-extensions-1.13.0" + sources."binary-extensions-1.13.1" sources."blob-0.0.5" sources."body-parser-1.18.3" sources."braces-1.8.5" @@ -48929,7 +50423,7 @@ in sources."collection-visit-1.0.0" sources."combined-stream-1.0.7" sources."component-bind-1.0.0" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."component-inherit-0.0.3" sources."content-disposition-0.5.2" sources."content-type-1.0.4" @@ -48959,6 +50453,7 @@ in }) (sources."engine.io-client-3.3.2" // { dependencies = [ + sources."component-emitter-1.2.1" sources."debug-3.1.0" ]; }) @@ -48997,7 +50492,7 @@ in sources."forwarded-0.1.2" sources."fragment-cache-0.2.1" sources."fresh-0.5.2" - sources."fsevents-1.2.7" + sources."fsevents-1.2.8" sources."get-value-2.0.6" sources."getpass-0.1.7" sources."github-slugger-1.2.1" @@ -49034,7 +50529,7 @@ in sources."indexof-0.0.1" sources."inherits-2.0.3" sources."innertext-1.0.3" - sources."ipaddr.js-1.8.0" + sources."ipaddr.js-1.9.0" (sources."is-accessor-descriptor-1.0.0" // { dependencies = [ sources."kind-of-6.0.2" @@ -49091,8 +50586,8 @@ in sources."methods-1.1.2" sources."micromatch-2.3.11" sources."mime-1.4.1" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimist-1.2.0" (sources."mixin-deep-1.3.1" // { dependencies = [ @@ -49100,7 +50595,7 @@ in ]; }) sources."ms-2.0.0" - sources."nan-2.13.1" + sources."nan-2.13.2" (sources."nanomatch-1.2.13" // { dependencies = [ sources."arr-diff-4.0.0" @@ -49140,7 +50635,7 @@ in sources."parse-glob-3.0.4" sources."parseqs-0.0.5" sources."parseuri-0.0.5" - sources."parseurl-1.3.2" + sources."parseurl-1.3.3" sources."pascalcase-0.1.1" sources."path-is-absolute-1.0.1" sources."path-to-regexp-0.1.7" @@ -49148,7 +50643,7 @@ in sources."posix-character-classes-0.1.1" sources."preserve-0.2.0" sources."process-nextick-args-2.0.0" - sources."proxy-addr-2.0.4" + sources."proxy-addr-2.0.5" sources."psl-1.1.31" sources."punycode-2.1.1" sources."qs-6.5.2" @@ -49269,11 +50764,13 @@ in sources."socket.io-adapter-1.1.1" (sources."socket.io-client-2.2.0" // { dependencies = [ + sources."component-emitter-1.2.1" sources."debug-3.1.0" ]; }) (sources."socket.io-parser-3.3.0" // { dependencies = [ + sources."component-emitter-1.2.1" sources."debug-3.1.0" sources."isarray-2.0.1" ]; @@ -49366,12 +50863,12 @@ in src = ../interpreters/clojurescript/lumo; dependencies = [ sources."@babel/code-frame-7.0.0" - sources."@babel/core-7.4.0" + sources."@babel/core-7.4.3" sources."@babel/generator-7.4.0" sources."@babel/helper-annotate-as-pure-7.0.0" sources."@babel/helper-builder-binary-assignment-operator-visitor-7.1.0" sources."@babel/helper-call-delegate-7.4.0" - sources."@babel/helper-create-class-features-plugin-7.4.0" + sources."@babel/helper-create-class-features-plugin-7.4.3" sources."@babel/helper-define-map-7.4.0" sources."@babel/helper-explode-assignable-expression-7.1.0" sources."@babel/helper-function-name-7.1.0" @@ -49379,23 +50876,23 @@ in sources."@babel/helper-hoist-variables-7.4.0" sources."@babel/helper-member-expression-to-functions-7.0.0" sources."@babel/helper-module-imports-7.0.0" - sources."@babel/helper-module-transforms-7.2.2" + sources."@babel/helper-module-transforms-7.4.3" sources."@babel/helper-optimise-call-expression-7.0.0" sources."@babel/helper-plugin-utils-7.0.0" - sources."@babel/helper-regex-7.0.0" + sources."@babel/helper-regex-7.4.3" sources."@babel/helper-remap-async-to-generator-7.1.0" sources."@babel/helper-replace-supers-7.4.0" sources."@babel/helper-simple-access-7.1.0" sources."@babel/helper-split-export-declaration-7.4.0" sources."@babel/helper-wrap-function-7.2.0" - sources."@babel/helpers-7.4.2" + sources."@babel/helpers-7.4.3" sources."@babel/highlight-7.0.0" - sources."@babel/parser-7.4.2" + sources."@babel/parser-7.4.3" sources."@babel/plugin-external-helpers-7.0.0" sources."@babel/plugin-proposal-async-generator-functions-7.2.0" sources."@babel/plugin-proposal-class-properties-7.4.0" sources."@babel/plugin-proposal-json-strings-7.2.0" - sources."@babel/plugin-proposal-object-rest-spread-7.4.0" + sources."@babel/plugin-proposal-object-rest-spread-7.4.3" sources."@babel/plugin-proposal-optional-catch-binding-7.2.0" sources."@babel/plugin-proposal-unicode-property-regex-7.4.0" sources."@babel/plugin-syntax-async-generators-7.2.0" @@ -49406,36 +50903,39 @@ in sources."@babel/plugin-transform-async-to-generator-7.4.0" sources."@babel/plugin-transform-block-scoped-functions-7.2.0" sources."@babel/plugin-transform-block-scoping-7.4.0" - sources."@babel/plugin-transform-classes-7.4.0" + sources."@babel/plugin-transform-classes-7.4.3" sources."@babel/plugin-transform-computed-properties-7.2.0" - sources."@babel/plugin-transform-destructuring-7.4.0" - sources."@babel/plugin-transform-dotall-regex-7.2.0" + sources."@babel/plugin-transform-destructuring-7.4.3" + sources."@babel/plugin-transform-dotall-regex-7.4.3" sources."@babel/plugin-transform-duplicate-keys-7.2.0" sources."@babel/plugin-transform-exponentiation-operator-7.2.0" - sources."@babel/plugin-transform-for-of-7.4.0" - sources."@babel/plugin-transform-function-name-7.2.0" + sources."@babel/plugin-transform-for-of-7.4.3" + sources."@babel/plugin-transform-function-name-7.4.3" sources."@babel/plugin-transform-literals-7.2.0" + sources."@babel/plugin-transform-member-expression-literals-7.2.0" sources."@babel/plugin-transform-modules-amd-7.2.0" - sources."@babel/plugin-transform-modules-commonjs-7.4.0" + sources."@babel/plugin-transform-modules-commonjs-7.4.3" sources."@babel/plugin-transform-modules-systemjs-7.4.0" sources."@babel/plugin-transform-modules-umd-7.2.0" sources."@babel/plugin-transform-named-capturing-groups-regex-7.4.2" sources."@babel/plugin-transform-new-target-7.4.0" sources."@babel/plugin-transform-object-super-7.2.0" - sources."@babel/plugin-transform-parameters-7.4.0" - sources."@babel/plugin-transform-regenerator-7.4.0" - sources."@babel/plugin-transform-runtime-7.4.0" + sources."@babel/plugin-transform-parameters-7.4.3" + sources."@babel/plugin-transform-property-literals-7.2.0" + sources."@babel/plugin-transform-regenerator-7.4.3" + sources."@babel/plugin-transform-reserved-words-7.2.0" + sources."@babel/plugin-transform-runtime-7.4.3" sources."@babel/plugin-transform-shorthand-properties-7.2.0" sources."@babel/plugin-transform-spread-7.2.2" sources."@babel/plugin-transform-sticky-regex-7.2.0" sources."@babel/plugin-transform-template-literals-7.2.0" sources."@babel/plugin-transform-typeof-symbol-7.2.0" - sources."@babel/plugin-transform-unicode-regex-7.2.0" - sources."@babel/preset-env-7.4.2" + sources."@babel/plugin-transform-unicode-regex-7.4.3" + sources."@babel/preset-env-7.4.3" sources."@babel/preset-stage-2-7.0.0" - sources."@babel/runtime-7.4.2" + sources."@babel/runtime-7.4.3" sources."@babel/template-7.4.0" - sources."@babel/traverse-7.4.0" + sources."@babel/traverse-7.4.3" sources."@babel/types-7.4.0" sources."@calebboyd/semaphore-1.3.1" sources."@comandeer/babel-plugin-banner-4.1.0" @@ -49447,7 +50947,7 @@ in sources."@types/events-3.0.0" sources."@types/glob-7.1.1" sources."@types/minimatch-3.0.3" - sources."@types/node-11.11.4" + sources."@types/node-11.13.7" sources."@webassemblyjs/ast-1.8.5" sources."@webassemblyjs/floating-point-hex-parser-1.8.5" sources."@webassemblyjs/helper-api-error-1.8.5" @@ -49540,7 +51040,7 @@ in ]; }) sources."assign-symbols-1.0.0" - sources."async-each-1.0.2" + sources."async-each-1.0.3" sources."async-retry-1.2.3" sources."atob-2.1.2" (sources."babel-code-frame-6.26.0" // { @@ -49624,9 +51124,9 @@ in }) sources."base64-js-1.3.0" sources."big.js-5.2.2" - sources."binary-extensions-1.13.0" + sources."binary-extensions-1.13.1" sources."bl-1.2.2" - sources."bluebird-3.5.3" + sources."bluebird-3.5.4" sources."bn.js-4.11.8" sources."brace-expansion-1.1.11" sources."braces-1.8.5" @@ -49637,7 +51137,7 @@ in sources."browserify-rsa-4.0.1" sources."browserify-sign-4.0.4" sources."browserify-zlib-0.2.0" - sources."browserslist-4.5.2" + sources."browserslist-4.5.5" sources."buffer-5.2.1" sources."buffer-alloc-1.2.0" sources."buffer-alloc-unsafe-1.1.0" @@ -49659,8 +51159,8 @@ in ]; }) sources."call-me-maybe-1.0.1" - sources."camelcase-5.2.0" - sources."caniuse-lite-1.0.30000951" + sources."camelcase-5.3.1" + sources."caniuse-lite-1.0.30000962" sources."caw-2.0.1" (sources."chalk-2.4.2" // { dependencies = [ @@ -49669,7 +51169,7 @@ in ]; }) sources."cherow-1.6.9" - (sources."chokidar-2.1.2" // { + (sources."chokidar-2.1.5" // { dependencies = [ sources."array-unique-0.3.2" sources."braces-2.3.2" @@ -49681,7 +51181,7 @@ in ]; }) sources."is-extglob-2.1.1" - sources."is-glob-4.0.0" + sources."is-glob-4.0.1" sources."is-number-3.0.0" sources."isobject-3.0.1" sources."normalize-path-3.0.0" @@ -49709,7 +51209,7 @@ in ]; }) sources."cli-cursor-2.1.0" - sources."cli-spinners-2.0.0" + sources."cli-spinners-2.1.0" (sources."cliui-4.1.0" // { dependencies = [ sources."ansi-regex-3.0.0" @@ -49727,7 +51227,7 @@ in sources."color-name-1.1.3" sources."commander-2.8.1" sources."commondir-1.0.1" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."concat-map-0.0.1" sources."concat-stream-1.6.2" sources."config-chain-1.1.12" @@ -49737,9 +51237,13 @@ in sources."convert-source-map-1.6.0" sources."copy-concurrently-1.0.5" sources."copy-descriptor-0.1.1" - sources."core-js-3.0.0" - sources."core-js-compat-3.0.0" - sources."core-js-pure-3.0.0" + sources."core-js-3.0.1" + (sources."core-js-compat-3.0.1" // { + dependencies = [ + sources."semver-6.0.0" + ]; + }) + sources."core-js-pure-3.0.1" sources."core-util-is-1.0.2" sources."create-ecdh-4.0.3" sources."create-hash-1.2.0" @@ -49816,7 +51320,7 @@ in }) sources."duplexer3-0.1.4" sources."duplexify-3.7.1" - sources."electron-to-chromium-1.3.118" + sources."electron-to-chromium-1.3.125" sources."elliptic-6.4.1" sources."emojis-list-2.1.0" sources."end-of-stream-1.4.1" @@ -49899,7 +51403,7 @@ in ]; }) sources."is-extglob-2.1.1" - sources."is-glob-4.0.0" + sources."is-glob-4.0.1" (sources."is-number-3.0.0" // { dependencies = [ sources."kind-of-3.2.2" @@ -49973,7 +51477,7 @@ in sources."fs-constants-1.0.0" sources."fs-write-stream-atomic-1.0.10" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.7" + sources."fsevents-1.2.8" sources."function-bind-1.1.1" sources."get-caller-file-1.0.3" sources."get-proxy-2.1.0" @@ -50032,7 +51536,7 @@ in sources."hosted-git-info-2.7.1" sources."http-cache-semantics-3.8.1" sources."https-browserify-1.0.0" - sources."ieee754-1.1.12" + sources."ieee754-1.1.13" sources."iferr-0.1.5" sources."ignore-3.3.10" sources."import-local-2.0.0" @@ -50134,17 +51638,17 @@ in sources."map-visit-1.0.0" sources."math-random-1.0.4" sources."md5.js-1.3.5" - (sources."mem-4.2.0" // { + (sources."mem-4.3.0" // { dependencies = [ - sources."mimic-fn-2.0.0" - sources."p-is-promise-2.0.0" + sources."mimic-fn-2.1.0" + sources."p-is-promise-2.1.0" ]; }) sources."memory-fs-0.4.1" sources."merge2-1.2.3" sources."micromatch-2.3.11" sources."miller-rabin-4.0.1" - sources."mime-db-1.38.0" + sources."mime-db-1.40.0" sources."mimic-fn-1.2.0" sources."mimic-response-1.0.1" sources."minimalistic-assert-1.0.1" @@ -50165,7 +51669,7 @@ in sources."move-concurrently-1.0.1" sources."ms-2.1.1" sources."multistream-2.1.1" - sources."nan-2.13.1" + sources."nan-2.13.2" (sources."nanomatch-1.2.13" // { dependencies = [ sources."arr-diff-4.0.0" @@ -50187,7 +51691,7 @@ in sources."punycode-1.4.1" ]; }) - sources."node-releases-1.1.11" + sources."node-releases-1.1.16" sources."normalize-package-data-2.5.0" sources."normalize-path-2.1.1" (sources."normalize-url-2.0.1" // { @@ -50215,7 +51719,7 @@ in }) ]; }) - sources."object-keys-1.1.0" + sources."object-keys-1.1.1" (sources."object-visit-1.0.1" // { dependencies = [ sources."isobject-3.0.1" @@ -50230,7 +51734,7 @@ in }) sources."once-1.4.0" sources."onetime-2.0.1" - (sources."ora-3.2.0" // { + (sources."ora-3.4.0" // { dependencies = [ sources."ansi-regex-4.1.0" sources."strip-ansi-5.2.0" @@ -50273,7 +51777,7 @@ in sources."locate-path-3.0.0" sources."p-limit-2.2.0" sources."p-locate-3.0.0" - sources."p-try-2.1.0" + sources."p-try-2.2.0" ]; }) sources."posix-character-classes-0.1.1" @@ -50388,7 +51892,7 @@ in (sources."resolve-dependencies-2.2.2" // { dependencies = [ sources."dir-glob-2.2.2" - sources."globby-9.1.0" + sources."globby-9.2.0" sources."ignore-4.0.6" (sources."path-type-3.0.0" // { dependencies = [ @@ -50418,7 +51922,7 @@ in }) sources."rollup-plugin-node-resolve-3.4.0" sources."rollup-plugin-replace-2.1.0" - (sources."rollup-pluginutils-2.5.0" // { + (sources."rollup-pluginutils-2.6.0" // { dependencies = [ sources."arr-diff-4.0.0" sources."array-unique-0.3.2" @@ -50464,8 +51968,8 @@ in sources."safe-regex-1.1.0" sources."schema-utils-1.0.0" sources."seek-bzip-1.0.5" - sources."semver-5.6.0" - sources."serialize-javascript-1.6.1" + sources."semver-5.7.0" + sources."serialize-javascript-1.7.0" sources."set-blocking-2.0.0" (sources."set-value-2.0.0" // { dependencies = [ @@ -50510,7 +52014,7 @@ in sources."source-list-map-0.1.8" sources."source-map-0.5.7" sources."source-map-resolve-0.5.2" - (sources."source-map-support-0.5.11" // { + (sources."source-map-support-0.5.12" // { dependencies = [ sources."source-map-0.6.1" ]; @@ -50520,7 +52024,7 @@ in sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" sources."split-string-3.1.0" sources."ssri-6.0.1" (sources."static-extend-0.1.2" // { @@ -50559,11 +52063,11 @@ in sources."strip-eof-1.0.0" sources."strip-outer-1.0.1" sources."supports-color-2.0.0" - sources."tapable-1.1.1" + sources."tapable-1.1.3" sources."tar-stream-1.6.2" (sources."terser-3.17.0" // { dependencies = [ - sources."commander-2.19.0" + sources."commander-2.20.0" sources."source-map-0.6.1" ]; }) @@ -50638,7 +52142,7 @@ in sources."vm-browserify-0.0.4" sources."watchpack-1.6.0" sources."wcwidth-1.0.1" - (sources."webpack-4.29.6" // { + (sources."webpack-4.30.0" // { dependencies = [ sources."arr-diff-4.0.0" sources."array-unique-0.3.2" @@ -50680,7 +52184,7 @@ in sources."ms-2.0.0" ]; }) - (sources."webpack-cli-3.3.0" // { + (sources."webpack-cli-3.3.1" // { dependencies = [ sources."supports-color-5.5.0" ]; @@ -50720,7 +52224,7 @@ in sources."locate-path-3.0.0" sources."p-limit-2.2.0" sources."p-locate-3.0.0" - sources."p-try-2.1.0" + sources."p-try-2.2.0" ]; }) sources."yargs-parser-11.1.1" @@ -50777,7 +52281,7 @@ in sources."color-convert-1.9.3" sources."color-name-1.1.3" sources."combined-stream-1.0.7" - sources."commander-2.19.0" + sources."commander-2.20.0" sources."core-util-is-1.0.2" sources."dashdash-1.14.1" sources."delayed-stream-1.0.0" @@ -50808,8 +52312,8 @@ in sources."lodash-4.17.11" sources."markdown-link-extractor-1.2.0" sources."marked-0.4.0" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."ms-2.1.1" sources."oauth-sign-0.9.0" sources."performance-now-2.1.0" @@ -50923,7 +52427,7 @@ in dependencies = [ sources."glob-7.1.3" sources."minimatch-3.0.4" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."uglify-js-2.8.29" ]; }) @@ -51018,7 +52522,7 @@ in sources."color-support-1.1.3" sources."combined-stream-1.0.7" sources."commander-2.17.1" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."concat-map-0.0.1" sources."convert-source-map-1.6.0" sources."copy-descriptor-0.1.1" @@ -51117,7 +52621,7 @@ in }) sources."find-index-0.1.1" sources."findup-sync-2.0.0" - sources."fined-1.1.1" + sources."fined-1.2.0" sources."first-chunk-stream-1.0.0" sources."flagged-respawn-1.0.1" (sources."flush-write-stream-1.1.1" // { @@ -51346,8 +52850,8 @@ in sources."memoizee-0.4.14" sources."micromatch-3.1.10" sources."mime-1.6.0" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimatch-2.0.10" sources."minimist-1.2.0" (sources."mixin-deep-1.3.1" // { @@ -51366,7 +52870,7 @@ in sources."natives-1.1.6" sources."next-tick-1.0.0" sources."normalize-path-2.1.1" - sources."now-and-later-2.0.0" + sources."now-and-later-2.0.1" sources."oauth-sign-0.8.2" sources."object-assign-4.1.1" (sources."object-copy-0.1.0" // { @@ -51382,7 +52886,7 @@ in sources."kind-of-3.2.2" ]; }) - sources."object-keys-1.1.0" + sources."object-keys-1.1.1" sources."object-visit-1.0.1" sources."object.assign-4.1.0" sources."object.defaults-1.1.0" @@ -51550,7 +53054,7 @@ in sources."tough-cookie-2.3.4" sources."tunnel-agent-0.6.0" sources."tweetnacl-0.14.5" - sources."typescript-3.3.4000" + sources."typescript-3.4.5" (sources."uglify-js-3.4.9" // { dependencies = [ sources."source-map-0.6.1" @@ -51622,334 +53126,109 @@ in mocha = nodeEnv.buildNodePackage { name = "mocha"; packageName = "mocha"; - version = "6.0.2"; + version = "6.1.4"; src = fetchurl { - url = "https://registry.npmjs.org/mocha/-/mocha-6.0.2.tgz"; - sha512 = "RtTJsmmToGyeTznSOMoM6TPEk1A84FQaHIciKrRqARZx+B5ccJ5tXlmJzEKGBxZdqk9UjpRsesZTUkZmR5YnuQ=="; + url = "https://registry.npmjs.org/mocha/-/mocha-6.1.4.tgz"; + sha512 = "PN8CIy4RXsIoxoFJzS4QNnCH4psUCPWc4/rPrst/ecSJJbLBkubMiyGCP2Kj/9YnWbotFqAoeXyXMucj7gwCFg=="; }; dependencies = [ sources."ansi-colors-3.2.3" sources."ansi-regex-3.0.0" sources."ansi-styles-3.2.1" sources."argparse-1.0.10" - sources."arr-diff-4.0.0" - sources."arr-flatten-1.1.0" - sources."arr-union-3.1.0" - sources."array-unique-0.3.2" - sources."assign-symbols-1.0.0" - sources."atob-2.1.2" sources."balanced-match-1.0.0" - (sources."base-0.11.2" // { - dependencies = [ - sources."define-property-1.0.0" - ]; - }) sources."brace-expansion-1.1.11" - (sources."braces-2.3.2" // { - dependencies = [ - sources."extend-shallow-2.0.1" - ]; - }) sources."browser-stdout-1.3.1" - sources."cache-base-1.0.1" - sources."camelcase-5.2.0" + sources."camelcase-5.3.1" (sources."chalk-2.4.2" // { dependencies = [ sources."supports-color-5.5.0" ]; }) - (sources."class-utils-0.3.6" // { - dependencies = [ - sources."define-property-0.2.5" - (sources."is-accessor-descriptor-0.1.6" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - (sources."is-data-descriptor-0.1.4" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - sources."is-descriptor-0.1.6" - sources."kind-of-5.1.0" - ]; - }) sources."cliui-4.1.0" sources."code-point-at-1.1.0" - sources."collection-visit-1.0.0" sources."color-convert-1.9.3" sources."color-name-1.1.3" - sources."component-emitter-1.2.1" sources."concat-map-0.0.1" - sources."copy-descriptor-0.1.1" sources."cross-spawn-6.0.5" sources."debug-3.2.6" sources."decamelize-1.2.0" - sources."decode-uri-component-0.2.0" sources."define-properties-1.1.3" - sources."define-property-2.0.2" - sources."detect-file-1.0.0" sources."diff-3.5.0" + sources."emoji-regex-7.0.3" sources."end-of-stream-1.4.1" sources."es-abstract-1.13.0" sources."es-to-primitive-1.2.0" sources."escape-string-regexp-1.0.5" sources."esprima-4.0.1" sources."execa-1.0.0" - (sources."expand-brackets-2.1.4" // { - dependencies = [ - sources."debug-2.6.9" - sources."define-property-0.2.5" - sources."extend-shallow-2.0.1" - (sources."is-accessor-descriptor-0.1.6" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - (sources."is-data-descriptor-0.1.4" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - sources."is-descriptor-0.1.6" - sources."kind-of-5.1.0" - sources."ms-2.0.0" - ]; - }) - sources."expand-tilde-2.0.2" - (sources."extend-shallow-3.0.2" // { - dependencies = [ - sources."is-extendable-1.0.1" - ]; - }) - (sources."extglob-2.0.4" // { - dependencies = [ - sources."define-property-1.0.0" - sources."extend-shallow-2.0.1" - ]; - }) - (sources."fill-range-4.0.0" // { - dependencies = [ - sources."extend-shallow-2.0.1" - ]; - }) sources."find-up-3.0.0" - sources."findup-sync-2.0.0" - (sources."flat-4.1.0" // { - dependencies = [ - sources."is-buffer-2.0.3" - ]; - }) - sources."for-in-1.0.2" - sources."fragment-cache-0.2.1" + sources."flat-4.1.0" sources."fs.realpath-1.0.0" sources."function-bind-1.1.1" - sources."get-caller-file-1.0.3" + sources."get-caller-file-2.0.5" sources."get-stream-4.1.0" - sources."get-value-2.0.6" sources."glob-7.1.3" - sources."global-modules-1.0.0" - sources."global-prefix-1.0.2" sources."growl-1.10.5" sources."has-1.0.3" sources."has-flag-3.0.0" sources."has-symbols-1.0.0" - sources."has-value-1.0.0" - (sources."has-values-1.0.0" // { - dependencies = [ - sources."kind-of-4.0.0" - ]; - }) sources."he-1.2.0" - sources."homedir-polyfill-1.0.3" sources."inflight-1.0.6" sources."inherits-2.0.3" - sources."ini-1.3.5" sources."invert-kv-2.0.0" - sources."is-accessor-descriptor-1.0.0" - sources."is-buffer-1.1.6" + sources."is-buffer-2.0.3" sources."is-callable-1.1.4" - sources."is-data-descriptor-1.0.0" sources."is-date-object-1.0.1" - sources."is-descriptor-1.0.2" - sources."is-extendable-0.1.1" - sources."is-extglob-2.1.1" sources."is-fullwidth-code-point-2.0.0" - sources."is-glob-3.1.0" - (sources."is-number-3.0.0" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - sources."is-plain-object-2.0.4" sources."is-regex-1.0.4" sources."is-stream-1.1.0" sources."is-symbol-1.0.2" - sources."is-windows-1.0.2" - sources."isarray-1.0.0" sources."isexe-2.0.0" - sources."isobject-3.0.1" - sources."js-yaml-3.12.0" - sources."kind-of-6.0.2" + sources."js-yaml-3.13.1" sources."lcid-2.0.0" sources."locate-path-3.0.0" sources."lodash-4.17.11" sources."log-symbols-2.2.0" sources."map-age-cleaner-0.1.3" - sources."map-cache-0.2.2" - sources."map-visit-1.0.0" - sources."mem-4.2.0" - sources."micromatch-3.1.10" - sources."mimic-fn-2.0.0" + sources."mem-4.3.0" + sources."mimic-fn-2.1.0" sources."minimatch-3.0.4" sources."minimist-0.0.8" - (sources."mixin-deep-1.3.1" // { - dependencies = [ - sources."is-extendable-1.0.1" - ]; - }) sources."mkdirp-0.5.1" sources."ms-2.1.1" - sources."nanomatch-1.2.13" sources."nice-try-1.0.5" - sources."node-environment-flags-1.0.4" + sources."node-environment-flags-1.0.5" sources."npm-run-path-2.0.2" sources."number-is-nan-1.0.1" - (sources."object-copy-0.1.0" // { - dependencies = [ - sources."define-property-0.2.5" - sources."is-accessor-descriptor-0.1.6" - sources."is-data-descriptor-0.1.4" - (sources."is-descriptor-0.1.6" // { - dependencies = [ - sources."kind-of-5.1.0" - ]; - }) - sources."kind-of-3.2.2" - ]; - }) - sources."object-keys-1.1.0" - sources."object-visit-1.0.1" + sources."object-keys-1.1.1" sources."object.assign-4.1.0" sources."object.getownpropertydescriptors-2.0.3" - sources."object.pick-1.3.0" sources."once-1.4.0" sources."os-locale-3.1.0" sources."p-defer-1.0.0" sources."p-finally-1.0.0" - sources."p-is-promise-2.0.0" + sources."p-is-promise-2.1.0" sources."p-limit-2.2.0" sources."p-locate-3.0.0" - sources."p-try-2.1.0" - sources."parse-passwd-1.0.0" - sources."pascalcase-0.1.1" + sources."p-try-2.2.0" sources."path-exists-3.0.0" sources."path-is-absolute-1.0.1" sources."path-key-2.0.1" - sources."posix-character-classes-0.1.1" sources."pump-3.0.0" - sources."regex-not-1.0.2" - sources."repeat-element-1.1.3" - sources."repeat-string-1.6.1" sources."require-directory-2.1.1" - sources."require-main-filename-1.0.1" - sources."resolve-dir-1.0.1" - sources."resolve-url-0.2.1" - sources."ret-0.1.15" - sources."safe-regex-1.1.0" - sources."semver-5.6.0" + sources."require-main-filename-2.0.0" + sources."semver-5.7.0" sources."set-blocking-2.0.0" - (sources."set-value-2.0.0" // { - dependencies = [ - sources."extend-shallow-2.0.1" - ]; - }) sources."shebang-command-1.2.0" sources."shebang-regex-1.0.0" sources."signal-exit-3.0.2" - (sources."snapdragon-0.8.2" // { - dependencies = [ - sources."debug-2.6.9" - sources."define-property-0.2.5" - sources."extend-shallow-2.0.1" - (sources."is-accessor-descriptor-0.1.6" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - (sources."is-data-descriptor-0.1.4" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - sources."is-descriptor-0.1.6" - sources."kind-of-5.1.0" - sources."ms-2.0.0" - ]; - }) - (sources."snapdragon-node-2.1.1" // { - dependencies = [ - sources."define-property-1.0.0" - ]; - }) - (sources."snapdragon-util-3.0.1" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - sources."source-map-0.5.7" - sources."source-map-resolve-0.5.2" - sources."source-map-url-0.4.0" - sources."split-string-3.1.0" sources."sprintf-js-1.0.3" - (sources."static-extend-0.1.2" // { - dependencies = [ - sources."define-property-0.2.5" - (sources."is-accessor-descriptor-0.1.6" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - (sources."is-data-descriptor-0.1.4" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - sources."is-descriptor-0.1.6" - sources."kind-of-5.1.0" - ]; - }) sources."string-width-2.1.1" sources."strip-ansi-4.0.0" sources."strip-eof-1.0.0" sources."strip-json-comments-2.0.1" sources."supports-color-6.0.0" - (sources."to-object-path-0.3.0" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - sources."to-regex-3.0.2" - sources."to-regex-range-2.1.1" - (sources."union-value-1.0.0" // { - dependencies = [ - sources."extend-shallow-2.0.1" - sources."set-value-0.4.3" - ]; - }) - (sources."unset-value-1.0.0" // { - dependencies = [ - (sources."has-value-0.3.1" // { - dependencies = [ - sources."isobject-2.1.0" - ]; - }) - sources."has-values-0.1.4" - ]; - }) - sources."urix-0.1.0" - sources."use-3.1.1" sources."which-1.3.1" sources."which-module-2.0.0" sources."wide-align-1.1.3" @@ -51963,9 +53242,22 @@ in }) sources."wrappy-1.0.2" sources."y18n-4.0.0" - sources."yargs-12.0.5" - sources."yargs-parser-11.1.1" - sources."yargs-unparser-1.5.0" + (sources."yargs-13.2.2" // { + dependencies = [ + sources."ansi-regex-4.1.0" + sources."string-width-3.1.0" + sources."strip-ansi-5.2.0" + ]; + }) + sources."yargs-parser-13.0.0" + (sources."yargs-unparser-1.5.0" // { + dependencies = [ + sources."get-caller-file-1.0.3" + sources."require-main-filename-1.0.1" + sources."yargs-12.0.5" + sources."yargs-parser-11.1.1" + ]; + }) ]; buildInputs = globalBuildInputs; meta = { @@ -51988,8 +53280,8 @@ in sources."argparse-1.0.10" sources."asynckit-0.4.0" sources."combined-stream-1.0.7" - sources."commander-2.19.0" - sources."component-emitter-1.2.1" + sources."commander-2.20.0" + sources."component-emitter-1.3.0" sources."cookiejar-2.1.2" sources."core-util-is-1.0.2" sources."debug-3.2.6" @@ -52001,30 +53293,30 @@ in sources."graphlib-2.1.7" sources."inherits-2.0.3" sources."isarray-1.0.0" - sources."js-yaml-3.13.0" - (sources."json-refs-3.0.12" // { + sources."js-yaml-3.13.1" + (sources."json-refs-3.0.13" // { dependencies = [ - sources."commander-2.11.0" + sources."commander-2.19.0" ]; }) sources."lodash-4.17.11" sources."methods-1.1.2" sources."mime-1.6.0" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."ms-2.1.1" sources."native-promise-only-0.8.1" - sources."path-loader-1.0.9" + sources."path-loader-1.0.10" sources."process-nextick-args-2.0.0" sources."punycode-2.1.1" - sources."qs-6.6.0" + sources."qs-6.7.0" sources."readable-stream-2.3.6" sources."safe-buffer-5.1.2" - sources."slash-1.0.0" + sources."slash-2.0.0" sources."sprintf-js-1.0.3" sources."string_decoder-1.1.1" sources."superagent-3.8.3" - sources."uri-js-3.0.2" + sources."uri-js-4.2.2" sources."util-deprecate-1.0.2" ]; buildInputs = globalBuildInputs; @@ -52038,10 +53330,10 @@ in neovim = nodeEnv.buildNodePackage { name = "neovim"; packageName = "neovim"; - version = "4.4.0"; + version = "4.5.0"; src = fetchurl { - url = "https://registry.npmjs.org/neovim/-/neovim-4.4.0.tgz"; - sha512 = "zFsmjUeiK7vJNXsSljaAXt8eUmwKIJ4d7iTn7Mq2PmTEPmtBCuaVEGRpkl5z3AWJKPmOYNzlIu9Lgyq4s4FTew=="; + url = "https://registry.npmjs.org/neovim/-/neovim-4.5.0.tgz"; + sha512 = "+Q5EEIYIZG14NYAAQmvHHdYZ8hg0TbcsFo9vDf2rHhwidqdJv7jrDk0vQz+kUrNvhNQO/pqkBrZ08yEWZbD/0A=="; }; dependencies = [ sources."async-1.0.0" @@ -52049,15 +53341,17 @@ in sources."cycle-1.0.3" sources."event-lite-0.1.2" sources."eyes-0.1.8" - sources."ieee754-1.1.12" + sources."ieee754-1.1.13" sources."int64-buffer-0.1.10" sources."isarray-1.0.0" + sources."isexe-2.0.0" sources."isstream-0.1.2" sources."lodash-4.17.11" sources."msgpack-lite-0.1.26" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."stack-trace-0.0.10" sources."traverse-0.6.6" + sources."which-1.3.1" sources."winston-2.4.4" ]; buildInputs = globalBuildInputs; @@ -52162,8 +53456,8 @@ in sources."json-stringify-safe-5.0.1" sources."jsonfile-1.0.1" sources."jsprim-1.4.1" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimist-0.0.8" sources."minipass-2.3.5" sources."minizlib-1.2.1" @@ -52211,7 +53505,7 @@ in sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" sources."sshpk-1.16.1" sources."ssri-5.3.0" sources."string-width-1.0.2" @@ -52309,8 +53603,8 @@ in sources."json-schema-traverse-0.4.1" sources."json-stringify-safe-5.0.1" sources."jsprim-1.4.1" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimatch-3.0.4" sources."minimist-0.0.8" sources."mkdirp-0.5.1" @@ -52411,7 +53705,7 @@ in sources."base64-js-0.0.8" sources."bcrypt-pbkdf-1.0.2" sources."biased-opener-0.2.8" - sources."big-integer-1.6.42" + sources."big-integer-1.6.43" sources."block-stream-0.0.9" sources."body-parser-1.18.3" sources."boom-2.10.1" @@ -52491,7 +53785,7 @@ in sources."inherits-2.0.3" sources."ini-1.3.5" sources."invert-kv-1.0.0" - sources."ipaddr.js-1.8.0" + sources."ipaddr.js-1.9.0" sources."is-arrayish-0.2.1" sources."is-finite-1.0.2" sources."is-fullwidth-code-point-1.0.0" @@ -52520,8 +53814,8 @@ in sources."merge-descriptors-1.0.1" sources."methods-1.1.2" sources."mime-1.4.1" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimatch-3.0.4" sources."minimist-1.2.0" (sources."mkdirp-0.5.1" // { @@ -52530,13 +53824,13 @@ in ]; }) sources."ms-2.0.0" - sources."nan-2.13.1" + sources."nan-2.13.2" sources."negotiator-0.6.1" (sources."node-pre-gyp-0.6.39" // { dependencies = [ sources."glob-7.1.3" sources."rimraf-2.6.3" - sources."semver-5.6.0" + sources."semver-5.7.0" ]; }) sources."nopt-4.0.1" @@ -52553,7 +53847,7 @@ in sources."os-tmpdir-1.0.2" sources."osenv-0.1.5" sources."parse-json-2.2.0" - sources."parseurl-1.3.2" + sources."parseurl-1.3.3" sources."path-exists-2.1.0" sources."path-is-absolute-1.0.1" sources."path-parse-1.0.6" @@ -52565,7 +53859,7 @@ in sources."pinkie-promise-2.0.1" sources."plist-1.2.0" sources."process-nextick-args-2.0.0" - sources."proxy-addr-2.0.4" + sources."proxy-addr-2.0.5" sources."punycode-1.4.1" sources."qs-6.5.2" sources."range-parser-1.2.0" @@ -52601,7 +53895,7 @@ in sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" (sources."sshpk-1.16.1" // { dependencies = [ sources."assert-plus-1.0.0" @@ -52671,7 +53965,7 @@ in buildInputs = globalBuildInputs; meta = { description = "Web Inspector based nodeJS debugger"; - homepage = http://github.com/node-inspector/node-inspector; + homepage = https://github.com/node-inspector/node-inspector; }; production = true; bypassCache = true; @@ -52696,7 +53990,7 @@ in sources."concat-map-0.0.1" sources."console-control-strings-1.1.0" sources."core-util-is-1.0.2" - sources."debug-2.6.9" + sources."debug-4.1.1" sources."deep-extend-0.6.0" sources."delegates-1.0.0" sources."detect-libc-1.0.3" @@ -52717,8 +54011,8 @@ in sources."minipass-2.3.5" sources."minizlib-1.2.1" sources."mkdirp-0.5.1" - sources."ms-2.0.0" - sources."needle-2.2.4" + sources."ms-2.1.1" + sources."needle-2.3.0" sources."nopt-4.0.1" sources."npm-bundled-1.0.6" sources."npm-packlist-1.4.1" @@ -52741,7 +54035,7 @@ in sources."safe-buffer-5.1.2" sources."safer-buffer-2.1.2" sources."sax-1.2.4" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."set-blocking-2.0.0" sources."signal-exit-3.0.2" sources."string-width-1.0.2" @@ -52766,10 +54060,10 @@ in nodemon = nodeEnv.buildNodePackage { name = "nodemon"; packageName = "nodemon"; - version = "1.18.10"; + version = "1.18.11"; src = fetchurl { - url = "https://registry.npmjs.org/nodemon/-/nodemon-1.18.10.tgz"; - sha512 = "we51yBb1TfEvZamFchRgcfLbVYgg0xlGbyXmOtbBzDwxwgewYS/YbZ5tnlnsH51+AoSTTsT3A2E/FloUbtH8cQ=="; + url = "https://registry.npmjs.org/nodemon/-/nodemon-1.18.11.tgz"; + sha512 = "KdN3tm1zkarlqNo4+W9raU3ihM4H15MVMSE/f9rYDZmFgDHAfAJsomYrHhApAkuUemYjFyEeXlpCOQ2v5gtBEw=="; }; dependencies = [ sources."abbrev-1.1.1" @@ -52786,7 +54080,7 @@ in sources."arr-union-3.1.0" sources."array-unique-0.3.2" sources."assign-symbols-1.0.0" - sources."async-each-1.0.2" + sources."async-each-1.0.3" sources."atob-2.1.2" sources."balanced-match-1.0.0" (sources."base-0.11.2" // { @@ -52794,7 +54088,7 @@ in sources."define-property-1.0.0" ]; }) - sources."binary-extensions-1.13.0" + sources."binary-extensions-1.13.1" sources."boxen-1.3.0" sources."brace-expansion-1.1.11" (sources."braces-2.3.2" // { @@ -52807,7 +54101,7 @@ in sources."camelcase-4.1.0" sources."capture-stack-trace-1.0.1" sources."chalk-2.4.2" - sources."chokidar-2.1.2" + sources."chokidar-2.1.5" sources."ci-info-1.6.0" (sources."class-utils-0.3.6" // { dependencies = [ @@ -52830,7 +54124,7 @@ in sources."collection-visit-1.0.0" sources."color-convert-1.9.3" sources."color-name-1.1.3" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."concat-map-0.0.1" sources."configstore-3.1.2" sources."copy-descriptor-0.1.1" @@ -52886,7 +54180,7 @@ in }) sources."for-in-1.0.2" sources."fragment-cache-0.2.1" - sources."fsevents-1.2.7" + sources."fsevents-1.2.8" sources."get-stream-3.0.0" sources."get-value-2.0.6" (sources."glob-parent-3.1.0" // { @@ -52918,7 +54212,7 @@ in sources."is-extendable-1.0.1" sources."is-extglob-2.1.1" sources."is-fullwidth-code-point-2.0.0" - sources."is-glob-4.0.0" + sources."is-glob-4.0.1" sources."is-installed-globally-0.1.0" sources."is-npm-1.0.0" (sources."is-number-3.0.0" // { @@ -52948,7 +54242,7 @@ in sources."minimist-1.2.0" sources."mixin-deep-1.3.1" sources."ms-2.0.0" - sources."nan-2.13.1" + sources."nan-2.13.2" sources."nanomatch-1.2.13" sources."nopt-1.0.10" sources."normalize-path-3.0.0" @@ -52994,7 +54288,7 @@ in sources."ret-0.1.15" sources."safe-buffer-5.1.2" sources."safe-regex-1.1.0" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."semver-diff-2.1.0" (sources."set-value-2.0.0" // { dependencies = [ @@ -53120,29 +54414,37 @@ in node-red = nodeEnv.buildNodePackage { name = "node-red"; packageName = "node-red"; - version = "0.20.3"; + version = "0.20.5"; src = fetchurl { - url = "https://registry.npmjs.org/node-red/-/node-red-0.20.3.tgz"; - sha512 = "Ws9yCaG7LXWV5AlfM6z7wQYM7/LBkuulm78I+aXag0ED4HTcNoFNxtaez2eNvBYLiwHlvhhFvw/mthGDJ6HTow=="; + url = "https://registry.npmjs.org/node-red/-/node-red-0.20.5.tgz"; + sha512 = "kF95ob7BZSiS1rOuy/QqATVHKCN6tDyybLHiqXYgSGgBcrsE+raYGhQcpS5DaCffl4GecYTNl0ijk6gle2ObXQ=="; }; dependencies = [ - sources."@node-red/editor-api-0.20.3" - sources."@node-red/editor-client-0.20.3" - (sources."@node-red/nodes-0.20.3" // { + sources."@babel/runtime-7.4.3" + sources."@node-red/editor-api-0.20.5" + sources."@node-red/editor-client-0.20.5" + (sources."@node-red/nodes-0.20.5" // { dependencies = [ sources."iconv-lite-0.4.24" - sources."media-typer-1.0.1" ]; }) - sources."@node-red/registry-0.20.3" - sources."@node-red/runtime-0.20.3" - sources."@node-red/util-0.20.3" + sources."@node-red/registry-0.20.5" + sources."@node-red/runtime-0.20.5" + sources."@node-red/util-0.20.5" sources."abbrev-1.1.1" sources."accepts-1.3.5" sources."addressparser-1.0.1" sources."agent-base-4.2.1" sources."ajv-6.10.0" + sources."ansi-regex-2.1.1" sources."append-field-1.0.0" + sources."aproba-1.2.0" + (sources."are-we-there-yet-1.1.5" // { + dependencies = [ + sources."readable-stream-2.3.6" + sources."string_decoder-1.1.1" + ]; + }) sources."argparse-1.0.10" sources."array-flatten-1.1.1" sources."array-indexofobject-0.0.1" @@ -53155,7 +54457,7 @@ in sources."aws4-1.8.0" sources."balanced-match-1.0.0" sources."basic-auth-2.0.1" - sources."bcrypt-2.0.1" + sources."bcrypt-3.0.5" sources."bcrypt-pbkdf-1.0.2" sources."bcryptjs-2.4.3" (sources."bl-1.2.2" // { @@ -53184,9 +54486,11 @@ in }) sources."caseless-0.12.0" sources."cheerio-0.22.0" + sources."chownr-1.1.1" sources."clone-2.1.2" + sources."code-point-at-1.1.0" sources."combined-stream-1.0.7" - sources."commander-2.17.1" + sources."commander-2.19.0" sources."commist-1.1.0" sources."concat-map-0.0.1" (sources."concat-stream-1.6.2" // { @@ -53195,6 +54499,7 @@ in sources."string_decoder-1.1.1" ]; }) + sources."console-control-strings-1.1.0" sources."content-disposition-0.5.2" sources."content-type-1.0.4" sources."cookie-0.3.1" @@ -53209,10 +54514,13 @@ in sources."d-1.0.0" sources."dashdash-1.14.1" sources."debug-2.6.9" + sources."deep-extend-0.6.0" sources."delayed-stream-1.0.0" - sources."denque-1.4.0" + sources."delegates-1.0.0" + sources."denque-1.4.1" sources."depd-1.1.2" sources."destroy-1.0.4" + sources."detect-libc-1.0.3" (sources."dicer-0.2.5" // { dependencies = [ sources."isarray-0.0.1" @@ -53273,8 +54581,10 @@ in sources."forwarded-0.1.2" sources."fresh-0.5.2" sources."fs-extra-7.0.1" + sources."fs-minipass-1.2.5" sources."fs.notify-0.0.4" sources."fs.realpath-1.0.0" + sources."gauge-2.7.4" sources."getpass-0.1.7" sources."glob-7.1.3" sources."glob-parent-3.1.0" @@ -53287,6 +54597,7 @@ in sources."graceful-fs-4.1.15" sources."har-schema-2.0.0" sources."har-validator-5.1.3" + sources."has-unicode-2.0.1" sources."hash-sum-1.0.2" sources."help-me-1.1.0" sources."htmlparser2-3.10.1" @@ -53298,8 +54609,9 @@ in sources."ms-2.1.1" ]; }) - sources."i18next-14.1.1" + sources."i18next-15.0.9" sources."iconv-lite-0.4.23" + sources."ignore-walk-3.0.1" (sources."imap-0.8.19" // { dependencies = [ sources."isarray-0.0.1" @@ -53309,9 +54621,11 @@ in }) sources."inflight-1.0.6" sources."inherits-2.0.3" - sources."ipaddr.js-1.8.0" + sources."ini-1.3.5" + sources."ipaddr.js-1.9.0" sources."is-absolute-1.0.0" sources."is-extglob-2.1.1" + sources."is-fullwidth-code-point-1.0.0" sources."is-glob-3.1.0" sources."is-negated-glob-1.0.0" sources."is-relative-1.0.0" @@ -53321,7 +54635,7 @@ in sources."is-windows-1.0.2" sources."isarray-1.0.0" sources."isstream-0.1.2" - sources."js-yaml-3.12.2" + sources."js-yaml-3.13.0" sources."jsbn-0.1.1" sources."json-schema-0.2.3" sources."json-schema-traverse-0.4.1" @@ -53363,40 +54677,61 @@ in sources."merge-descriptors-1.0.1" sources."methods-1.1.2" sources."mime-2.4.0" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimatch-3.0.4" sources."minimist-1.2.0" + (sources."minipass-2.3.5" // { + dependencies = [ + sources."yallist-3.0.3" + ]; + }) + sources."minizlib-1.2.1" (sources."mkdirp-0.5.1" // { dependencies = [ sources."minimist-0.0.8" ]; }) sources."moment-2.24.0" - sources."moment-timezone-0.5.23" + sources."moment-timezone-0.5.25" (sources."mqtt-2.18.8" // { dependencies = [ sources."readable-stream-2.3.6" sources."string_decoder-1.1.1" ]; }) - sources."mqtt-packet-5.6.0" + sources."mqtt-packet-5.6.1" sources."mri-1.1.4" sources."ms-2.0.0" sources."multer-1.4.1" sources."mustache-3.0.1" - sources."nan-2.10.0" + sources."nan-2.13.1" + (sources."needle-2.3.0" // { + dependencies = [ + sources."debug-4.1.1" + sources."ms-2.1.1" + ]; + }) sources."negotiator-0.6.1" sources."next-tick-1.0.0" - sources."node-red-node-email-1.3.0" + (sources."node-pre-gyp-0.12.0" // { + dependencies = [ + sources."semver-5.7.0" + ]; + }) + sources."node-red-node-email-1.4.0" sources."node-red-node-feedparser-0.1.14" sources."node-red-node-rbe-0.2.4" sources."node-red-node-sentiment-0.1.3" sources."node-red-node-tail-0.0.2" sources."node-red-node-twitter-1.1.4" - sources."nodemailer-4.7.0" + sources."nodemailer-5.1.1" sources."nopt-4.0.1" + sources."npm-bundled-1.0.6" + sources."npm-packlist-1.4.1" + sources."npmlog-4.1.2" sources."nth-check-1.0.2" + sources."number-is-nan-1.0.1" sources."oauth-0.9.15" sources."oauth-sign-0.9.0" sources."oauth2orize-1.11.0" @@ -53418,7 +54753,7 @@ in sources."os-homedir-1.0.2" sources."os-tmpdir-1.0.2" sources."osenv-0.1.5" - sources."parseurl-1.3.2" + sources."parseurl-1.3.3" sources."passport-0.4.0" sources."passport-http-bearer-1.0.1" sources."passport-oauth2-client-password-0.1.2" @@ -53430,7 +54765,7 @@ in sources."performance-now-2.1.0" sources."poplib-0.1.7" sources."process-nextick-args-2.0.0" - sources."proxy-addr-2.0.4" + sources."proxy-addr-2.0.5" sources."pseudomap-1.0.2" sources."psl-1.1.31" sources."pump-3.0.0" @@ -53444,15 +54779,18 @@ in sources."random-bytes-1.0.0" sources."range-parser-1.2.0" sources."raw-body-2.3.3" - sources."readable-stream-3.2.0" + sources."rc-1.2.8" + sources."readable-stream-3.3.0" + sources."regenerator-runtime-0.13.2" sources."reinterval-1.1.0" sources."remove-trailing-separator-1.1.0" sources."request-2.88.0" sources."retry-0.6.1" + sources."rimraf-2.6.3" sources."safe-buffer-5.1.2" sources."safer-buffer-2.1.2" sources."sax-1.2.4" - sources."semver-5.6.0" + sources."semver-6.0.0" (sources."send-0.16.2" // { dependencies = [ sources."mime-1.4.1" @@ -53461,7 +54799,9 @@ in }) sources."sentiment-2.1.0" sources."serve-static-1.13.2" + sources."set-blocking-2.0.0" sources."setprototypeof-1.1.0" + sources."signal-exit-3.0.2" sources."source-map-0.6.1" sources."split2-2.2.0" sources."sprintf-js-1.0.3" @@ -53469,8 +54809,16 @@ in sources."statuses-1.5.0" sources."stream-shift-1.0.0" sources."streamsearch-0.1.2" + sources."string-width-1.0.2" sources."string_decoder-1.2.0" + sources."strip-ansi-3.0.1" + sources."strip-json-comments-2.0.1" sources."tail-2.0.2" + (sources."tar-4.4.8" // { + dependencies = [ + sources."yallist-3.0.3" + ]; + }) (sources."through2-2.0.5" // { dependencies = [ sources."readable-stream-2.3.6" @@ -53489,7 +54837,7 @@ in sources."twitter-ng-0.6.2" sources."type-is-1.6.16" sources."typedarray-0.0.6" - sources."uglify-js-3.4.9" + sources."uglify-js-3.5.3" sources."uid-safe-2.1.5" sources."uid2-0.0.3" sources."ultron-1.1.1" @@ -53509,7 +54857,7 @@ in sources."uuid-3.3.2" sources."vary-1.1.2" sources."verror-1.10.0" - (sources."websocket-stream-5.1.2" // { + (sources."websocket-stream-5.5.0" // { dependencies = [ sources."readable-stream-2.3.6" sources."string_decoder-1.1.1" @@ -53517,9 +54865,10 @@ in ]; }) sources."when-3.7.8" + sources."wide-align-1.1.3" sources."wordwrap-0.0.3" sources."wrappy-1.0.2" - sources."ws-6.2.0" + sources."ws-6.2.1" sources."xml2js-0.4.19" sources."xmlbuilder-9.0.7" sources."xtend-4.0.1" @@ -53636,8 +54985,8 @@ in sources."json-stringify-safe-5.0.1" sources."jsonfile-1.0.1" sources."jsprim-1.4.1" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimatch-3.0.4" sources."minimist-0.0.8" sources."mkdirp-0.3.5" @@ -53722,19 +55071,20 @@ in npm-check-updates = nodeEnv.buildNodePackage { name = "npm-check-updates"; packageName = "npm-check-updates"; - version = "3.1.1"; + version = "3.1.8"; src = fetchurl { - url = "https://registry.npmjs.org/npm-check-updates/-/npm-check-updates-3.1.1.tgz"; - sha512 = "xUf4iL28QAMjOkd9eH2CIxt98KMub3Z5BN1rkLMphb3WoGeiRZ4YDs82KmR3Jl5cheeWjJjI+HBXiiGFAxAYuQ=="; + url = "https://registry.npmjs.org/npm-check-updates/-/npm-check-updates-3.1.8.tgz"; + sha512 = "a/gb2RzV35cBZqVvUtOj6NIg5VODXr5V5fLbP5G+LJTxLosWzsV+SXdmaaXKEAjbNPGl7uZrdXlKuBm/8Mp+HA=="; }; dependencies = [ sources."@sindresorhus/is-0.14.0" sources."@szmarczak/http-timer-1.1.2" + sources."agent-base-4.2.1" sources."ansi-align-2.0.0" sources."ansi-regex-2.1.1" sources."ansi-styles-3.2.1" sources."argparse-1.0.10" - sources."bluebird-3.5.3" + sources."bluebird-3.5.4" sources."boxen-1.3.0" sources."cacheable-request-6.0.0" sources."camelcase-4.1.0" @@ -53748,7 +55098,8 @@ in sources."color-convert-1.9.3" sources."color-name-1.1.3" sources."colors-1.0.3" - sources."commander-2.19.0" + sources."commander-2.20.0" + sources."config-chain-1.1.12" sources."configstore-3.1.2" sources."create-error-class-3.0.2" sources."cross-spawn-5.1.0" @@ -53760,6 +55111,8 @@ in sources."dot-prop-4.2.0" sources."duplexer3-0.1.4" sources."end-of-stream-1.4.1" + sources."es6-promise-4.2.6" + sources."es6-promisify-5.0.0" sources."escape-string-regexp-1.0.5" sources."esprima-4.0.1" (sources."execa-0.7.0" // { @@ -53769,6 +55122,7 @@ in }) sources."fast-diff-1.2.0" sources."find-up-3.0.0" + sources."get-proxy-2.1.0" sources."get-stdin-6.0.0" sources."get-stream-4.1.0" sources."global-dirs-0.1.1" @@ -53777,6 +55131,7 @@ in sources."has-ansi-2.0.0" sources."has-flag-3.0.0" sources."http-cache-semantics-4.0.3" + sources."https-proxy-agent-2.2.1" sources."import-lazy-2.1.0" sources."imurmurhash-0.1.4" sources."ini-1.3.5" @@ -53791,12 +55146,12 @@ in sources."is-stream-1.1.0" sources."isexe-2.0.0" sources."jju-1.4.0" - sources."js-yaml-3.13.0" + sources."js-yaml-3.13.1" sources."json-buffer-3.0.0" sources."json-parse-helpfulerror-1.0.3" sources."json5-1.0.1" sources."keyv-3.1.0" - sources."kleur-3.0.2" + sources."kleur-3.0.3" (sources."latest-version-3.1.0" // { dependencies = [ sources."get-stream-3.0.0" @@ -53824,16 +55179,17 @@ in ]; }) sources."normalize-url-3.3.0" + sources."npm-conf-1.1.3" sources."npm-run-path-2.0.2" sources."object-assign-4.1.1" - sources."object-keys-1.1.0" + sources."object-keys-1.1.1" sources."once-1.4.0" sources."p-cancelable-1.1.0" sources."p-finally-1.0.0" sources."p-limit-2.2.0" sources."p-locate-3.0.0" - sources."p-try-2.1.0" - sources."package-json-6.1.0" + sources."p-try-2.2.0" + sources."package-json-6.3.0" sources."path-exists-3.0.0" sources."path-is-inside-1.0.2" sources."path-key-2.0.1" @@ -53842,18 +55198,19 @@ in sources."prepend-http-2.0.0" sources."progress-2.0.3" sources."prompts-2.0.4" + sources."proto-list-1.2.4" sources."pseudomap-1.0.2" sources."pump-3.0.0" sources."rc-1.2.8" sources."rc-config-loader-2.0.2" sources."registry-auth-token-3.4.0" - sources."registry-url-5.0.0" + sources."registry-url-5.1.0" sources."require-from-string-2.0.2" sources."requireg-0.2.1" sources."resolve-1.7.1" sources."responselike-1.0.2" sources."safe-buffer-5.1.2" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."semver-diff-2.1.0" sources."semver-utils-1.1.4" sources."shebang-command-1.2.0" @@ -53961,7 +55318,7 @@ in sources."balanced-match-1.0.0" sources."base64-js-0.0.8" sources."bencode-2.0.1" - sources."big-integer-1.6.42" + sources."big-integer-1.6.43" sources."bitfield-0.1.0" (sources."bittorrent-dht-6.4.2" // { dependencies = [ @@ -54080,7 +55437,7 @@ in sources."magnet-uri-5.2.4" sources."map-obj-1.0.1" sources."meow-3.7.0" - sources."mime-2.4.0" + sources."mime-2.4.2" sources."mimic-fn-1.2.0" sources."mimic-response-1.0.1" sources."minimatch-3.0.4" @@ -54136,7 +55493,7 @@ in sources."plist-1.2.0" sources."process-nextick-args-2.0.0" sources."pump-2.0.1" - (sources."random-access-file-2.1.0" // { + (sources."random-access-file-2.1.1" // { dependencies = [ sources."minimist-0.0.8" sources."mkdirp-0.5.1" @@ -54164,7 +55521,7 @@ in sources."rxjs-5.5.12" sources."safe-buffer-5.1.2" sources."safer-buffer-2.1.2" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."server-destroy-1.0.1" sources."signal-exit-3.0.2" sources."simple-concat-1.0.0" @@ -54181,7 +55538,7 @@ in sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" sources."speedometer-0.1.4" sources."stream-buffers-2.2.0" sources."string-width-1.0.2" @@ -54430,7 +55787,7 @@ in sources."http-errors-1.7.2" sources."http-signature-1.2.0" sources."iconv-lite-0.4.11" - sources."ieee754-1.1.12" + sources."ieee754-1.1.13" sources."immediate-chunk-store-1.0.8" sources."indexof-0.0.1" sources."inflight-1.0.6" @@ -54481,8 +55838,8 @@ in }) sources."methods-1.1.2" sources."mime-1.3.4" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."mimic-response-1.0.1" sources."minimatch-3.0.4" sources."minimist-0.0.8" @@ -54512,7 +55869,7 @@ in sources."parsejson-0.0.3" sources."parseqs-0.0.5" sources."parseuri-0.0.5" - sources."parseurl-1.3.2" + sources."parseurl-1.3.3" sources."path-is-absolute-1.0.1" sources."pause-0.1.0" (sources."peer-wire-protocol-0.7.1" // { @@ -54532,7 +55889,7 @@ in sources."pump-1.0.3" sources."punycode-2.1.1" sources."qs-6.5.2" - sources."random-access-file-2.1.0" + sources."random-access-file-2.1.1" sources."random-access-storage-1.3.0" sources."random-bytes-1.0.0" sources."random-iterate-1.0.1" @@ -54691,10 +56048,10 @@ in pnpm = nodeEnv.buildNodePackage { name = "pnpm"; packageName = "pnpm"; - version = "3.0.1"; + version = "3.1.1"; src = fetchurl { - url = "https://registry.npmjs.org/pnpm/-/pnpm-3.0.1.tgz"; - sha512 = "hYWjRN7U96zHDvgQxGyYaMF469Uzx0iZo2ej1VMUSaOULUFI9ue7dDku29AOf/E0+5Zd9zFs0qE0Tuq5Zsrk0A=="; + url = "https://registry.npmjs.org/pnpm/-/pnpm-3.1.1.tgz"; + sha512 = "MqSWfZXft1cQ6rFgpGpl8JIY3O8w8TnTplvjuCPMl4zRxAUAz0Gzr7ARYH6czoiTxBIbbhu41GfbsBfgMSGTwA=="; }; buildInputs = globalBuildInputs; meta = { @@ -54732,8 +56089,8 @@ in (sources."@babel/helper-call-delegate-7.4.0" // { dependencies = [ sources."@babel/generator-7.4.0" - sources."@babel/parser-7.4.2" - sources."@babel/traverse-7.4.0" + sources."@babel/parser-7.4.3" + sources."@babel/traverse-7.4.3" sources."@babel/types-7.4.0" sources."source-map-0.5.7" ]; @@ -54753,16 +56110,16 @@ in }) sources."@babel/helper-member-expression-to-functions-7.0.0" sources."@babel/helper-module-imports-7.0.0" - sources."@babel/helper-module-transforms-7.2.2" + sources."@babel/helper-module-transforms-7.4.3" sources."@babel/helper-optimise-call-expression-7.0.0" sources."@babel/helper-plugin-utils-7.0.0" - sources."@babel/helper-regex-7.0.0" + sources."@babel/helper-regex-7.4.3" sources."@babel/helper-remap-async-to-generator-7.1.0" (sources."@babel/helper-replace-supers-7.4.0" // { dependencies = [ sources."@babel/generator-7.4.0" - sources."@babel/parser-7.4.2" - sources."@babel/traverse-7.4.0" + sources."@babel/parser-7.4.3" + sources."@babel/traverse-7.4.3" sources."@babel/types-7.4.0" sources."source-map-0.5.7" ]; @@ -54774,12 +56131,12 @@ in ]; }) sources."@babel/helper-wrap-function-7.2.0" - (sources."@babel/helpers-7.4.2" // { + (sources."@babel/helpers-7.4.3" // { dependencies = [ sources."@babel/generator-7.4.0" - sources."@babel/parser-7.4.2" + sources."@babel/parser-7.4.3" sources."@babel/template-7.4.0" - sources."@babel/traverse-7.4.0" + sources."@babel/traverse-7.4.3" sources."@babel/types-7.4.0" sources."source-map-0.5.7" ]; @@ -54788,7 +56145,7 @@ in sources."@babel/parser-7.3.4" sources."@babel/plugin-proposal-async-generator-functions-7.2.0" sources."@babel/plugin-proposal-json-strings-7.2.0" - sources."@babel/plugin-proposal-object-rest-spread-7.4.0" + sources."@babel/plugin-proposal-object-rest-spread-7.4.3" sources."@babel/plugin-proposal-optional-catch-binding-7.2.0" sources."@babel/plugin-proposal-unicode-property-regex-7.4.0" sources."@babel/plugin-syntax-async-generators-7.2.0" @@ -54801,15 +56158,15 @@ in sources."@babel/plugin-transform-async-to-generator-7.4.0" sources."@babel/plugin-transform-block-scoped-functions-7.2.0" sources."@babel/plugin-transform-block-scoping-7.4.0" - sources."@babel/plugin-transform-classes-7.4.0" + sources."@babel/plugin-transform-classes-7.4.3" sources."@babel/plugin-transform-computed-properties-7.2.0" - sources."@babel/plugin-transform-destructuring-7.4.0" - sources."@babel/plugin-transform-dotall-regex-7.2.0" + sources."@babel/plugin-transform-destructuring-7.4.3" + sources."@babel/plugin-transform-dotall-regex-7.4.3" sources."@babel/plugin-transform-duplicate-keys-7.2.0" sources."@babel/plugin-transform-exponentiation-operator-7.2.0" sources."@babel/plugin-transform-flow-strip-types-7.3.4" - sources."@babel/plugin-transform-for-of-7.4.0" - sources."@babel/plugin-transform-function-name-7.2.0" + sources."@babel/plugin-transform-for-of-7.4.3" + sources."@babel/plugin-transform-function-name-7.4.3" sources."@babel/plugin-transform-literals-7.2.0" sources."@babel/plugin-transform-modules-amd-7.2.0" sources."@babel/plugin-transform-modules-commonjs-7.2.0" @@ -54818,15 +56175,15 @@ in sources."@babel/plugin-transform-named-capturing-groups-regex-7.4.2" sources."@babel/plugin-transform-new-target-7.4.0" sources."@babel/plugin-transform-object-super-7.2.0" - sources."@babel/plugin-transform-parameters-7.4.0" + sources."@babel/plugin-transform-parameters-7.4.3" sources."@babel/plugin-transform-react-jsx-7.3.0" - sources."@babel/plugin-transform-regenerator-7.4.0" + sources."@babel/plugin-transform-regenerator-7.4.3" sources."@babel/plugin-transform-shorthand-properties-7.2.0" sources."@babel/plugin-transform-spread-7.2.2" sources."@babel/plugin-transform-sticky-regex-7.2.0" sources."@babel/plugin-transform-template-literals-7.2.0" sources."@babel/plugin-transform-typeof-symbol-7.2.0" - sources."@babel/plugin-transform-unicode-regex-7.2.0" + sources."@babel/plugin-transform-unicode-regex-7.4.3" sources."@babel/preset-env-7.3.4" sources."@babel/runtime-7.3.4" sources."@babel/template-7.2.2" @@ -54844,7 +56201,7 @@ in sources."abab-2.0.0" sources."abbrev-1.1.1" sources."acorn-5.7.3" - (sources."acorn-globals-4.3.0" // { + (sources."acorn-globals-4.3.2" // { dependencies = [ sources."acorn-6.1.1" ]; @@ -54876,7 +56233,7 @@ in }) sources."assert-plus-1.0.0" sources."assign-symbols-1.0.0" - sources."async-each-1.0.2" + sources."async-each-1.0.3" sources."async-limiter-1.0.0" sources."asynckit-0.4.0" sources."atob-2.1.2" @@ -54901,7 +56258,7 @@ in }) sources."base64-js-1.3.0" sources."bcrypt-pbkdf-1.0.2" - sources."binary-extensions-1.13.0" + sources."binary-extensions-1.13.1" sources."bindings-1.2.1" sources."bn.js-4.11.8" sources."boolbase-1.0.0" @@ -54920,7 +56277,7 @@ in sources."pako-1.0.10" ]; }) - sources."browserslist-4.5.2" + sources."browserslist-4.5.5" (sources."buffer-4.9.1" // { dependencies = [ sources."isarray-1.0.0" @@ -54936,10 +56293,10 @@ in sources."caller-path-2.0.0" sources."callsites-2.0.0" sources."caniuse-api-3.0.0" - sources."caniuse-lite-1.0.30000951" + sources."caniuse-lite-1.0.30000962" sources."caseless-0.12.0" sources."chalk-2.4.2" - sources."chokidar-2.1.2" + sources."chokidar-2.1.5" sources."cipher-base-1.0.4" sources."class-utils-0.3.6" sources."cli-cursor-2.1.0" @@ -54948,14 +56305,14 @@ in sources."clones-1.2.0" sources."coa-2.0.2" sources."collection-visit-1.0.0" - sources."color-3.1.0" + sources."color-3.1.1" sources."color-convert-1.9.3" sources."color-name-1.1.3" sources."color-string-1.5.3" sources."combined-stream-1.0.7" sources."command-exists-1.2.8" - sources."commander-2.19.0" - sources."component-emitter-1.2.1" + sources."commander-2.20.0" + sources."component-emitter-1.3.0" sources."concat-map-0.0.1" sources."concat-stream-1.6.2" sources."config-chain-1.1.12" @@ -54965,7 +56322,7 @@ in sources."copy-descriptor-0.1.1" sources."core-js-2.6.5" sources."core-util-is-1.0.2" - sources."cosmiconfig-5.1.0" + sources."cosmiconfig-5.2.0" sources."create-ecdh-4.0.3" sources."create-hash-1.2.0" sources."create-hmac-1.1.7" @@ -55021,7 +56378,7 @@ in ]; }) sources."cssom-0.3.6" - sources."cssstyle-1.2.1" + sources."cssstyle-1.2.2" sources."dashdash-1.14.1" (sources."data-urls-1.1.0" // { dependencies = [ @@ -55073,7 +56430,7 @@ in sources."ecc-jsbn-0.1.2" sources."editorconfig-0.15.3" sources."ee-first-1.1.1" - sources."electron-to-chromium-1.3.118" + sources."electron-to-chromium-1.3.125" sources."elliptic-6.4.1" sources."encodeurl-1.0.2" sources."entities-1.1.2" @@ -55118,7 +56475,7 @@ in sources."fragment-cache-0.2.1" sources."fresh-0.5.2" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.7" + sources."fsevents-1.2.8" sources."function-bind-1.1.1" sources."get-port-3.2.0" sources."get-value-2.0.6" @@ -55161,7 +56518,7 @@ in sources."htmlnano-0.2.3" (sources."htmlparser2-3.10.1" // { dependencies = [ - sources."readable-stream-3.2.0" + sources."readable-stream-3.3.0" ]; }) sources."http-errors-1.6.3" @@ -55169,7 +56526,7 @@ in sources."https-browserify-1.0.0" sources."iconv-lite-0.4.24" sources."icss-replace-symbols-1.1.0" - sources."ieee754-1.1.12" + sources."ieee754-1.1.13" sources."import-fresh-2.0.0" sources."indexes-of-1.0.1" sources."indexof-0.0.1" @@ -55202,7 +56559,7 @@ in sources."is-directory-0.3.1" sources."is-extendable-0.1.1" sources."is-extglob-2.1.1" - sources."is-glob-4.0.0" + sources."is-glob-4.0.1" sources."is-html-1.1.0" sources."is-number-3.0.0" sources."is-obj-1.0.1" @@ -55219,10 +56576,10 @@ in sources."isexe-2.0.0" sources."isobject-3.0.1" sources."isstream-0.1.2" - sources."js-beautify-1.9.0" + sources."js-beautify-1.9.1" sources."js-levenshtein-1.1.6" sources."js-tokens-4.0.0" - (sources."js-yaml-3.13.0" // { + (sources."js-yaml-3.13.1" // { dependencies = [ sources."esprima-4.0.1" ]; @@ -55241,7 +56598,6 @@ in sources."levn-0.3.0" sources."lodash-4.17.11" sources."lodash.clone-4.5.0" - sources."lodash.get-4.4.2" sources."lodash.memoize-4.1.2" sources."lodash.sortby-4.7.0" sources."lodash.uniq-4.5.0" @@ -55269,8 +56625,8 @@ in }) sources."miller-rabin-4.0.1" sources."mime-1.4.1" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."mimic-fn-1.2.0" sources."minimalistic-assert-1.0.1" sources."minimalistic-crypto-utils-1.0.1" @@ -55287,7 +56643,7 @@ in ]; }) sources."ms-2.1.1" - sources."nan-2.13.1" + sources."nan-2.13.2" (sources."nanomatch-1.2.13" // { dependencies = [ sources."define-property-2.0.2" @@ -55297,25 +56653,25 @@ in ]; }) sources."nice-try-1.0.5" - sources."node-addon-api-1.6.2" + sources."node-addon-api-1.6.3" sources."node-forge-0.7.6" (sources."node-libs-browser-2.2.0" // { dependencies = [ sources."punycode-1.4.1" ]; }) - sources."node-releases-1.1.11" + sources."node-releases-1.1.16" sources."nopt-4.0.1" sources."normalize-html-whitespace-0.2.0" sources."normalize-path-3.0.0" sources."normalize-url-3.3.0" sources."nth-check-1.0.2" - sources."nwsapi-2.1.1" + sources."nwsapi-2.1.3" sources."oauth-sign-0.9.0" sources."object-assign-4.1.1" sources."object-copy-0.1.0" sources."object-inspect-1.4.1" - sources."object-keys-1.1.0" + sources."object-keys-1.1.1" sources."object-visit-1.0.1" sources."object.getownpropertydescriptors-2.0.3" sources."object.pick-1.3.0" @@ -55334,7 +56690,7 @@ in sources."parse-asn1-5.1.4" sources."parse-json-4.0.0" sources."parse5-4.0.0" - sources."parseurl-1.3.2" + sources."parseurl-1.3.3" sources."pascalcase-0.1.1" sources."path-browserify-0.0.0" sources."path-dirname-1.0.2" @@ -55487,7 +56843,7 @@ in sources."safer-buffer-2.1.2" sources."safer-eval-1.3.2" sources."sax-1.2.4" - sources."semver-5.6.0" + sources."semver-5.7.0" (sources."send-0.16.2" // { dependencies = [ sources."debug-2.6.9" @@ -55525,7 +56881,7 @@ in sources."snapdragon-util-3.0.1" sources."source-map-0.6.1" sources."source-map-resolve-0.5.2" - sources."source-map-support-0.5.11" + sources."source-map-support-0.5.12" sources."source-map-url-0.4.0" (sources."split-string-3.1.0" // { dependencies = [ @@ -55551,7 +56907,7 @@ in ]; }) sources."supports-color-5.5.0" - sources."svgo-1.2.0" + sources."svgo-1.2.2" sources."symbol-tree-3.2.2" sources."terser-3.17.0" sources."through2-2.0.5" @@ -55651,10 +57007,10 @@ in prettier = nodeEnv.buildNodePackage { name = "prettier"; packageName = "prettier"; - version = "1.16.4"; + version = "1.17.0"; src = fetchurl { - url = "https://registry.npmjs.org/prettier/-/prettier-1.16.4.tgz"; - sha512 = "ZzWuos7TI5CKUeQAtFd6Zhm2s6EpAD/ZLApIhsF9pRvRtM1RFo61dM/4MSRUA0SuLugA/zgrZD8m0BaY46Og7g=="; + url = "https://registry.npmjs.org/prettier/-/prettier-1.17.0.tgz"; + sha512 = "sXe5lSt2WQlCbydGETgfm1YBShgOX4HxQkFPvbxkcwgDvGDeqVau8h+12+lmSVlP3rHPz0oavfddSZg/q+Szjw=="; }; buildInputs = globalBuildInputs; meta = { @@ -55668,10 +57024,10 @@ in pulp = nodeEnv.buildNodePackage { name = "pulp"; packageName = "pulp"; - version = "12.3.1"; + version = "12.4.2"; src = fetchurl { - url = "https://registry.npmjs.org/pulp/-/pulp-12.3.1.tgz"; - sha512 = "UVkXppOVShd8GRe+dGWGihGhYgPnwGv2GszGAUUKlWg61qB5yFBlcNHJywyE0yZhuA5HWTt5JCmnfVYqTL0hqQ=="; + url = "https://registry.npmjs.org/pulp/-/pulp-12.4.2.tgz"; + sha512 = "hU2vADQCh/PJBtVX5rTpSyhHbOopYUZ5QLlgoz0ZBFHjgGY1I9dPBqH5FW5uag9Q1Ewz8OeWHtLDmRtY6w7UlQ=="; }; dependencies = [ sources."JSONStream-1.3.5" @@ -55679,18 +57035,9 @@ in sources."acorn-dynamic-import-4.0.0" sources."acorn-node-1.6.2" sources."acorn-walk-6.1.1" - (sources."anymatch-2.0.0" // { - dependencies = [ - sources."normalize-path-2.1.1" - ]; - }) - sources."arr-diff-4.0.0" - sources."arr-flatten-1.1.0" - sources."arr-union-3.1.0" sources."array-filter-0.0.1" sources."array-map-0.0.0" sources."array-reduce-0.0.0" - sources."array-unique-0.3.2" sources."asn1.js-4.10.1" (sources."assert-1.4.1" // { dependencies = [ @@ -55698,26 +57045,11 @@ in sources."util-0.10.3" ]; }) - sources."assign-symbols-1.0.0" sources."async-1.5.2" - sources."async-each-1.0.2" - sources."atob-2.1.2" sources."balanced-match-1.0.0" - (sources."base-0.11.2" // { - dependencies = [ - sources."define-property-1.0.0" - ]; - }) sources."base64-js-1.3.0" - sources."binary-extensions-1.13.0" sources."bn.js-4.11.8" sources."brace-expansion-1.1.11" - (sources."braces-2.3.2" // { - dependencies = [ - sources."extend-shallow-2.0.1" - sources."is-extendable-0.1.1" - ]; - }) sources."brorand-1.1.0" sources."browser-pack-6.1.0" (sources."browser-resolve-1.11.3" // { @@ -55725,13 +57057,9 @@ in sources."resolve-1.1.7" ]; }) - (sources."browserify-13.3.0" // { + (sources."browserify-16.2.3" // { dependencies = [ - (sources."concat-stream-1.5.2" // { - dependencies = [ - sources."readable-stream-2.0.6" - ]; - }) + sources."concat-stream-1.6.2" ]; }) sources."browserify-aes-1.2.0" @@ -55746,43 +57074,25 @@ in }) sources."browserify-rsa-4.0.1" sources."browserify-sign-4.0.4" - sources."browserify-zlib-0.1.4" - sources."buffer-4.9.1" + sources."browserify-zlib-0.2.0" + sources."buffer-5.2.1" sources."buffer-crc32-0.2.13" sources."buffer-from-1.1.1" sources."buffer-xor-1.0.3" sources."builtin-status-codes-3.0.0" - sources."cache-base-1.0.1" sources."cached-path-relative-1.0.2" - sources."chokidar-2.1.2" sources."cipher-base-1.0.4" - (sources."class-utils-0.3.6" // { - dependencies = [ - sources."define-property-0.2.5" - (sources."is-accessor-descriptor-0.1.6" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - (sources."is-data-descriptor-0.1.4" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - sources."is-descriptor-0.1.6" - sources."kind-of-5.1.0" - ]; - }) - sources."collection-visit-1.0.0" sources."colors-1.3.3" sources."combine-source-map-0.8.0" - sources."component-emitter-1.2.1" sources."concat-map-0.0.1" - sources."concat-stream-1.6.2" + (sources."concat-stream-2.0.0" // { + dependencies = [ + sources."readable-stream-3.3.0" + ]; + }) sources."console-browserify-1.1.0" sources."constants-browserify-1.0.0" sources."convert-source-map-1.1.3" - sources."copy-descriptor-0.1.1" sources."core-util-is-1.0.2" sources."create-ecdh-4.0.3" sources."create-hash-1.2.0" @@ -55790,140 +57100,63 @@ in sources."crypto-browserify-3.12.0" sources."dash-ast-1.0.0" sources."date-now-0.1.4" - sources."debug-2.6.9" - sources."decode-uri-component-0.2.0" - sources."define-property-2.0.2" sources."defined-1.0.0" sources."deps-sort-2.0.0" sources."des.js-1.0.0" - (sources."detective-4.7.1" // { + (sources."detective-5.2.0" // { dependencies = [ - sources."acorn-5.7.3" + sources."minimist-1.2.0" ]; }) sources."diffie-hellman-5.0.3" - sources."domain-browser-1.1.7" + sources."domain-browser-1.2.0" sources."duplexer2-0.1.4" sources."elliptic-6.4.1" sources."es6-promise-3.3.1" - sources."events-1.1.1" + sources."events-2.1.0" sources."evp_bytestokey-1.0.3" - (sources."expand-brackets-2.1.4" // { - dependencies = [ - sources."define-property-0.2.5" - sources."extend-shallow-2.0.1" - (sources."is-accessor-descriptor-0.1.6" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - (sources."is-data-descriptor-0.1.4" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - sources."is-descriptor-0.1.6" - sources."is-extendable-0.1.1" - sources."kind-of-5.1.0" - ]; - }) - sources."extend-shallow-3.0.2" - (sources."extglob-2.0.4" // { - dependencies = [ - sources."define-property-1.0.0" - sources."extend-shallow-2.0.1" - sources."is-extendable-0.1.1" - ]; - }) - (sources."fill-range-4.0.0" // { - dependencies = [ - sources."extend-shallow-2.0.1" - sources."is-extendable-0.1.1" - ]; - }) - sources."for-in-1.0.2" - sources."fragment-cache-0.2.1" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.7" sources."function-bind-1.1.1" + sources."gaze-1.1.3" sources."get-assigned-identifiers-1.2.0" - sources."get-value-2.0.6" sources."glob-7.1.3" - (sources."glob-parent-3.1.0" // { - dependencies = [ - sources."is-glob-3.1.0" - ]; - }) + sources."globule-1.2.1" sources."graceful-fs-4.1.15" sources."has-1.0.3" - sources."has-value-1.0.0" - (sources."has-values-1.0.0" // { - dependencies = [ - sources."kind-of-4.0.0" - ]; - }) sources."hash-base-3.0.4" sources."hash.js-1.1.7" sources."hmac-drbg-1.0.1" sources."htmlescape-1.1.1" - sources."https-browserify-0.0.1" - sources."ieee754-1.1.12" - sources."indexof-0.0.1" + sources."https-browserify-1.0.0" + sources."ieee754-1.1.13" sources."inflight-1.0.6" sources."inherits-2.0.3" sources."inline-source-map-0.6.2" - sources."insert-module-globals-7.2.0" - sources."is-accessor-descriptor-1.0.0" - sources."is-binary-path-1.0.1" - sources."is-buffer-1.1.6" - sources."is-data-descriptor-1.0.0" - sources."is-descriptor-1.0.2" - sources."is-extendable-1.0.1" - sources."is-extglob-2.1.1" - sources."is-glob-4.0.0" - (sources."is-number-3.0.0" // { + (sources."insert-module-globals-7.2.0" // { dependencies = [ - sources."kind-of-3.2.2" + sources."concat-stream-1.6.2" ]; }) - sources."is-plain-object-2.0.4" - sources."is-windows-1.0.2" - sources."isarray-1.0.0" + sources."is-buffer-1.1.6" + sources."isarray-2.0.4" sources."isexe-2.0.0" - sources."isobject-3.0.1" sources."json-stable-stringify-0.0.1" sources."jsonify-0.0.0" sources."jsonparse-1.3.1" - sources."kind-of-6.0.2" - (sources."labeled-stream-splicer-2.0.1" // { - dependencies = [ - sources."isarray-2.0.4" - ]; - }) + sources."labeled-stream-splicer-2.0.1" + sources."lodash-4.17.11" sources."lodash.memoize-3.0.4" - sources."map-cache-0.2.2" - sources."map-visit-1.0.0" sources."md5.js-1.3.5" - sources."micromatch-3.1.10" sources."miller-rabin-4.0.1" sources."mime-1.6.0" sources."minimalistic-assert-1.0.1" sources."minimalistic-crypto-utils-1.0.1" sources."minimatch-3.0.4" - sources."minimist-1.2.0" - sources."mixin-deep-1.3.1" - (sources."mkdirp-0.5.1" // { - dependencies = [ - sources."minimist-0.0.8" - ]; - }) - (sources."module-deps-4.1.1" // { + sources."minimist-0.0.8" + sources."mkdirp-0.5.1" + (sources."module-deps-6.2.0" // { dependencies = [ - (sources."concat-stream-1.5.2" // { - dependencies = [ - sources."readable-stream-2.0.6" - ]; - }) + sources."concat-stream-1.6.2" ]; }) (sources."mold-source-map-0.4.0" // { @@ -55931,50 +57164,25 @@ in sources."through-2.2.7" ]; }) - sources."ms-2.0.0" sources."mute-stream-0.0.8" - sources."nan-2.13.1" - sources."nanomatch-1.2.13" - sources."neo-async-2.6.0" sources."node-static-0.7.11" - sources."normalize-path-3.0.0" - (sources."object-copy-0.1.0" // { - dependencies = [ - sources."define-property-0.2.5" - sources."is-accessor-descriptor-0.1.6" - sources."is-data-descriptor-0.1.4" - (sources."is-descriptor-0.1.6" // { - dependencies = [ - sources."kind-of-5.1.0" - ]; - }) - sources."kind-of-3.2.2" - ]; - }) - sources."object-visit-1.0.1" - sources."object.pick-1.3.0" sources."once-1.4.0" (sources."optimist-0.6.1" // { dependencies = [ - sources."minimist-0.0.10" sources."wordwrap-0.0.3" ]; }) - sources."os-browserify-0.1.2" - sources."os-tmpdir-1.0.2" - sources."pako-0.2.9" + sources."os-browserify-0.3.0" + sources."pako-1.0.10" sources."parents-1.0.1" sources."parse-asn1-5.1.4" - sources."pascalcase-0.1.1" sources."path-browserify-0.0.1" - sources."path-dirname-1.0.2" sources."path-is-absolute-1.0.1" sources."path-parse-1.0.6" sources."path-platform-0.11.15" sources."pbkdf2-3.0.17" - sources."posix-character-classes-0.1.1" sources."process-0.11.10" - sources."process-nextick-args-1.0.7" + sources."process-nextick-args-2.0.0" sources."public-encrypt-4.0.3" sources."punycode-1.4.1" sources."querystring-0.2.0" @@ -55985,143 +57193,56 @@ in sources."read-only-stream-2.0.0" (sources."readable-stream-2.3.6" // { dependencies = [ - sources."process-nextick-args-2.0.0" + sources."isarray-1.0.0" sources."string_decoder-1.1.1" ]; }) - sources."readdirp-2.2.1" - sources."regex-not-1.0.2" - sources."remove-trailing-separator-1.1.0" - sources."repeat-element-1.1.3" - sources."repeat-string-1.6.1" sources."resolve-1.10.0" - sources."resolve-url-0.2.1" - sources."ret-0.1.15" sources."rimraf-2.6.3" sources."ripemd160-2.0.2" sources."safe-buffer-5.1.2" - sources."safe-regex-1.1.0" sources."sander-0.5.1" - (sources."set-value-2.0.0" // { - dependencies = [ - sources."extend-shallow-2.0.1" - sources."is-extendable-0.1.1" - ]; - }) sources."sha.js-2.4.11" sources."shasum-1.0.2" sources."shell-quote-1.6.1" sources."simple-concat-1.0.0" - (sources."snapdragon-0.8.2" // { + (sources."sorcery-0.10.0" // { dependencies = [ - sources."define-property-0.2.5" - sources."extend-shallow-2.0.1" - (sources."is-accessor-descriptor-0.1.6" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - (sources."is-data-descriptor-0.1.4" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - sources."is-descriptor-0.1.6" - sources."is-extendable-0.1.1" - sources."kind-of-5.1.0" - ]; - }) - (sources."snapdragon-node-2.1.1" // { - dependencies = [ - sources."define-property-1.0.0" - ]; - }) - (sources."snapdragon-util-3.0.1" // { - dependencies = [ - sources."kind-of-3.2.2" + sources."minimist-1.2.0" ]; }) - sources."sorcery-0.10.0" sources."source-map-0.5.7" - sources."source-map-resolve-0.5.2" - sources."source-map-url-0.4.0" sources."sourcemap-codec-1.4.4" - sources."split-string-3.1.0" - (sources."static-extend-0.1.2" // { - dependencies = [ - sources."define-property-0.2.5" - (sources."is-accessor-descriptor-0.1.6" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - (sources."is-data-descriptor-0.1.4" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - sources."is-descriptor-0.1.6" - sources."kind-of-5.1.0" - ]; - }) sources."stream-browserify-2.0.2" sources."stream-combiner2-1.1.1" sources."stream-http-2.8.3" sources."stream-splicer-2.0.0" sources."string-stream-0.0.7" - sources."string_decoder-0.10.31" - sources."subarg-1.0.0" - sources."syntax-error-1.4.0" - (sources."temp-0.8.3" // { + sources."string_decoder-1.2.0" + (sources."subarg-1.0.0" // { dependencies = [ - sources."rimraf-2.2.8" + sources."minimist-1.2.0" ]; }) + sources."syntax-error-1.4.0" + sources."temp-0.9.0" sources."through-2.3.8" sources."through2-2.0.5" sources."timers-browserify-1.4.2" sources."to-arraybuffer-1.0.1" - (sources."to-object-path-0.3.0" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - sources."to-regex-3.0.2" - sources."to-regex-range-2.1.1" sources."tree-kill-1.2.1" sources."tty-browserify-0.0.1" sources."typedarray-0.0.6" sources."umd-3.0.3" sources."undeclared-identifiers-1.1.3" - (sources."union-value-1.0.0" // { - dependencies = [ - sources."extend-shallow-2.0.1" - sources."is-extendable-0.1.1" - sources."set-value-0.4.3" - ]; - }) - (sources."unset-value-1.0.0" // { - dependencies = [ - (sources."has-value-0.3.1" // { - dependencies = [ - sources."isobject-2.1.0" - ]; - }) - sources."has-values-0.1.4" - ]; - }) - sources."upath-1.1.2" - sources."urix-0.1.0" (sources."url-0.11.0" // { dependencies = [ sources."punycode-1.3.2" ]; }) - sources."use-3.1.1" sources."util-0.10.4" sources."util-deprecate-1.0.2" - sources."vm-browserify-0.0.4" - sources."watchpack-1.6.0" + sources."vm-browserify-1.1.0" sources."which-1.3.1" sources."wordwrap-1.0.0" sources."wrappy-1.0.2" @@ -56130,7 +57251,7 @@ in buildInputs = globalBuildInputs; meta = { description = "A build system for PureScript projects"; - homepage = https://github.com/bodil/pulp; + homepage = https://github.com/purescript-contrib/pulp; license = "LGPL-3.0+"; }; production = true; @@ -56151,7 +57272,7 @@ in sources."balanced-match-1.0.0" sources."base62-0.1.1" sources."brace-expansion-1.1.11" - sources."commander-2.19.0" + sources."commander-2.20.0" sources."commoner-0.10.8" sources."concat-map-0.0.1" sources."defined-1.0.0" @@ -56233,7 +57354,7 @@ in sources."read-1.0.7" sources."revalidator-0.1.8" sources."rimraf-2.6.3" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."stack-trace-0.0.10" sources."strip-ansi-3.0.1" sources."supports-color-2.0.0" @@ -56257,10 +57378,10 @@ in "reveal.js" = nodeEnv.buildNodePackage { name = "reveal.js"; packageName = "reveal.js"; - version = "3.7.0"; + version = "3.8.0"; src = fetchurl { - url = "https://registry.npmjs.org/reveal.js/-/reveal.js-3.7.0.tgz"; - sha512 = "HTOTNhF5mQAw6fcsptk4oql/DEEUwTG0YHk/LzTNNx0/3IgvOQZqKzvlK/zNpqqKMLlhn1gH9Nvp+FFoc/e5/w=="; + url = "https://registry.npmjs.org/reveal.js/-/reveal.js-3.8.0.tgz"; + sha512 = "MQ+UBXIIL9IyBXhkLZWb/AG5h5/BedmnHXHIEavMBawNLVfAayvTrwNlDjGn4tf/CQ2CTJR8jSyIdu4RpfoLpg=="; }; buildInputs = globalBuildInputs; meta = { @@ -56351,8 +57472,8 @@ in sources."keypress-0.1.0" sources."methods-0.1.0" sources."mime-1.2.11" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."mkdirp-0.3.5" sources."ms-2.1.1" sources."multiparty-2.2.0" @@ -56416,7 +57537,12 @@ in }; dependencies = [ sources."abstract-leveldown-5.0.0" - sources."aligned-block-file-1.1.5" + (sources."aligned-block-file-1.2.0" // { + dependencies = [ + sources."int53-1.0.0" + sources."uint48be-2.0.1" + ]; + }) sources."ansi-escapes-1.4.0" sources."ansi-regex-2.1.1" sources."ansi-styles-2.2.1" @@ -56432,7 +57558,7 @@ in sources."array-unique-0.2.1" sources."arrify-1.0.1" sources."assign-symbols-1.0.0" - sources."async-each-1.0.2" + sources."async-each-1.0.3" sources."async-single-1.0.5" sources."async-write-2.1.0" sources."atob-2.1.2" @@ -56446,9 +57572,9 @@ in sources."isobject-3.0.1" ]; }) - sources."base64-url-2.2.0" + sources."base64-url-2.2.1" sources."bash-color-0.0.4" - sources."binary-extensions-1.13.0" + sources."binary-extensions-1.13.1" sources."binary-search-1.3.5" sources."bindings-1.3.1" sources."bl-1.2.2" @@ -56475,7 +57601,7 @@ in sources."character-entities-legacy-1.1.2" sources."character-reference-invalid-1.1.2" sources."charwise-3.0.1" - sources."chloride-2.2.12" + sources."chloride-2.2.14" sources."chloride-test-1.2.4" sources."chokidar-1.7.0" sources."chownr-1.1.1" @@ -56502,9 +57628,9 @@ in sources."code-point-at-1.1.0" sources."collapse-white-space-1.0.4" sources."collection-visit-1.0.0" - sources."commander-2.19.0" + sources."commander-2.20.0" sources."compare-at-paths-1.0.0" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."concat-map-0.0.1" sources."concat-stream-1.6.2" sources."console-control-strings-1.1.0" @@ -56588,14 +57714,23 @@ in sources."map-filter-reduce-3.2.2" ]; }) - sources."flumeview-reduce-1.3.15" + (sources."flumeview-reduce-1.3.16" // { + dependencies = [ + (sources."atomic-file-2.0.0" // { + dependencies = [ + sources."flumecodec-0.0.1" + ]; + }) + sources."level-codec-6.2.0" + ]; + }) sources."for-each-0.3.3" sources."for-in-1.0.2" sources."for-own-0.1.5" sources."fragment-cache-0.2.1" sources."fs-constants-1.0.0" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.7" + sources."fsevents-1.2.8" sources."function-bind-1.1.1" sources."gauge-2.7.4" sources."get-value-2.0.6" @@ -56629,6 +57764,7 @@ in sources."he-0.5.0" sources."heap-0.2.6" sources."hoox-0.0.1" + sources."idb-kv-store-4.4.0" sources."increment-buffer-1.0.1" sources."inflight-1.0.6" sources."inherits-2.0.3" @@ -56677,7 +57813,7 @@ in sources."is-primitive-2.0.0" sources."is-regex-1.0.4" sources."is-symbol-1.0.2" - sources."is-valid-domain-0.0.7" + sources."is-valid-domain-0.0.9" sources."is-windows-1.0.2" sources."isarray-1.0.0" sources."isexe-2.0.0" @@ -56686,8 +57822,8 @@ in sources."kind-of-3.2.2" sources."layered-graph-1.1.3" sources."level-4.0.0" - sources."level-codec-9.0.0" - sources."level-errors-2.0.0" + sources."level-codec-9.0.1" + sources."level-errors-2.0.1" sources."level-iterator-stream-3.0.1" sources."level-packager-3.1.0" sources."level-post-1.0.7" @@ -56726,7 +57862,7 @@ in sources."log-update-1.0.2" sources."longest-streak-1.0.0" sources."looper-3.0.0" - sources."lossy-store-1.2.3" + sources."lossy-store-1.2.4" sources."ltgt-2.2.1" sources."map-cache-0.2.2" sources."map-filter-reduce-2.2.1" @@ -56752,10 +57888,10 @@ in sources."monotonic-timestamp-0.0.9" sources."moo-0.4.3" sources."ms-2.0.0" - sources."multiblob-1.13.3" + sources."multiblob-1.13.4" sources."multiblob-http-0.4.2" sources."multicb-1.2.2" - (sources."multiserver-3.3.1" // { + (sources."multiserver-3.3.2" // { dependencies = [ sources."debug-4.1.1" sources."ms-2.1.1" @@ -56779,7 +57915,7 @@ in sources."rimraf-2.4.5" ]; }) - sources."nan-2.13.1" + sources."nan-2.13.2" (sources."nanomatch-1.2.13" // { dependencies = [ sources."arr-diff-4.0.0" @@ -56814,7 +57950,7 @@ in ]; }) sources."object-inspect-1.6.0" - sources."object-keys-1.1.0" + sources."object-keys-1.1.1" (sources."object-visit-1.0.1" // { dependencies = [ sources."isobject-3.0.1" @@ -56855,6 +57991,7 @@ in sources."preserve-0.2.0" sources."private-box-0.3.0" sources."process-nextick-args-2.0.0" + sources."promisize-1.1.2" sources."prr-1.0.1" sources."pull-abortable-4.0.0" sources."pull-box-stream-1.0.13" @@ -57015,7 +58152,7 @@ in sources."ms-2.1.1" ]; }) - sources."semver-5.6.0" + sources."semver-5.7.0" sources."separator-escape-0.0.0" sources."set-blocking-2.0.0" (sources."set-value-2.0.0" // { @@ -57057,8 +58194,8 @@ in }) sources."snapdragon-util-3.0.1" sources."socks-2.3.2" - sources."sodium-browserify-1.2.5" - (sources."sodium-browserify-tweetnacl-0.2.5" // { + sources."sodium-browserify-1.2.7" + (sources."sodium-browserify-tweetnacl-0.2.6" // { dependencies = [ sources."sha.js-2.4.11" sources."tweetnacl-1.0.1" @@ -57071,16 +58208,16 @@ in sources."source-map-url-0.4.0" sources."split-buffer-1.0.0" sources."split-string-3.1.0" - (sources."ssb-blobs-1.1.13" // { + (sources."ssb-blobs-1.1.15" // { dependencies = [ sources."debug-4.1.1" sources."ms-2.1.1" ]; }) - sources."ssb-client-4.7.1" + sources."ssb-client-4.7.2" sources."ssb-config-2.3.9" sources."ssb-db-18.6.5" - sources."ssb-ebt-5.5.3" + sources."ssb-ebt-5.6.3" sources."ssb-friends-3.1.13" sources."ssb-keys-7.1.5" sources."ssb-links-3.0.4" @@ -57213,10 +58350,10 @@ in semver = nodeEnv.buildNodePackage { name = "semver"; packageName = "semver"; - version = "5.6.0"; + version = "6.0.0"; src = fetchurl { - url = "https://registry.npmjs.org/semver/-/semver-5.6.0.tgz"; - sha512 = "RS9R6R35NYgQn++fkDWaOmqGoj4Ek9gGs+DPxNUZKuwE183xjJroKvyo1IzVFeXvUrvmALy6FWD5xrdJT25gMg=="; + url = "https://registry.npmjs.org/semver/-/semver-6.0.0.tgz"; + sha512 = "0UewU+9rFapKFnlbirLi3byoOuhrSsli/z/ihNnvM24vgF+8sNBiI1LZPBSH9wJKUwaUbw+s3hToDLCXkrghrQ=="; }; buildInputs = globalBuildInputs; meta = { @@ -57230,10 +58367,10 @@ in serve = nodeEnv.buildNodePackage { name = "serve"; packageName = "serve"; - version = "10.1.2"; + version = "11.0.0"; src = fetchurl { - url = "https://registry.npmjs.org/serve/-/serve-10.1.2.tgz"; - sha512 = "TVH35uwndRlCqSeX3grR3Ntrjx2aBTeu6sx+zTD2CzN2N/rHuEDTvxiBwWbrellJNyWiQFz2xZmoW+UxV+Zahg=="; + url = "https://registry.npmjs.org/serve/-/serve-11.0.0.tgz"; + sha512 = "Gnyyp3JAtRUo0dRH1/YWPKbnaXHfzQBiVh9+qSUi6tyVcVA8twUP2c+GnOwsoe9Ss7dfOHJUTSA4fdWP//Y4gQ=="; }; dependencies = [ sources."@zeit/schemas-2.6.0" @@ -57282,8 +58419,8 @@ in sources."isexe-2.0.0" sources."json-schema-traverse-0.4.1" sources."lru-cache-4.1.5" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimatch-3.0.4" sources."minimist-1.2.0" sources."ms-2.0.0" @@ -57301,7 +58438,7 @@ in sources."registry-auth-token-3.3.2" sources."registry-url-3.1.0" sources."safe-buffer-5.1.2" - (sources."serve-handler-5.0.8" // { + (sources."serve-handler-6.0.0" // { dependencies = [ sources."mime-db-1.33.0" sources."mime-types-2.1.18" @@ -57365,7 +58502,7 @@ in sources."caseless-0.12.0" sources."cheerio-0.17.0" sources."combined-stream-1.0.7" - sources."commander-2.19.0" + sources."commander-2.20.0" sources."component-bind-1.0.0" sources."component-emitter-1.1.2" sources."component-inherit-0.0.3" @@ -57435,7 +58572,7 @@ in sources."iconv-lite-0.4.23" sources."indexof-0.0.1" sources."inherits-2.0.3" - sources."ipaddr.js-1.8.0" + sources."ipaddr.js-1.9.0" sources."irc-replies-2.0.1" sources."is-typedarray-1.0.0" sources."isarray-0.0.1" @@ -57453,8 +58590,8 @@ in sources."merge-descriptors-1.0.1" sources."methods-1.1.2" sources."mime-1.4.1" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimist-0.0.8" sources."mkdirp-0.5.1" sources."moment-2.7.0" @@ -57469,11 +58606,11 @@ in sources."parsejson-0.0.1" sources."parseqs-0.0.2" sources."parseuri-0.0.2" - sources."parseurl-1.3.2" + sources."parseurl-1.3.3" sources."path-to-regexp-0.1.7" sources."pause-stream-0.0.11" sources."performance-now-2.1.0" - sources."proxy-addr-2.0.4" + sources."proxy-addr-2.0.5" sources."psl-1.1.31" sources."punycode-2.1.1" sources."qs-6.5.2" @@ -57602,7 +58739,7 @@ in sources."collection-visit-1.0.0" sources."colors-1.0.3" sources."commander-2.9.0" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."copy-descriptor-0.1.1" sources."core-util-is-1.0.2" sources."debug-2.6.9" @@ -57850,7 +58987,7 @@ in sources."minimist-0.0.8" sources."mkdirp-0.5.1" sources."mv-2.1.1" - sources."nan-2.13.1" + sources."nan-2.13.2" sources."ncp-2.0.0" sources."negotiator-0.5.3" sources."node-uuid-1.4.8" @@ -57943,26 +59080,27 @@ in snyk = nodeEnv.buildNodePackage { name = "snyk"; packageName = "snyk"; - version = "1.143.0"; + version = "1.153.0"; src = fetchurl { - url = "https://registry.npmjs.org/snyk/-/snyk-1.143.0.tgz"; - sha512 = "3hndcJH+fxQoC2Bg8YN/RqFBuQo+goZJnFlBm3PoQAaCg2zIWIfCuuzmn+6E/Ssy7LhJb0KbYmhxawS1Ib4rJg=="; + url = "https://registry.npmjs.org/snyk/-/snyk-1.153.0.tgz"; + sha512 = "RGgh8WvnmJOQqRuYfDAc7nlyw+V1G89q8JmKH3cXF0d+7jrz9o6S0c4TcEuSgC8wZNhqvpRk+W52gPxp3cePNQ=="; }; dependencies = [ - sources."@snyk/dep-graph-1.4.0" + sources."@snyk/dep-graph-1.4.1" sources."@snyk/gemfile-1.2.0" + sources."@types/node-8.10.46" sources."@yarnpkg/lockfile-1.1.0" sources."abbrev-1.1.1" sources."agent-base-4.2.1" sources."ansi-align-2.0.0" - sources."ansi-escapes-3.2.0" + sources."ansi-escapes-4.1.0" sources."ansi-regex-3.0.0" sources."ansi-styles-3.2.1" sources."ansicolors-0.3.2" sources."archy-1.0.0" sources."argparse-1.0.10" sources."asap-2.0.6" - sources."ast-types-0.12.2" + sources."ast-types-0.12.3" sources."async-1.5.2" sources."balanced-match-1.0.0" (sources."boxen-1.3.0" // { @@ -57972,11 +59110,11 @@ in }) sources."brace-expansion-1.1.11" sources."buffer-from-1.1.1" - sources."bytes-3.0.0" + sources."bytes-3.1.0" sources."camelcase-2.1.1" sources."capture-stack-trace-1.0.1" sources."chalk-2.4.2" - sources."chardet-0.4.2" + sources."chardet-0.7.0" sources."ci-info-1.6.0" sources."cli-boxes-1.0.0" sources."cli-cursor-2.1.0" @@ -57998,9 +59136,13 @@ in sources."configstore-3.1.2" sources."core-util-is-1.0.2" sources."create-error-class-3.0.2" - sources."cross-spawn-6.0.5" + (sources."cross-spawn-6.0.5" // { + dependencies = [ + sources."semver-5.7.0" + ]; + }) sources."crypto-random-string-1.0.0" - sources."data-uri-to-buffer-1.2.0" + sources."data-uri-to-buffer-2.0.1" sources."debug-3.2.6" sources."decamelize-1.2.0" sources."deep-extend-0.6.0" @@ -58012,6 +59154,7 @@ in sources."dot-prop-4.2.0" sources."duplexer3-0.1.4" sources."email-validator-2.0.4" + sources."end-of-stream-1.4.1" sources."es6-promise-4.2.6" sources."es6-promisify-5.0.0" sources."escape-string-regexp-1.0.5" @@ -58019,33 +59162,38 @@ in sources."esprima-3.1.3" sources."estraverse-4.2.0" sources."esutils-2.0.2" - sources."execa-0.10.0" + sources."execa-1.0.0" sources."extend-3.0.2" - sources."external-editor-2.2.0" + sources."external-editor-3.0.3" sources."fast-levenshtein-2.0.6" sources."figures-2.0.0" sources."file-uri-to-path-1.0.0" sources."for-in-1.0.2" sources."for-own-1.0.0" + sources."fs.realpath-1.0.0" (sources."ftp-0.3.10" // { dependencies = [ sources."readable-stream-1.1.14" ]; }) - sources."get-stream-3.0.0" - (sources."get-uri-2.0.2" // { + sources."get-stream-4.1.0" + (sources."get-uri-2.0.3" // { dependencies = [ - sources."debug-2.6.9" - sources."ms-2.0.0" + sources."debug-4.1.1" ]; }) + sources."glob-7.1.3" sources."global-dirs-0.1.1" - sources."got-6.7.1" + (sources."got-6.7.1" // { + dependencies = [ + sources."get-stream-3.0.0" + ]; + }) sources."graceful-fs-4.1.15" sources."graphlib-2.1.7" sources."has-flag-3.0.0" sources."hosted-git-info-2.7.1" - sources."http-errors-1.6.3" + sources."http-errors-1.7.2" (sources."http-proxy-agent-2.1.0" // { dependencies = [ sources."debug-3.1.0" @@ -58057,9 +59205,14 @@ in sources."immediate-3.0.6" sources."import-lazy-2.1.0" sources."imurmurhash-0.1.4" + sources."inflight-1.0.6" sources."inherits-2.0.3" sources."ini-1.3.5" - sources."inquirer-3.3.0" + (sources."inquirer-6.3.1" // { + dependencies = [ + sources."ansi-escapes-3.2.0" + ]; + }) sources."invert-kv-1.0.0" sources."ip-1.1.5" sources."is-buffer-1.1.6" @@ -58079,12 +59232,18 @@ in sources."isarray-0.0.1" sources."isexe-2.0.0" sources."isobject-3.0.1" - (sources."js-yaml-3.13.0" // { + (sources."js-yaml-3.13.1" // { dependencies = [ sources."esprima-4.0.1" ]; }) - sources."jszip-3.2.0" + (sources."jszip-3.2.1" // { + dependencies = [ + sources."isarray-1.0.0" + sources."readable-stream-2.3.6" + sources."string_decoder-1.1.1" + ]; + }) sources."kind-of-3.2.2" sources."latest-version-3.1.0" sources."lazy-cache-0.2.7" @@ -58101,7 +59260,7 @@ in sources."lodash.set-4.3.2" sources."lowercase-keys-1.0.1" sources."lru-cache-4.1.5" - sources."macos-release-2.1.0" + sources."macos-release-2.2.0" sources."make-dir-1.3.0" sources."mimic-fn-1.2.0" sources."minimatch-3.0.4" @@ -58114,27 +59273,32 @@ in sources."ms-2.1.1" sources."mute-stream-0.0.7" sources."nconf-0.10.0" - (sources."needle-2.2.4" // { + (sources."needle-2.3.0" // { dependencies = [ - sources."debug-2.6.9" - sources."ms-2.0.0" + sources."debug-4.1.1" ]; }) sources."netmask-1.0.6" sources."nice-try-1.0.5" sources."npm-run-path-2.0.2" sources."number-is-nan-1.0.1" + sources."once-1.4.0" sources."onetime-2.0.1" sources."opn-5.5.0" sources."optionator-0.8.2" sources."os-locale-1.4.0" - sources."os-name-3.0.0" + sources."os-name-3.1.0" sources."os-tmpdir-1.0.2" sources."p-finally-1.0.0" - sources."pac-proxy-agent-2.0.2" + sources."pac-proxy-agent-3.0.0" sources."pac-resolver-3.0.0" - sources."package-json-4.0.1" + (sources."package-json-4.0.1" // { + dependencies = [ + sources."semver-5.7.0" + ]; + }) sources."pako-1.0.10" + sources."path-is-absolute-1.0.1" sources."path-is-inside-1.0.2" sources."path-key-2.0.1" sources."pify-3.0.0" @@ -58142,36 +59306,34 @@ in sources."prepend-http-1.0.4" sources."process-nextick-args-2.0.0" sources."promise-7.3.1" - sources."proxy-agent-2.3.1" + sources."proxy-agent-3.1.0" sources."proxy-from-env-1.0.0" sources."pseudomap-1.0.2" - (sources."raw-body-2.3.3" // { - dependencies = [ - sources."iconv-lite-0.4.23" - ]; - }) + sources."pump-3.0.0" + sources."raw-body-2.4.0" sources."rc-1.2.8" - (sources."readable-stream-2.3.6" // { + (sources."readable-stream-3.3.0" // { dependencies = [ - sources."isarray-1.0.0" - sources."string_decoder-1.1.1" + sources."string_decoder-1.2.0" ]; }) - sources."recursive-readdir-2.2.2" sources."registry-auth-token-3.4.0" sources."registry-url-3.1.0" sources."restore-cursor-2.0.0" sources."run-async-2.3.0" - sources."rx-lite-4.0.8" - sources."rx-lite-aggregates-4.0.8" + sources."rxjs-6.5.1" sources."safe-buffer-5.1.2" sources."safer-buffer-2.1.2" sources."sax-1.2.4" sources."secure-keys-1.0.0" - sources."semver-5.6.0" - sources."semver-diff-2.1.0" + sources."semver-6.0.0" + (sources."semver-diff-2.1.0" // { + dependencies = [ + sources."semver-5.7.0" + ]; + }) sources."set-immediate-shim-1.0.1" - sources."setprototypeof-1.1.0" + sources."setprototypeof-1.1.1" (sources."shallow-clone-0.1.2" // { dependencies = [ sources."kind-of-2.0.1" @@ -58180,33 +59342,54 @@ in sources."shebang-command-1.2.0" sources."shebang-regex-1.0.0" sources."signal-exit-3.0.2" - sources."smart-buffer-1.1.15" + sources."smart-buffer-4.0.2" sources."snyk-config-2.2.1" - sources."snyk-docker-plugin-1.22.0" - sources."snyk-go-plugin-1.6.1" - sources."snyk-gradle-plugin-2.3.0" + (sources."snyk-docker-plugin-1.22.1" // { + dependencies = [ + sources."semver-5.7.0" + ]; + }) + sources."snyk-go-parser-1.0.2" + (sources."snyk-go-plugin-1.7.0" // { + dependencies = [ + sources."debug-4.1.1" + ]; + }) + sources."snyk-gradle-plugin-2.7.1" sources."snyk-module-1.9.1" sources."snyk-mvn-plugin-2.0.1" - sources."snyk-nodejs-lockfile-parser-1.11.0" - sources."snyk-nuget-plugin-1.7.2" + sources."snyk-nodejs-lockfile-parser-1.13.0" + sources."snyk-nuget-plugin-1.9.2" sources."snyk-paket-parser-1.4.3" sources."snyk-php-plugin-1.5.2" - sources."snyk-policy-1.13.3" - sources."snyk-python-plugin-1.9.1" + sources."snyk-policy-1.13.5" + sources."snyk-python-plugin-1.9.2" sources."snyk-resolve-1.0.1" - sources."snyk-resolve-deps-4.0.3" + (sources."snyk-resolve-deps-4.0.3" // { + dependencies = [ + sources."semver-5.7.0" + ]; + }) sources."snyk-sbt-plugin-2.0.1" sources."snyk-tree-1.0.0" sources."snyk-try-require-1.3.1" - sources."socks-1.1.10" - sources."socks-proxy-agent-3.0.1" + sources."socks-2.3.2" + sources."socks-proxy-agent-4.0.2" sources."source-map-0.6.1" - sources."source-map-support-0.5.11" + sources."source-map-support-0.5.12" sources."sprintf-js-1.0.3" sources."statuses-1.5.0" - sources."string-width-2.1.1" + (sources."string-width-2.1.1" // { + dependencies = [ + sources."strip-ansi-4.0.0" + ]; + }) sources."string_decoder-0.10.31" - sources."strip-ansi-4.0.0" + (sources."strip-ansi-5.2.0" // { + dependencies = [ + sources."ansi-regex-4.1.0" + ]; + }) sources."strip-eof-1.0.0" sources."strip-json-comments-2.0.1" sources."supports-color-5.5.0" @@ -58216,6 +59399,7 @@ in dependencies = [ sources."cross-spawn-5.1.0" sources."execa-0.7.0" + sources."get-stream-3.0.0" ]; }) sources."then-fs-2.0.0" @@ -58223,9 +59407,11 @@ in sources."thunkify-2.1.2" sources."timed-out-4.0.1" sources."tmp-0.0.33" - sources."toml-2.3.6" + sources."toidentifier-1.0.0" + sources."toml-3.0.0" sources."tslib-1.9.3" sources."type-check-0.3.2" + sources."type-fest-0.3.1" sources."unique-string-1.0.0" sources."unpipe-1.0.0" sources."unzip-response-2.0.1" @@ -58237,7 +59423,7 @@ in sources."which-1.3.1" sources."widest-line-2.0.1" sources."window-size-0.1.4" - sources."windows-release-3.1.0" + sources."windows-release-3.2.0" sources."wordwrap-1.0.0" (sources."wrap-ansi-2.1.0" // { dependencies = [ @@ -58247,6 +59433,7 @@ in sources."strip-ansi-3.0.1" ]; }) + sources."wrappy-1.0.2" sources."write-file-atomic-2.4.2" sources."xdg-basedir-3.0.0" sources."xml2js-0.4.19" @@ -58313,8 +59500,8 @@ in sources."has-cors-1.1.0" sources."indexof-0.0.1" sources."isarray-2.0.1" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."ms-2.1.1" sources."negotiator-0.6.1" sources."object-component-0.0.3" @@ -58367,10 +59554,10 @@ in svgo = nodeEnv.buildNodePackage { name = "svgo"; packageName = "svgo"; - version = "1.2.0"; + version = "1.2.2"; src = fetchurl { - url = "https://registry.npmjs.org/svgo/-/svgo-1.2.0.tgz"; - sha512 = "xBfxJxfk4UeVN8asec9jNxHiv3UAMv/ujwBWGYvQhhMb2u3YTGKkiybPcLFDLq7GLLWE9wa73e0/m8L5nTzQbw=="; + url = "https://registry.npmjs.org/svgo/-/svgo-1.2.2.tgz"; + sha512 = "rAfulcwp2D9jjdGu+0CuqlrAUin6bBWrpoqXWwKDZZZJfXcUXQSxLJOFJCQCSA0x0pP2U0TxSlJu2ROq5Bq6qA=="; }; dependencies = [ sources."@types/q-1.5.2" @@ -58408,12 +59595,12 @@ in sources."is-date-object-1.0.1" sources."is-regex-1.0.4" sources."is-symbol-1.0.2" - sources."js-yaml-3.13.0" + sources."js-yaml-3.13.1" sources."mdn-data-1.1.4" sources."minimist-0.0.8" sources."mkdirp-0.5.1" sources."nth-check-1.0.2" - sources."object-keys-1.1.0" + sources."object-keys-1.1.1" sources."object.getownpropertydescriptors-2.0.3" sources."object.values-1.1.0" sources."q-1.5.1" @@ -58462,7 +59649,7 @@ in sources."array-unique-0.3.2" sources."assign-symbols-1.0.0" sources."async-1.5.2" - sources."async-each-1.0.2" + sources."async-each-1.0.3" sources."asynckit-0.4.0" sources."atob-2.1.2" sources."balanced-match-1.0.0" @@ -58471,7 +59658,7 @@ in sources."define-property-1.0.0" ]; }) - sources."binary-extensions-1.13.0" + sources."binary-extensions-1.13.1" (sources."body-parser-1.12.4" // { dependencies = [ sources."debug-2.2.0" @@ -58510,7 +59697,7 @@ in sources."capture-stack-trace-1.0.1" sources."chalk-1.1.3" sources."charenc-0.0.2" - sources."chokidar-2.1.2" + sources."chokidar-2.1.5" sources."ci-info-1.6.0" (sources."class-utils-0.3.6" // { dependencies = [ @@ -58538,8 +59725,8 @@ in sources."color-convert-1.9.3" sources."color-name-1.1.3" sources."combined-stream-1.0.7" - sources."commander-2.19.0" - sources."component-emitter-1.2.1" + sources."commander-2.20.0" + sources."component-emitter-1.3.0" sources."concat-map-0.0.1" sources."concat-stream-1.6.2" sources."configstore-3.1.2" @@ -58626,7 +59813,7 @@ in sources."fresh-0.5.2" sources."fs-extra-0.24.0" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.7" + sources."fsevents-1.2.8" sources."get-stream-3.0.0" sources."get-value-2.0.6" sources."glob-7.1.3" @@ -58644,7 +59831,7 @@ in ]; }) sources."growl-1.9.2" - (sources."handlebars-4.1.1" // { + (sources."handlebars-4.1.2" // { dependencies = [ sources."source-map-0.6.1" ]; @@ -58679,7 +59866,7 @@ in sources."is-extendable-1.0.1" sources."is-extglob-2.1.1" sources."is-fullwidth-code-point-1.0.0" - sources."is-glob-4.0.0" + sources."is-glob-4.0.1" sources."is-installed-globally-0.1.0" (sources."is-invalid-path-0.1.0" // { dependencies = [ @@ -58711,7 +59898,7 @@ in ]; }) sources."js-string-escape-1.0.1" - sources."js-yaml-3.13.0" + sources."js-yaml-3.13.1" sources."json-refs-2.1.7" (sources."json-schema-deref-sync-0.3.4" // { dependencies = [ @@ -58763,8 +59950,8 @@ in sources."methods-1.1.2" sources."micromatch-3.1.10" sources."mime-1.4.1" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimatch-3.0.4" sources."minimist-0.0.8" sources."mixin-deep-1.3.1" @@ -58784,11 +59971,11 @@ in sources."ms-2.0.0" sources."multer-1.4.1" sources."mute-stream-0.0.5" - sources."nan-2.13.1" + sources."nan-2.13.2" sources."nanomatch-1.2.13" sources."native-promise-only-0.8.1" sources."neo-async-2.6.0" - (sources."nodemon-1.18.10" // { + (sources."nodemon-1.18.11" // { dependencies = [ sources."debug-3.2.6" sources."ms-2.1.1" @@ -58821,17 +60008,17 @@ in sources."optimist-0.6.1" sources."p-finally-1.0.0" sources."package-json-4.0.1" - sources."parseurl-1.3.2" + sources."parseurl-1.3.3" sources."pascalcase-0.1.1" sources."path-dirname-1.0.2" sources."path-is-absolute-1.0.1" sources."path-is-inside-1.0.2" sources."path-key-2.0.1" - (sources."path-loader-1.0.9" // { + (sources."path-loader-1.0.10" // { dependencies = [ sources."debug-3.2.6" sources."ms-2.1.1" - sources."qs-6.6.0" + sources."qs-6.7.0" sources."superagent-3.8.3" ]; }) @@ -58878,7 +60065,7 @@ in sources."safe-buffer-5.1.2" sources."safe-regex-1.1.0" sources."sanitize-filename-1.6.1" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."semver-diff-2.1.0" (sources."send-0.16.2" // { dependencies = [ @@ -58966,6 +60153,7 @@ in sources."strip-json-comments-2.0.1" (sources."superagent-1.8.5" // { dependencies = [ + sources."component-emitter-1.2.1" sources."cookiejar-2.0.6" sources."extend-3.0.0" sources."form-data-1.0.0-rc3" @@ -59002,7 +60190,7 @@ in sources."truncate-utf8-bytes-1.0.2" sources."type-is-1.6.16" sources."typedarray-0.0.6" - (sources."uglify-js-3.5.1" // { + (sources."uglify-js-3.5.6" // { dependencies = [ sources."source-map-0.6.1" ]; @@ -59064,6 +60252,173 @@ in production = true; bypassCache = true; }; + "tedicross-git+https://github.com/TediCross/TediCross.git#v0.8.7" = nodeEnv.buildNodePackage { + name = "tedicross"; + packageName = "tedicross"; + version = "0.8.7"; + src = fetchgit { + url = "https://github.com/TediCross/TediCross.git"; + rev = "80ec2189cbda51eec9f3cd3f7f551b7a71474d38"; + sha256 = "886069ecc5eedf0371b948e8ff66e7f2943c85fe7cfdaa7183e1a3572d55852b"; + }; + dependencies = [ + sources."@types/node-11.13.7" + sources."ajv-6.10.0" + sources."ansi-regex-3.0.0" + sources."argparse-1.0.10" + sources."asn1-0.2.4" + sources."assert-plus-1.0.0" + sources."async-limiter-1.0.0" + sources."asynckit-0.4.0" + sources."aws-sign2-0.7.0" + sources."aws4-1.8.0" + (sources."bcrypt-pbkdf-1.0.2" // { + dependencies = [ + sources."tweetnacl-0.14.5" + ]; + }) + sources."camelcase-5.3.1" + sources."caseless-0.12.0" + (sources."cliui-4.1.0" // { + dependencies = [ + sources."string-width-2.1.1" + ]; + }) + sources."code-point-at-1.1.0" + sources."combined-stream-1.0.7" + sources."core-util-is-1.0.2" + sources."cross-spawn-6.0.5" + sources."dashdash-1.14.1" + sources."debug-4.1.1" + sources."decamelize-1.2.0" + sources."delayed-stream-1.0.0" + sources."discord.js-11.4.2" + sources."ecc-jsbn-0.1.2" + sources."emoji-regex-7.0.3" + sources."end-of-stream-1.4.1" + sources."esprima-4.0.1" + sources."execa-1.0.0" + sources."extend-3.0.2" + sources."extsprintf-1.3.0" + sources."fast-deep-equal-2.0.1" + sources."fast-json-stable-stringify-2.0.0" + sources."find-up-3.0.0" + sources."forever-agent-0.6.1" + sources."form-data-2.3.3" + sources."get-caller-file-2.0.5" + sources."get-stream-4.1.0" + sources."getpass-0.1.7" + sources."har-schema-2.0.0" + sources."har-validator-5.1.3" + sources."http-signature-1.2.0" + sources."invert-kv-2.0.0" + sources."is-fullwidth-code-point-2.0.0" + sources."is-stream-1.1.0" + sources."is-typedarray-1.0.0" + sources."isexe-2.0.0" + sources."isstream-0.1.2" + sources."js-yaml-3.13.1" + sources."jsbn-0.1.1" + sources."json-schema-0.2.3" + sources."json-schema-traverse-0.4.1" + sources."json-stringify-safe-5.0.1" + sources."jsprim-1.4.1" + sources."lcid-2.0.0" + sources."locate-path-3.0.0" + sources."long-4.0.0" + sources."map-age-cleaner-0.1.3" + sources."mem-4.3.0" + sources."mime-2.4.2" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" + sources."mimic-fn-2.1.0" + sources."moment-2.24.0" + sources."ms-2.1.1" + sources."nice-try-1.0.5" + sources."node-fetch-2.3.0" + sources."npm-run-path-2.0.2" + sources."number-is-nan-1.0.1" + sources."oauth-sign-0.9.0" + sources."once-1.4.0" + sources."os-locale-3.1.0" + sources."p-defer-1.0.0" + sources."p-finally-1.0.0" + sources."p-is-promise-2.1.0" + sources."p-limit-2.2.0" + sources."p-locate-3.0.0" + sources."p-try-2.2.0" + sources."path-exists-3.0.0" + sources."path-key-2.0.1" + sources."performance-now-2.1.0" + sources."prism-media-0.0.3" + sources."psl-1.1.31" + sources."pump-3.0.0" + sources."punycode-2.1.1" + sources."qs-6.5.2" + sources."ramda-0.25.0" + sources."request-2.88.0" + sources."require-directory-2.1.1" + sources."require-main-filename-2.0.0" + sources."safe-buffer-5.1.2" + sources."safer-buffer-2.1.2" + sources."sandwich-stream-2.0.2" + sources."semver-5.7.0" + sources."set-blocking-2.0.0" + sources."shebang-command-1.2.0" + sources."shebang-regex-1.0.0" + sources."signal-exit-3.0.2" + sources."simple-markdown-0.4.4" + sources."snekfetch-3.6.4" + sources."sprintf-js-1.0.3" + (sources."sshpk-1.16.1" // { + dependencies = [ + sources."tweetnacl-0.14.5" + ]; + }) + (sources."string-width-3.1.0" // { + dependencies = [ + sources."ansi-regex-4.1.0" + sources."strip-ansi-5.2.0" + ]; + }) + sources."strip-ansi-4.0.0" + sources."strip-eof-1.0.0" + sources."telegraf-3.29.0" + sources."telegram-typings-3.6.1" + (sources."tough-cookie-2.4.3" // { + dependencies = [ + sources."punycode-1.4.1" + ]; + }) + sources."tunnel-agent-0.6.0" + sources."tweetnacl-1.0.1" + sources."uri-js-4.2.2" + sources."uuid-3.3.2" + sources."verror-1.10.0" + sources."which-1.3.1" + sources."which-module-2.0.0" + (sources."wrap-ansi-2.1.0" // { + dependencies = [ + sources."ansi-regex-2.1.1" + sources."is-fullwidth-code-point-1.0.0" + sources."string-width-1.0.2" + sources."strip-ansi-3.0.1" + ]; + }) + sources."wrappy-1.0.2" + sources."ws-4.1.0" + sources."y18n-4.0.0" + sources."yargs-13.2.2" + sources."yargs-parser-13.0.0" + ]; + buildInputs = globalBuildInputs; + meta = { + description = "Better DiteCross"; + license = "MIT"; + }; + production = true; + bypassCache = true; + }; tern = nodeEnv.buildNodePackage { name = "tern"; packageName = "tern"; @@ -59142,7 +60497,7 @@ in sources."argparse-1.0.10" sources."bail-1.0.3" sources."balanced-match-1.0.0" - sources."bluebird-3.5.3" + sources."bluebird-3.5.4" sources."boundary-1.0.1" sources."brace-expansion-1.1.11" sources."buffer-from-1.1.1" @@ -59205,7 +60560,7 @@ in sources."is-whitespace-character-1.0.2" sources."is-word-character-1.0.2" sources."isarray-1.0.0" - sources."js-yaml-3.13.0" + sources."js-yaml-3.13.1" sources."json-parse-better-errors-1.0.2" sources."json-stable-stringify-1.0.1" (sources."json5-1.0.1" // { @@ -59229,7 +60584,7 @@ in sources."normalize-package-data-2.5.0" sources."number-is-nan-1.0.1" sources."object-assign-4.1.1" - sources."object-keys-1.1.0" + sources."object-keys-1.1.1" sources."once-1.4.0" sources."optionator-0.8.2" sources."p-limit-1.3.0" @@ -59273,12 +60628,12 @@ in sources."resolve-1.10.0" sources."rimraf-2.6.3" sources."safe-buffer-5.1.2" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."slice-ansi-0.0.4" sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" sources."sprintf-js-1.0.3" sources."state-toggle-1.0.1" sources."string-width-1.0.2" @@ -59336,10 +60691,10 @@ in three = nodeEnv.buildNodePackage { name = "three"; packageName = "three"; - version = "0.102.1"; + version = "0.103.0"; src = fetchurl { - url = "https://registry.npmjs.org/three/-/three-0.102.1.tgz"; - sha512 = "btHBdww/Es4vdBkB2GjTE9mpj0vy8tgtxkX7ne7uxySXV8zoGxWJv1N88BydxnCqvAfmD4ZUTqPeESO7oDgeOQ=="; + url = "https://registry.npmjs.org/three/-/three-0.103.0.tgz"; + sha512 = "4WKRHTMt96sp+lX+Hx/eHtN9CWFyejDqr1ikgxZUJIkKEHVglSE7FY8n81NC6yWXqVSjUIQQppaxsoUe26Zi9g=="; }; buildInputs = globalBuildInputs; meta = { @@ -59446,7 +60801,7 @@ in sources."mooremachine-2.2.1" sources."mute-stream-0.0.8" sources."mv-2.1.1" - sources."nan-2.13.1" + sources."nan-2.13.2" sources."ncp-2.0.0" sources."once-1.3.2" sources."path-is-absolute-1.0.1" @@ -59553,13 +60908,13 @@ in tsun = nodeEnv.buildNodePackage { name = "tsun"; packageName = "tsun"; - version = "0.4.0"; + version = "0.5.0"; src = fetchurl { - url = "https://registry.npmjs.org/tsun/-/tsun-0.4.0.tgz"; - sha512 = "DM9diPumksx/2837NoyRXq97PxSpqt9zhCnHaoeqmX2ItgksNE+QCXO6bczNAEtVVbQYML9LAxl/iawYKyMZng=="; + url = "https://registry.npmjs.org/tsun/-/tsun-0.5.0.tgz"; + sha512 = "N8E1X543CWEjg0/A70ZnA/kfAfAY/uogILsIuWBhHGxzv9kaJaj7/JCSwDiBH86CPEy37chSgW86KxVeYKsswQ=="; }; dependencies = [ - sources."@types/node-6.14.4" + sources."@types/node-6.14.5" sources."ansi-0.3.1" sources."ansi-regex-2.1.1" sources."ansi-styles-2.2.1" @@ -59613,10 +60968,10 @@ in typescript = nodeEnv.buildNodePackage { name = "typescript"; packageName = "typescript"; - version = "3.3.4000"; + version = "3.4.5"; src = fetchurl { - url = "https://registry.npmjs.org/typescript/-/typescript-3.3.4000.tgz"; - sha512 = "jjOcCZvpkl2+z7JFn0yBOoLQyLoIkNZAs/fYJkUG6VKy6zLPHJGfQJYFHzibB6GJaF/8QrcECtlQ5cpvRHSMEA=="; + url = "https://registry.npmjs.org/typescript/-/typescript-3.4.5.tgz"; + sha512 = "YycBxUb49UUhdNMU5aJ7z5Ej2XGmaIBL0x34vZ82fn3hGvD+bgrMrVDpatgz2f7YxUMJxMkbWxJZeAvDxVe7Vw=="; }; buildInputs = globalBuildInputs; meta = { @@ -59630,13 +60985,13 @@ in uglify-js = nodeEnv.buildNodePackage { name = "uglify-js"; packageName = "uglify-js"; - version = "3.5.1"; + version = "3.5.6"; src = fetchurl { - url = "https://registry.npmjs.org/uglify-js/-/uglify-js-3.5.1.tgz"; - sha512 = "kI+3c+KphOAKIikQsZoT2oDsVYH5qvhpTtFObfMCdhPAYnjSvmW4oTWMhvDD4jtAGHJwztlBXQgozGcq3Xw9oQ=="; + url = "https://registry.npmjs.org/uglify-js/-/uglify-js-3.5.6.tgz"; + sha512 = "YDKRX8F0Y+Jr7LhoVk0n4G7ltR3Y7qFAj+DtVBthlOgCcIj1hyMigCfousVfn9HKmvJ+qiFlLDwaHx44/e5ZKw=="; }; dependencies = [ - sources."commander-2.19.0" + sources."commander-2.20.0" sources."source-map-0.6.1" ]; buildInputs = globalBuildInputs; @@ -59651,10 +61006,10 @@ in ungit = nodeEnv.buildNodePackage { name = "ungit"; packageName = "ungit"; - version = "1.4.42"; + version = "1.4.43"; src = fetchurl { - url = "https://registry.npmjs.org/ungit/-/ungit-1.4.42.tgz"; - sha512 = "7fPkV5B5V9uiXBwRHJHLctvCR5FgdfVBoHw0qcuxk0mvYLB9zKITztViOCr4ilKDFVpGGZ95+r8VfvKLTCnXRg=="; + url = "https://registry.npmjs.org/ungit/-/ungit-1.4.43.tgz"; + sha512 = "KD8EZhx9BsBql0zEZy+TOlkEEfv6Lnd3ryUxKtUS3vQGbzl46toLTDXA0NLcPx4DHEWheiu1FfKO/me7deWTiw=="; }; dependencies = [ sources."abbrev-1.1.1" @@ -59680,7 +61035,7 @@ in sources."bcrypt-pbkdf-1.0.2" sources."better-assert-1.0.2" sources."blob-0.0.5" - sources."bluebird-3.5.3" + sources."bluebird-3.5.4" sources."blueimp-md5-2.10.0" sources."body-parser-1.18.3" sources."brace-expansion-1.1.11" @@ -59688,7 +61043,7 @@ in sources."builtins-1.0.3" sources."bytes-3.0.0" sources."callsite-1.0.0" - sources."camelcase-5.2.0" + sources."camelcase-5.3.1" sources."caseless-0.12.0" (sources."cliui-4.1.0" // { dependencies = [ @@ -59700,7 +61055,7 @@ in }) sources."clone-2.1.2" sources."code-point-at-1.1.0" - sources."color-3.0.0" + sources."color-3.1.1" sources."color-convert-1.9.3" sources."color-name-1.1.3" sources."color-string-1.5.3" @@ -59733,7 +61088,7 @@ in sources."depd-1.1.2" sources."destroy-1.0.4" sources."diff-3.5.0" - sources."diff2html-2.4.0" + sources."diff2html-2.7.0" sources."dnd-page-scroll-0.0.4" (sources."eachr-3.2.0" // { dependencies = [ @@ -59741,20 +61096,17 @@ in ]; }) sources."ecc-jsbn-0.1.2" - (sources."editions-2.1.3" // { - dependencies = [ - sources."semver-5.6.0" - ]; - }) + sources."editions-2.1.3" sources."ee-first-1.1.1" + sources."emoji-regex-7.0.3" sources."encodeurl-1.0.2" sources."end-of-stream-1.4.1" - (sources."engine.io-3.2.1" // { + (sources."engine.io-3.3.2" // { dependencies = [ sources."debug-3.1.0" ]; }) - (sources."engine.io-client-3.2.1" // { + (sources."engine.io-client-3.3.2" // { dependencies = [ sources."debug-3.1.0" ]; @@ -59794,7 +61146,7 @@ in sources."fresh-0.5.2" sources."fs.realpath-1.0.0" sources."gauge-2.7.4" - sources."get-caller-file-1.0.3" + sources."get-caller-file-2.0.5" sources."get-stream-4.1.0" sources."getmac-1.4.6" sources."getpass-0.1.7" @@ -59825,7 +61177,7 @@ in sources."inherits-2.0.3" sources."ini-1.3.5" sources."invert-kv-2.0.0" - sources."ipaddr.js-1.8.0" + sources."ipaddr.js-1.9.0" sources."is-arrayish-0.3.2" sources."is-fullwidth-code-point-1.0.0" sources."is-stream-1.1.0" @@ -59834,7 +61186,7 @@ in sources."isarray-1.0.0" sources."isexe-2.0.0" sources."isstream-0.1.2" - sources."jquery-3.3.1" + sources."jquery-3.4.0" sources."jquery-ui-bundle-1.12.1" sources."jsbn-0.1.1" sources."json-schema-0.2.3" @@ -59847,10 +61199,11 @@ in sources."locate-path-3.0.0" sources."locks-0.2.2" sources."lodash-4.17.11" + sources."lodash.merge-4.6.1" sources."lru-cache-4.1.5" sources."map-age-cleaner-0.1.3" sources."media-typer-0.3.0" - sources."mem-4.2.0" + sources."mem-4.3.0" (sources."memorystore-1.6.1" // { dependencies = [ sources."debug-3.1.0" @@ -59859,20 +61212,20 @@ in sources."merge-descriptors-1.0.1" sources."methods-1.1.2" sources."mime-1.4.1" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" - sources."mimic-fn-2.0.0" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" + sources."mimic-fn-2.1.0" sources."minimatch-3.0.4" sources."minimist-0.0.8" sources."mkdirp-0.5.1" - sources."moment-2.22.2" + sources."moment-2.24.0" sources."ms-2.0.0" sources."negotiator-0.6.1" sources."nice-try-1.0.5" sources."node-cache-4.2.0" sources."nopt-1.0.10" sources."normalize-package-data-2.5.0" - sources."npm-6.4.1" + sources."npm-6.9.1-next.0" sources."npm-package-arg-6.1.0" sources."npm-registry-client-8.6.0" sources."npm-run-path-2.0.2" @@ -59886,20 +61239,24 @@ in sources."on-finished-2.3.0" sources."on-headers-1.0.2" sources."once-1.4.0" - sources."opn-5.4.0" - sources."os-homedir-1.0.2" + sources."opn-5.5.0" + sources."os-homedir-2.0.0" sources."os-locale-3.1.0" sources."os-tmpdir-1.0.2" - sources."osenv-0.1.5" + (sources."osenv-0.1.5" // { + dependencies = [ + sources."os-homedir-1.0.2" + ]; + }) sources."p-defer-1.0.0" sources."p-finally-1.0.0" - sources."p-is-promise-2.0.0" + sources."p-is-promise-2.1.0" sources."p-limit-2.2.0" sources."p-locate-3.0.0" - sources."p-try-2.1.0" + sources."p-try-2.2.0" sources."parseqs-0.0.5" sources."parseuri-0.0.5" - sources."parseurl-1.3.2" + sources."parseurl-1.3.3" sources."passport-0.4.0" sources."passport-local-1.0.0" sources."passport-strategy-1.0.0" @@ -59911,7 +61268,7 @@ in sources."pause-0.0.1" sources."performance-now-2.1.0" sources."process-nextick-args-2.0.0" - sources."proxy-addr-2.0.4" + sources."proxy-addr-2.0.5" sources."pseudomap-1.0.2" sources."psl-1.1.31" sources."pump-3.0.0" @@ -59929,13 +61286,13 @@ in sources."readable-stream-2.3.6" sources."request-2.88.0" sources."require-directory-2.1.1" - sources."require-main-filename-1.0.1" + sources."require-main-filename-2.0.0" sources."resolve-1.10.0" sources."retry-0.10.1" sources."rimraf-2.6.3" sources."safe-buffer-5.1.2" sources."safer-buffer-2.1.2" - sources."semver-5.5.1" + sources."semver-5.6.0" (sources."send-0.16.2" // { dependencies = [ sources."statuses-1.4.0" @@ -59951,18 +61308,19 @@ in sources."simple-swizzle-0.2.2" sources."slide-1.1.6" sources."snapsvg-0.5.1" - (sources."socket.io-2.1.1" // { + (sources."socket.io-2.2.0" // { dependencies = [ - sources."debug-3.1.0" + sources."debug-4.1.1" + sources."ms-2.1.1" ]; }) sources."socket.io-adapter-1.1.1" - (sources."socket.io-client-2.1.1" // { + (sources."socket.io-client-2.2.0" // { dependencies = [ sources."debug-3.1.0" ]; }) - (sources."socket.io-parser-3.2.0" // { + (sources."socket.io-parser-3.3.0" // { dependencies = [ sources."debug-3.1.0" sources."isarray-2.0.1" @@ -59971,7 +61329,7 @@ in sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" sources."sshpk-1.16.1" sources."ssri-5.3.0" sources."stack-trace-0.0.10" @@ -59981,19 +61339,16 @@ in sources."strip-ansi-3.0.1" sources."strip-eof-1.0.0" sources."strip-json-comments-2.0.1" - (sources."superagent-4.0.0" // { + (sources."superagent-4.1.0" // { dependencies = [ sources."debug-4.1.1" - sources."mime-2.4.0" + sources."mime-2.4.2" sources."ms-2.1.1" - sources."readable-stream-3.2.0" - ]; - }) - (sources."temp-0.8.3" // { - dependencies = [ - sources."rimraf-2.2.8" + sources."qs-6.7.0" + sources."readable-stream-3.3.0" ]; }) + sources."temp-0.9.0" sources."to-array-0.1.4" (sources."tough-cookie-2.4.3" // { dependencies = [ @@ -60006,7 +61361,6 @@ in sources."typechecker-4.7.0" sources."typedarray-0.0.6" sources."uid-safe-2.1.5" - sources."ultron-1.1.1" sources."unpipe-1.0.0" sources."uri-js-4.2.2" sources."util-deprecate-1.0.2" @@ -60016,26 +61370,26 @@ in sources."validate-npm-package-name-3.0.0" sources."vary-1.1.2" sources."verror-1.10.0" - sources."whatwg-fetch-2.0.4" + sources."whatwg-fetch-3.0.0" sources."which-1.3.1" sources."which-module-2.0.0" sources."wide-align-1.1.3" sources."winston-2.4.4" sources."wrap-ansi-2.1.0" sources."wrappy-1.0.2" - sources."ws-3.3.3" + sources."ws-6.1.4" sources."xmlhttprequest-ssl-1.5.5" sources."y18n-4.0.0" sources."yallist-2.1.2" - (sources."yargs-12.0.5" // { + (sources."yargs-13.2.2" // { dependencies = [ - sources."ansi-regex-3.0.0" + sources."ansi-regex-4.1.0" sources."is-fullwidth-code-point-2.0.0" - sources."string-width-2.1.1" - sources."strip-ansi-4.0.0" + sources."string-width-3.1.0" + sources."strip-ansi-5.2.0" ]; }) - sources."yargs-parser-11.1.1" + sources."yargs-parser-13.0.0" sources."yeast-0.1.2" ]; buildInputs = globalBuildInputs; @@ -60078,7 +61432,7 @@ in sources."base64-js-1.3.0" sources."bcrypt-pbkdf-1.0.2" sources."bl-1.2.2" - sources."bluebird-3.5.3" + sources."bluebird-3.5.4" sources."brace-expansion-1.1.11" sources."buffer-5.2.1" sources."buffer-alloc-1.2.0" @@ -60103,7 +61457,7 @@ in sources."color-convert-1.9.3" sources."color-name-1.1.3" sources."combined-stream-1.0.7" - sources."commander-2.19.0" + sources."commander-2.20.0" sources."concat-map-0.0.1" sources."config-chain-1.1.12" sources."consolidate-0.14.5" @@ -60158,7 +61512,7 @@ in sources."graceful-fs-4.1.15" sources."graceful-readlink-1.0.1" sources."gray-matter-2.1.1" - sources."handlebars-4.1.1" + sources."handlebars-4.1.2" sources."har-schema-2.0.0" sources."har-validator-5.1.3" (sources."has-ansi-2.0.0" // { @@ -60172,11 +61526,11 @@ in sources."has-to-string-tag-x-1.4.1" sources."http-signature-1.2.0" sources."iconv-lite-0.4.24" - sources."ieee754-1.1.12" + sources."ieee754-1.1.13" sources."inflight-1.0.6" sources."inherits-2.0.3" sources."ini-1.3.5" - sources."inquirer-6.2.2" + sources."inquirer-6.3.1" sources."is-3.3.0" sources."is-extendable-0.1.1" sources."is-fullwidth-code-point-2.0.0" @@ -60191,7 +61545,7 @@ in sources."isarray-1.0.0" sources."isstream-0.1.2" sources."isurl-1.0.0" - sources."js-yaml-3.13.0" + sources."js-yaml-3.13.1" sources."jsbn-0.1.1" sources."json-schema-0.2.3" sources."json-schema-traverse-0.4.1" @@ -60216,8 +61570,8 @@ in sources."supports-color-2.0.0" ]; }) - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."mimic-fn-1.2.0" sources."minimatch-3.0.4" sources."minimist-0.0.8" @@ -60257,7 +61611,7 @@ in sources."restore-cursor-2.0.0" sources."rimraf-2.6.3" sources."run-async-2.3.0" - sources."rxjs-6.4.0" + sources."rxjs-6.5.1" sources."safe-buffer-5.1.2" sources."safer-buffer-2.1.2" (sources."seek-bzip-1.0.5" // { @@ -60265,7 +61619,7 @@ in sources."commander-2.8.1" ]; }) - sources."semver-5.6.0" + sources."semver-5.7.0" sources."signal-exit-3.0.2" sources."source-map-0.6.1" sources."sprintf-js-1.0.3" @@ -60303,7 +61657,7 @@ in sources."tslib-1.9.3" sources."tunnel-agent-0.6.0" sources."tweetnacl-0.14.5" - sources."uglify-js-3.5.1" + sources."uglify-js-3.5.6" sources."uid-0.0.2" sources."unbzip2-stream-1.3.3" sources."unyield-0.0.1" @@ -60337,10 +61691,10 @@ in "@vue/cli" = nodeEnv.buildNodePackage { name = "_at_vue_slash_cli"; packageName = "@vue/cli"; - version = "3.5.1"; + version = "3.6.3"; src = fetchurl { - url = "https://registry.npmjs.org/@vue/cli/-/cli-3.5.1.tgz"; - sha512 = "BRRwPBetZ5Nj7P9fjq0YpextHuv/Fa7rq6RnNxfY5sgnvM+9lzLKJkaqt6x5FXW2vuPWKVOfQrp3EL6QvIBGeg=="; + url = "https://registry.npmjs.org/@vue/cli/-/cli-3.6.3.tgz"; + sha512 = "NnSc/Bhu7E0dOoYuRqWul4BpsJQagI2jTcMfb694g8N7+ZYBOLjqg4MSs6G9Gin+Nx4MrSmmDHq7vGjaa0o1iw=="; }; dependencies = [ sources."@akryum/winattr-3.0.0" @@ -60364,27 +61718,27 @@ in sources."@types/cors-2.8.4" sources."@types/events-3.0.0" sources."@types/express-4.16.1" - sources."@types/express-serve-static-core-4.16.2" + sources."@types/express-serve-static-core-4.16.3" sources."@types/glob-7.1.1" sources."@types/long-4.0.0" sources."@types/mime-2.0.1" sources."@types/minimatch-3.0.3" - sources."@types/node-11.11.4" + sources."@types/node-11.13.7" sources."@types/range-parser-1.2.3" sources."@types/serve-static-1.13.2" sources."@types/ws-6.0.1" sources."@types/zen-observable-0.8.0" - sources."@vue/cli-shared-utils-3.5.1" - (sources."@vue/cli-ui-3.5.1" // { + sources."@vue/cli-shared-utils-3.6.0" + (sources."@vue/cli-ui-3.6.2" // { dependencies = [ sources."clone-2.1.2" ]; }) - sources."@vue/cli-ui-addon-webpack-3.5.1" - sources."@vue/cli-ui-addon-widgets-3.5.1" + sources."@vue/cli-ui-addon-webpack-3.6.3" + sources."@vue/cli-ui-addon-widgets-3.6.0" sources."abbrev-1.1.1" sources."accepts-1.3.5" - sources."aggregate-error-1.0.0" + sources."aggregate-error-2.2.0" sources."ajv-6.10.0" sources."ansi-align-2.0.0" sources."ansi-escapes-3.2.0" @@ -60410,14 +61764,14 @@ in sources."apollo-graphql-0.1.3" (sources."apollo-link-1.2.11" // { dependencies = [ - sources."ts-invariant-0.3.2" + sources."ts-invariant-0.3.3" ]; }) sources."apollo-link-context-1.0.17" sources."apollo-link-dedup-1.0.18" (sources."apollo-link-http-common-0.2.13" // { dependencies = [ - sources."ts-invariant-0.3.2" + sources."ts-invariant-0.3.3" ]; }) sources."apollo-link-persisted-queries-0.2.2" @@ -60452,9 +61806,9 @@ in sources."asn1-0.2.4" sources."assert-plus-1.0.0" sources."assign-symbols-1.0.0" - sources."ast-types-0.12.2" + sources."ast-types-0.12.3" sources."async-1.5.2" - sources."async-each-1.0.2" + sources."async-each-1.0.3" sources."async-limiter-1.0.0" sources."async-retry-1.2.3" sources."asynckit-0.4.0" @@ -60470,7 +61824,7 @@ in }) sources."base64-js-1.3.0" sources."bcrypt-pbkdf-1.0.2" - sources."binary-extensions-1.13.0" + sources."binary-extensions-1.13.1" sources."bl-1.2.2" (sources."body-parser-1.18.3" // { dependencies = [ @@ -60489,7 +61843,7 @@ in sources."buffer-fill-1.0.0" sources."buffer-from-1.1.1" sources."builtins-1.0.3" - sources."busboy-0.3.0" + sources."busboy-0.3.1" sources."bytes-3.0.0" sources."cache-base-1.0.1" sources."call-me-maybe-1.0.1" @@ -60499,7 +61853,7 @@ in sources."caw-2.0.1" sources."chalk-2.4.2" sources."chardet-0.7.0" - sources."chokidar-2.1.2" + sources."chokidar-2.1.5" sources."ci-info-1.6.0" (sources."class-utils-0.3.6" // { dependencies = [ @@ -60518,10 +61872,10 @@ in sources."kind-of-5.1.0" ]; }) - sources."clean-stack-1.3.0" + sources."clean-stack-2.1.0" sources."cli-boxes-1.0.0" sources."cli-cursor-2.1.0" - sources."cli-spinners-2.0.0" + sources."cli-spinners-2.1.0" sources."cli-width-2.2.0" sources."clipboard-2.0.4" sources."clone-1.0.4" @@ -60530,8 +61884,8 @@ in sources."color-convert-1.9.3" sources."color-name-1.1.3" sources."combined-stream-1.0.7" - sources."commander-2.19.0" - sources."component-emitter-1.2.1" + sources."commander-2.20.0" + sources."component-emitter-1.3.0" sources."concat-map-0.0.1" sources."config-chain-1.1.12" sources."configstore-3.1.2" @@ -60544,7 +61898,12 @@ in sources."core-util-is-1.0.2" sources."cors-2.8.5" sources."create-error-class-3.0.2" - sources."cross-spawn-6.0.5" + (sources."cross-spawn-5.1.0" // { + dependencies = [ + sources."lru-cache-4.1.5" + sources."yallist-2.1.2" + ]; + }) (sources."cross-spawn-async-2.2.5" // { dependencies = [ sources."lru-cache-4.1.5" @@ -60607,12 +61966,12 @@ in sources."encodeurl-1.0.2" sources."end-of-stream-1.4.1" sources."entities-1.1.2" - sources."envinfo-6.0.1" + sources."envinfo-7.2.0" sources."es-abstract-1.13.0" sources."es-to-primitive-1.2.0" sources."escape-html-1.0.3" sources."escape-string-regexp-1.0.5" - sources."esm-3.2.19" + sources."esm-3.2.22" sources."esprima-4.0.1" sources."etag-1.8.1" sources."event-pubsub-4.3.0" @@ -60620,7 +61979,9 @@ in sources."exec-sh-0.2.2" (sources."execa-1.0.0" // { dependencies = [ + sources."cross-spawn-6.0.5" sources."get-stream-4.1.0" + sources."semver-5.7.0" ]; }) (sources."expand-brackets-2.1.4" // { @@ -60679,11 +62040,7 @@ in sources."statuses-1.4.0" ]; }) - (sources."fkill-5.3.0" // { - dependencies = [ - sources."execa-0.10.0" - ]; - }) + sources."fkill-6.1.0" sources."for-in-1.0.2" sources."forever-agent-0.6.1" sources."form-data-2.3.3" @@ -60696,7 +62053,7 @@ in sources."fs-exists-sync-0.1.0" sources."fs-extra-7.0.1" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.7" + sources."fsevents-1.2.8" sources."fswin-2.17.1227" sources."function-bind-1.1.1" sources."generate-function-1.1.0" @@ -60715,7 +62072,7 @@ in }) sources."glob-to-regexp-0.3.0" sources."global-dirs-0.1.1" - (sources."globby-9.1.0" // { + (sources."globby-9.2.0" // { dependencies = [ sources."pify-4.0.1" ]; @@ -60724,14 +62081,14 @@ in sources."got-6.7.1" sources."graceful-fs-4.1.15" sources."graceful-readlink-1.0.1" - sources."graphql-14.1.1" + sources."graphql-14.2.1" sources."graphql-anywhere-4.2.1" sources."graphql-extensions-0.5.7" - sources."graphql-subscriptions-1.0.0" + sources."graphql-subscriptions-1.1.0" sources."graphql-tag-2.10.1" sources."graphql-tools-4.0.4" - sources."graphql-type-json-0.2.2" - sources."graphql-upload-8.0.4" + sources."graphql-type-json-0.2.4" + sources."graphql-upload-8.0.5" sources."growly-1.3.0" sources."har-schema-2.0.0" sources."har-validator-5.1.3" @@ -60747,12 +62104,12 @@ in ]; }) sources."hash.js-1.1.7" - sources."hoek-6.1.2" + sources."hoek-6.1.3" sources."homedir-polyfill-1.0.3" sources."http-errors-1.7.2" sources."http-signature-1.2.0" sources."iconv-lite-0.4.23" - sources."ieee754-1.1.12" + sources."ieee754-1.1.13" sources."ignore-4.0.6" sources."ignore-by-default-1.0.1" sources."immutable-tuple-0.4.10" @@ -60763,9 +62120,9 @@ in sources."inflight-1.0.6" sources."inherits-2.0.3" sources."ini-1.3.5" - sources."inquirer-6.2.2" + sources."inquirer-6.3.1" sources."into-stream-2.0.1" - sources."ipaddr.js-1.8.0" + sources."ipaddr.js-1.9.0" sources."is-accessor-descriptor-1.0.0" sources."is-binary-path-1.0.1" sources."is-buffer-1.1.6" @@ -60777,7 +62134,7 @@ in sources."is-extendable-0.1.1" sources."is-extglob-2.1.1" sources."is-fullwidth-code-point-2.0.0" - sources."is-glob-4.0.0" + sources."is-glob-4.0.1" sources."is-installed-globally-0.1.0" sources."is-natural-number-4.0.1" sources."is-npm-1.0.0" @@ -60812,7 +62169,7 @@ in sources."joi-14.3.1" sources."js-message-1.0.5" sources."js-queue-2.0.0" - sources."js-yaml-3.13.0" + sources."js-yaml-3.13.1" sources."jsbn-0.1.1" sources."json-schema-0.2.3" sources."json-schema-traverse-0.4.1" @@ -60848,8 +62205,8 @@ in ]; }) sources."mime-1.4.1" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."mimic-fn-1.2.0" sources."minimalistic-assert-1.0.1" sources."minimatch-3.0.4" @@ -60866,7 +62223,7 @@ in }) sources."ms-2.0.0" sources."mute-stream-0.0.7" - sources."nan-2.13.1" + sources."nan-2.13.2" sources."nanoid-2.0.1" (sources."nanomatch-1.2.13" // { dependencies = [ @@ -60884,11 +62241,16 @@ in sources."nice-try-1.0.5" sources."node-fetch-2.3.0" sources."node-ipc-9.1.1" - sources."node-notifier-5.4.0" - (sources."nodemon-1.18.10" // { + (sources."node-notifier-5.4.0" // { + dependencies = [ + sources."semver-5.7.0" + ]; + }) + (sources."nodemon-1.18.11" // { dependencies = [ sources."debug-3.2.6" sources."ms-2.1.1" + sources."semver-5.7.0" ]; }) sources."nopt-1.0.10" @@ -60910,7 +62272,7 @@ in sources."kind-of-3.2.2" ]; }) - sources."object-keys-1.1.0" + sources."object-keys-1.1.1" sources."object-path-0.11.4" sources."object-visit-1.0.1" sources."object.getownpropertydescriptors-2.0.3" @@ -60920,13 +62282,17 @@ in sources."onetime-2.0.1" sources."opn-5.5.0" sources."optimism-0.6.9" - sources."ora-3.2.0" + sources."ora-3.4.0" sources."os-tmpdir-1.0.2" sources."p-finally-1.0.0" - sources."package-json-4.0.1" + (sources."package-json-4.0.1" // { + dependencies = [ + sources."semver-5.7.0" + ]; + }) sources."parse-git-config-2.0.3" sources."parse-passwd-1.0.0" - sources."parseurl-1.3.2" + sources."parseurl-1.3.3" sources."pascalcase-0.1.1" sources."path-dirname-1.0.2" sources."path-is-absolute-1.0.1" @@ -60939,10 +62305,7 @@ in sources."performance-now-2.1.0" (sources."pid-from-port-1.1.3" // { dependencies = [ - sources."cross-spawn-5.1.0" sources."execa-0.9.0" - sources."lru-cache-4.1.5" - sources."yallist-2.1.2" ]; }) sources."pify-3.0.0" @@ -60955,17 +62318,17 @@ in }) sources."posix-character-classes-0.1.1" sources."prepend-http-1.0.4" - sources."prismjs-1.15.0" + sources."prismjs-1.16.0" sources."private-0.1.8" sources."process-exists-3.1.0" sources."process-nextick-args-2.0.0" sources."proto-list-1.2.4" (sources."protobufjs-6.8.8" // { dependencies = [ - sources."@types/node-10.14.1" + sources."@types/node-10.14.5" ]; }) - sources."proxy-addr-2.0.4" + sources."proxy-addr-2.0.5" sources."ps-list-4.1.0" sources."pseudomap-1.0.2" sources."psl-1.1.31" @@ -60983,7 +62346,7 @@ in sources."rc-1.2.8" sources."readable-stream-2.3.6" sources."readdirp-2.2.1" - (sources."recast-0.17.4" // { + (sources."recast-0.17.5" // { dependencies = [ sources."source-map-0.6.1" ]; @@ -61010,11 +62373,12 @@ in sources."rimraf-2.6.3" sources."rss-parser-3.7.0" sources."run-async-2.3.0" - sources."rxjs-6.4.0" + sources."rxjs-6.5.1" sources."safe-buffer-5.1.2" sources."safe-regex-1.1.0" sources."safer-buffer-2.1.2" sources."sax-1.2.4" + sources."scrollparent-2.0.1" sources."sec-1.0.0" (sources."seek-bzip-1.0.5" // { dependencies = [ @@ -61022,8 +62386,12 @@ in ]; }) sources."select-1.1.2" - sources."semver-5.6.0" - sources."semver-diff-2.1.0" + sources."semver-6.0.0" + (sources."semver-diff-2.1.0" // { + dependencies = [ + sources."semver-5.7.0" + ]; + }) (sources."send-0.16.2" // { dependencies = [ sources."debug-2.6.9" @@ -61073,7 +62441,7 @@ in }) sources."source-map-0.5.7" sources."source-map-resolve-0.5.2" - (sources."source-map-support-0.5.11" // { + (sources."source-map-support-0.5.12" // { dependencies = [ sources."source-map-0.6.1" ]; @@ -61142,10 +62510,7 @@ in }) (sources."term-size-1.2.0" // { dependencies = [ - sources."cross-spawn-5.1.0" sources."execa-0.7.0" - sources."lru-cache-4.1.5" - sources."yallist-2.1.2" ]; }) sources."through-2.3.8" @@ -61176,7 +62541,7 @@ in }) sources."trim-repeated-1.0.0" sources."ts-invariant-0.2.1" - sources."ts-node-8.0.3" + sources."ts-node-8.1.0" sources."tslib-1.9.3" sources."tunnel-agent-0.6.0" sources."tweetnacl-0.14.5" @@ -61221,13 +62586,16 @@ in sources."vary-1.1.2" sources."verror-1.10.0" sources."vue-cli-plugin-apollo-0.19.2" + sources."vue-observe-visibility-0.4.3" + sources."vue-resize-0.4.5" + sources."vue-virtual-scroller-1.0.0-rc.2" sources."watch-1.0.2" sources."wcwidth-1.0.1" sources."which-1.3.1" sources."widest-line-2.0.1" sources."wrappy-1.0.2" sources."write-file-atomic-2.4.2" - sources."ws-6.2.0" + sources."ws-6.2.1" sources."xdg-basedir-3.0.0" sources."xml2js-0.4.19" sources."xmlbuilder-9.0.7" @@ -61239,8 +62607,8 @@ in ]; }) sources."yauzl-2.10.0" - sources."yn-3.0.0" - sources."zen-observable-0.8.13" + sources."yn-3.1.0" + sources."zen-observable-0.8.14" sources."zen-observable-ts-0.8.18" ]; buildInputs = globalBuildInputs; @@ -61376,7 +62744,7 @@ in sources."@babel/code-frame-7.0.0" sources."@babel/generator-7.4.0" sources."@babel/highlight-7.0.0" - sources."@babel/parser-7.4.2" + sources."@babel/parser-7.4.3" sources."@babel/template-7.4.0" sources."@babel/types-7.4.0" sources."@webassemblyjs/ast-1.8.5" @@ -61398,7 +62766,7 @@ in sources."chalk-2.4.2" sources."color-convert-1.9.3" sources."color-name-1.1.3" - sources."commander-2.19.0" + sources."commander-2.20.0" sources."escape-string-regexp-1.0.5" sources."esutils-2.0.2" sources."has-flag-3.0.0" @@ -61451,10 +62819,10 @@ in webpack = nodeEnv.buildNodePackage { name = "webpack"; packageName = "webpack"; - version = "4.29.6"; + version = "4.30.0"; src = fetchurl { - url = "https://registry.npmjs.org/webpack/-/webpack-4.29.6.tgz"; - sha512 = "MwBwpiE1BQpMDkbnUUaW6K8RFZjljJHArC6tWQJoFm0oQtfoSebtg4Y7/QHnJ/SddtjYLHaKGX64CFjG5rehJw=="; + url = "https://registry.npmjs.org/webpack/-/webpack-4.30.0.tgz"; + sha512 = "4hgvO2YbAFUhyTdlR4FNyt2+YaYBYHavyzjCMbZzgglo02rlKi/pcsEzwCuCpsn1ryzIl1cq/u8ArIKu8JBYMg=="; }; dependencies = [ sources."@webassemblyjs/ast-1.8.5" @@ -61500,7 +62868,7 @@ in ]; }) sources."assign-symbols-1.0.0" - sources."async-each-1.0.2" + sources."async-each-1.0.3" sources."atob-2.1.2" sources."balanced-match-1.0.0" (sources."base-0.11.2" // { @@ -61510,8 +62878,8 @@ in }) sources."base64-js-1.3.0" sources."big.js-5.2.2" - sources."binary-extensions-1.13.0" - sources."bluebird-3.5.3" + sources."binary-extensions-1.13.1" + sources."bluebird-3.5.4" sources."bn.js-4.11.8" sources."brace-expansion-1.1.11" (sources."braces-2.3.2" // { @@ -61532,7 +62900,7 @@ in sources."builtin-status-codes-3.0.0" sources."cacache-11.3.2" sources."cache-base-1.0.1" - sources."chokidar-2.1.2" + sources."chokidar-2.1.5" sources."chownr-1.1.1" sources."chrome-trace-event-1.0.0" sources."cipher-base-1.0.4" @@ -61554,9 +62922,9 @@ in ]; }) sources."collection-visit-1.0.0" - sources."commander-2.19.0" + sources."commander-2.20.0" sources."commondir-1.0.1" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."concat-map-0.0.1" sources."concat-stream-1.6.2" sources."console-browserify-1.1.0" @@ -61632,7 +63000,7 @@ in sources."from2-2.3.0" sources."fs-write-stream-atomic-1.0.10" sources."fs.realpath-1.0.0" - sources."fsevents-1.2.7" + sources."fsevents-1.2.8" sources."get-value-2.0.6" sources."glob-7.1.3" (sources."glob-parent-3.1.0" // { @@ -61651,7 +63019,7 @@ in sources."hash.js-1.1.7" sources."hmac-drbg-1.0.1" sources."https-browserify-1.0.0" - sources."ieee754-1.1.12" + sources."ieee754-1.1.13" sources."iferr-0.1.5" sources."imurmurhash-0.1.4" sources."indexof-0.0.1" @@ -61664,7 +63032,7 @@ in sources."is-descriptor-1.0.2" sources."is-extendable-0.1.1" sources."is-extglob-2.1.1" - sources."is-glob-4.0.0" + sources."is-glob-4.0.1" (sources."is-number-3.0.0" // { dependencies = [ sources."kind-of-3.2.2" @@ -61707,7 +63075,7 @@ in }) sources."move-concurrently-1.0.1" sources."ms-2.0.0" - sources."nan-2.13.1" + sources."nan-2.13.2" sources."nanomatch-1.2.13" sources."neo-async-2.6.0" (sources."node-libs-browser-2.2.0" // { @@ -61735,7 +63103,7 @@ in sources."os-browserify-0.3.0" sources."p-limit-2.2.0" sources."p-locate-3.0.0" - sources."p-try-2.1.0" + sources."p-try-2.2.0" sources."pako-1.0.10" sources."parallel-transform-1.1.0" sources."parse-asn1-5.1.4" @@ -61778,8 +63146,8 @@ in sources."safe-buffer-5.1.2" sources."safe-regex-1.1.0" sources."schema-utils-1.0.0" - sources."semver-5.6.0" - sources."serialize-javascript-1.6.1" + sources."semver-5.7.0" + sources."serialize-javascript-1.7.0" (sources."set-value-2.0.0" // { dependencies = [ sources."extend-shallow-2.0.1" @@ -61818,7 +63186,7 @@ in sources."source-list-map-2.0.1" sources."source-map-0.5.7" sources."source-map-resolve-0.5.2" - (sources."source-map-support-0.5.11" // { + (sources."source-map-support-0.5.12" // { dependencies = [ sources."source-map-0.6.1" ]; @@ -61848,7 +63216,7 @@ in sources."stream-http-2.8.3" sources."stream-shift-1.0.0" sources."string_decoder-1.1.1" - sources."tapable-1.1.1" + sources."tapable-1.1.3" (sources."terser-3.17.0" // { dependencies = [ sources."source-map-0.6.1" @@ -61926,10 +63294,10 @@ in webpack-cli = nodeEnv.buildNodePackage { name = "webpack-cli"; packageName = "webpack-cli"; - version = "3.3.0"; + version = "3.3.1"; src = fetchurl { - url = "https://registry.npmjs.org/webpack-cli/-/webpack-cli-3.3.0.tgz"; - sha512 = "t1M7G4z5FhHKJ92WRKwZ1rtvi7rHc0NZoZRbSkol0YKl4HvcC8+DsmGDmK7MmZxHSAetHagiOsjOB6MmzC2TUw=="; + url = "https://registry.npmjs.org/webpack-cli/-/webpack-cli-3.3.1.tgz"; + sha512 = "c2inFU7SM0IttEgF7fK6AaUsbBnORRzminvbyRKS+NlbQHVZdCtzKBlavRL5359bFsywXGRAItA5di/IruC8mg=="; }; dependencies = [ sources."ansi-regex-3.0.0" @@ -61952,7 +63320,7 @@ in ]; }) sources."cache-base-1.0.1" - sources."camelcase-5.2.0" + sources."camelcase-5.3.1" sources."chalk-2.4.2" (sources."class-utils-0.3.6" // { dependencies = [ @@ -61976,7 +63344,7 @@ in sources."collection-visit-1.0.0" sources."color-convert-1.9.3" sources."color-name-1.1.3" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."copy-descriptor-0.1.1" sources."core-util-is-1.0.2" sources."cross-spawn-6.0.5" @@ -62076,10 +63444,10 @@ in sources."map-age-cleaner-0.1.3" sources."map-cache-0.2.2" sources."map-visit-1.0.0" - sources."mem-4.2.0" + sources."mem-4.3.0" sources."memory-fs-0.4.1" sources."micromatch-3.1.10" - sources."mimic-fn-2.0.0" + sources."mimic-fn-2.1.0" sources."minimist-1.2.0" (sources."mixin-deep-1.3.1" // { dependencies = [ @@ -62110,10 +63478,10 @@ in sources."os-locale-3.1.0" sources."p-defer-1.0.0" sources."p-finally-1.0.0" - sources."p-is-promise-2.0.0" + sources."p-is-promise-2.1.0" sources."p-limit-2.2.0" sources."p-locate-3.0.0" - sources."p-try-2.1.0" + sources."p-try-2.2.0" sources."parse-passwd-1.0.0" sources."pascalcase-0.1.1" sources."path-exists-3.0.0" @@ -62136,7 +63504,7 @@ in sources."ret-0.1.15" sources."safe-buffer-5.1.2" sources."safe-regex-1.1.0" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."set-blocking-2.0.0" (sources."set-value-2.0.0" // { dependencies = [ @@ -62204,7 +63572,7 @@ in sources."strip-ansi-4.0.0" sources."strip-eof-1.0.0" sources."supports-color-5.5.0" - sources."tapable-1.1.1" + sources."tapable-1.1.3" (sources."to-object-path-0.3.0" // { dependencies = [ sources."kind-of-3.2.2" @@ -62286,9 +63654,9 @@ in sources."readable-stream-2.3.6" ]; }) - (sources."bittorrent-tracker-9.10.1" // { + (sources."bittorrent-tracker-9.11.0" // { dependencies = [ - sources."debug-3.2.6" + sources."debug-4.1.1" sources."ms-2.1.1" sources."simple-get-3.0.3" ]; @@ -62400,7 +63768,7 @@ in sources."mdns-js-packet-0.2.0" sources."mediasource-2.3.0" sources."memory-chunk-store-1.3.0" - sources."mime-2.4.0" + sources."mime-2.4.2" sources."mimic-response-1.0.1" sources."minimatch-3.0.4" sources."minimist-1.2.0" @@ -62456,13 +63824,13 @@ in sources."protobufjs-3.8.2" sources."pump-3.0.0" sources."qap-3.3.1" - sources."random-access-file-2.1.0" + sources."random-access-file-2.1.1" sources."random-access-storage-1.3.0" sources."random-iterate-1.0.1" sources."randombytes-2.1.0" sources."range-parser-1.2.0" sources."range-slice-stream-2.0.0" - sources."readable-stream-3.2.0" + sources."readable-stream-3.3.0" sources."record-cache-1.1.0" (sources."render-media-3.1.3" // { dependencies = [ @@ -62480,7 +63848,7 @@ in sources."semver-5.1.1" sources."simple-concat-1.0.0" sources."simple-get-2.8.1" - (sources."simple-peer-9.2.1" // { + (sources."simple-peer-9.3.0" // { dependencies = [ sources."debug-4.1.1" sources."ms-2.1.1" @@ -62541,7 +63909,7 @@ in }) sources."winreg-1.2.4" sources."wrappy-1.0.2" - sources."ws-6.2.0" + sources."ws-6.2.1" sources."xml2js-0.4.19" sources."xmlbuilder-9.0.7" sources."xmldom-0.1.27" @@ -62571,7 +63939,7 @@ in sources."@babel/runtime-7.3.1" sources."@cliqz-oss/firefox-client-0.3.1" sources."@cliqz-oss/node-firefox-connect-1.2.1" - sources."@types/node-11.11.4" + sources."@types/node-11.13.7" sources."JSONSelect-0.2.1" sources."acorn-5.7.3" (sources."acorn-jsx-3.0.1" // { @@ -62585,7 +63953,7 @@ in (sources."addons-linter-1.6.1" // { dependencies = [ sources."ansi-regex-4.1.0" - sources."camelcase-5.2.0" + sources."camelcase-5.3.1" sources."decamelize-1.2.0" sources."es6-promisify-6.0.1" sources."get-caller-file-2.0.5" @@ -62640,7 +64008,7 @@ in sources."assign-symbols-1.0.0" sources."astral-regex-1.0.0" sources."async-0.2.10" - sources."async-each-1.0.2" + sources."async-each-1.0.3" sources."asynckit-0.4.0" sources."atob-2.1.2" sources."aws-sign2-0.7.0" @@ -62671,7 +64039,7 @@ in }) sources."base64-js-1.3.0" sources."bcrypt-pbkdf-1.0.2" - sources."binary-extensions-1.13.0" + sources."binary-extensions-1.13.1" (sources."bl-1.2.2" // { dependencies = [ sources."readable-stream-2.3.6" @@ -62702,17 +64070,18 @@ in sources."callsites-0.2.0" ]; }) - sources."callsites-3.0.0" + sources."callsites-3.1.0" sources."camelcase-4.1.0" sources."capture-stack-trace-1.0.1" sources."caseless-0.12.0" sources."chalk-2.4.2" sources."chardet-0.7.0" sources."cheerio-1.0.0-rc.2" - (sources."chokidar-2.1.2" // { + (sources."chokidar-2.1.5" // { dependencies = [ - sources."fsevents-1.2.7" + sources."fsevents-1.2.8" sources."normalize-path-3.0.0" + sources."upath-1.1.2" ]; }) sources."circular-json-0.3.3" @@ -62751,9 +64120,9 @@ in sources."colors-0.5.1" sources."columnify-1.5.4" sources."combined-stream-1.0.7" - sources."commander-2.19.0" + sources."commander-2.20.0" sources."common-tags-1.8.0" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" (sources."compress-commons-1.2.2" // { dependencies = [ sources."readable-stream-2.3.6" @@ -62802,7 +64171,7 @@ in dependencies = [ sources."async-2.6.2" sources."decamelize-1.2.0" - sources."source-map-support-0.5.11" + sources."source-map-support-0.5.12" sources."yargs-12.0.5" ]; }) @@ -62923,7 +64292,7 @@ in }) sources."extsprintf-1.3.0" sources."fast-deep-equal-2.0.1" - sources."fast-json-patch-2.0.7" + sources."fast-json-patch-2.1.0" sources."fast-json-stable-stringify-2.0.0" sources."fast-levenshtein-2.0.6" sources."fast-redact-1.5.0" @@ -63012,7 +64381,7 @@ in sources."htmlparser2-3.10.1" sources."http-signature-1.2.0" sources."iconv-lite-0.4.24" - sources."ieee754-1.1.12" + sources."ieee754-1.1.13" sources."ignore-4.0.6" sources."import-fresh-3.0.0" sources."import-lazy-2.1.0" @@ -63020,7 +64389,7 @@ in sources."inflight-1.0.6" sources."inherits-2.0.3" sources."ini-1.3.5" - (sources."inquirer-6.2.2" // { + (sources."inquirer-6.3.1" // { dependencies = [ sources."ansi-regex-4.1.0" sources."strip-ansi-5.2.0" @@ -63038,7 +64407,7 @@ in sources."is-extendable-1.0.1" sources."is-extglob-2.1.1" sources."is-fullwidth-code-point-1.0.0" - sources."is-glob-4.0.0" + sources."is-glob-4.0.1" sources."is-installed-globally-0.1.0" sources."is-mergeable-object-1.1.0" sources."is-my-ip-valid-1.0.0" @@ -63071,7 +64440,7 @@ in sources."jetpack-id-1.0.0" sources."js-select-0.6.0" sources."js-tokens-4.0.0" - (sources."js-yaml-3.13.0" // { + (sources."js-yaml-3.13.1" // { dependencies = [ sources."esprima-4.0.1" ]; @@ -63130,14 +64499,14 @@ in sources."map-cache-0.2.2" sources."map-visit-1.0.0" sources."mdn-browser-compat-data-0.0.66" - (sources."mem-4.2.0" // { + (sources."mem-4.3.0" // { dependencies = [ - sources."mimic-fn-2.0.0" + sources."mimic-fn-2.1.0" ]; }) sources."micromatch-3.1.10" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."mimic-fn-1.2.0" sources."minimatch-3.0.4" sources."minimist-1.2.0" @@ -63158,7 +64527,7 @@ in ]; }) sources."mz-2.7.0" - sources."nan-2.13.1" + sources."nan-2.13.2" sources."nanomatch-1.2.13" sources."natural-compare-1.4.0" sources."natural-compare-lite-1.4.0" @@ -63207,13 +64576,13 @@ in sources."os-tmpdir-1.0.2" sources."p-defer-1.0.0" sources."p-finally-1.0.0" - sources."p-is-promise-2.0.0" + sources."p-is-promise-2.1.0" sources."p-limit-2.2.0" sources."p-locate-3.0.0" - sources."p-try-2.1.0" + sources."p-try-2.2.0" sources."package-json-4.0.1" sources."pako-1.0.10" - sources."parent-module-1.0.0" + sources."parent-module-1.0.1" sources."parse-json-4.0.0" sources."parse5-3.0.3" sources."pascalcase-0.1.1" @@ -63234,7 +64603,7 @@ in sources."pinkie-2.0.4" sources."pinkie-promise-2.0.1" sources."pino-5.11.1" - sources."pino-std-serializers-2.3.0" + sources."pino-std-serializers-2.4.0" sources."pluralize-1.2.1" sources."po2json-0.4.5" sources."posix-character-classes-0.1.1" @@ -63266,7 +64635,7 @@ in sources."path-exists-2.1.0" ]; }) - sources."readable-stream-3.2.0" + sources."readable-stream-3.3.0" (sources."readdirp-2.2.1" // { dependencies = [ sources."readable-stream-2.3.6" @@ -63310,7 +64679,7 @@ in sources."rimraf-2.6.3" sources."run-async-2.3.0" sources."rx-lite-3.1.2" - sources."rxjs-6.4.0" + sources."rxjs-6.5.1" sources."safe-buffer-5.1.2" sources."safe-json-stringify-1.2.0" sources."safe-regex-1.1.0" @@ -63392,7 +64761,7 @@ in sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" sources."split-0.3.3" sources."split-string-3.1.0" sources."sprintf-js-1.0.3" @@ -63559,7 +64928,7 @@ in }) (sources."yargs-parser-11.1.1" // { dependencies = [ - sources."camelcase-5.2.0" + sources."camelcase-5.3.1" sources."decamelize-1.2.0" ]; }) @@ -63605,10 +64974,10 @@ in yarn = nodeEnv.buildNodePackage { name = "yarn"; packageName = "yarn"; - version = "1.13.0"; + version = "1.15.2"; src = fetchurl { - url = "https://registry.npmjs.org/yarn/-/yarn-1.13.0.tgz"; - sha512 = "Unfw2eefv8imt4ZMPhvFVP44WCz38huDxkHs+Yqrx4wBTK75Tr0mh3V4rh+2Nw5iQq0rcM/VafotCZo9qTb5DA=="; + url = "https://registry.npmjs.org/yarn/-/yarn-1.15.2.tgz"; + sha512 = "DhqaGe2FcYKduO42d2hByXk7y8k2k42H3uzYdWBMTvcNcgWKx7xCkJWsVAQikXvaEQN2GyJNrz8CboqUmaBRrw=="; }; buildInputs = globalBuildInputs; meta = { @@ -63622,10 +64991,10 @@ in yo = nodeEnv.buildNodePackage { name = "yo"; packageName = "yo"; - version = "2.0.5"; + version = "2.0.6"; src = fetchurl { - url = "https://registry.npmjs.org/yo/-/yo-2.0.5.tgz"; - sha512 = "PLyTNZSJjHkks/FIln+QE5PxV224MsekCzbROVhZEW0MvLyj/6ghWIVkdBmrwdAbapH8H9q21F1/pQ9Q0Lk9UA=="; + url = "https://registry.npmjs.org/yo/-/yo-2.0.6.tgz"; + sha512 = "1OleNumZXtE/Lo/ZDPsMXqOX8oXr8tpBXYgUGEDAONYqLX3/n3PV3BWkXI7Iwq6vhuAAYPRLinncUe30izlcSg=="; }; dependencies = [ sources."@mrmlnc/readdir-enhanced-2.2.1" @@ -63726,7 +65095,7 @@ in sources."color-convert-1.9.3" sources."color-name-1.1.3" sources."combined-stream-1.0.7" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."concat-map-0.0.1" sources."concat-stream-1.6.2" sources."conf-1.4.0" @@ -63875,7 +65244,7 @@ in sources."inflight-1.0.6" sources."inherits-2.0.3" sources."ini-1.3.5" - sources."inquirer-6.2.2" + sources."inquirer-6.3.1" (sources."insight-0.10.1" // { dependencies = [ sources."chardet-0.4.2" @@ -63897,7 +65266,7 @@ in sources."is-extglob-2.1.1" sources."is-finite-1.0.2" sources."is-fullwidth-code-point-2.0.0" - sources."is-glob-4.0.0" + sources."is-glob-4.0.1" sources."is-installed-globally-0.1.0" sources."is-npm-1.0.0" (sources."is-number-3.0.0" // { @@ -63976,8 +65345,8 @@ in }) sources."merge2-1.2.3" sources."micromatch-3.1.10" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."mimic-fn-1.2.0" sources."mimic-response-1.0.1" sources."minimatch-3.0.4" @@ -64092,7 +65461,7 @@ in sources."locate-path-3.0.0" sources."p-limit-2.2.0" sources."p-locate-3.0.0" - sources."p-try-2.1.0" + sources."p-try-2.2.0" sources."parse-json-4.0.0" sources."path-type-3.0.0" sources."read-pkg-3.0.0" @@ -64126,12 +65495,12 @@ in sources."root-check-1.0.0" sources."run-async-2.3.0" sources."rx-4.1.0" - sources."rxjs-6.4.0" + sources."rxjs-6.5.1" sources."safe-buffer-5.1.2" sources."safe-regex-1.1.0" sources."safer-buffer-2.1.2" sources."scoped-regex-1.0.0" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."semver-diff-2.1.0" sources."semver-regex-1.0.0" sources."semver-truncate-1.1.2" @@ -64182,7 +65551,7 @@ in sources."spdx-correct-3.1.0" sources."spdx-exceptions-2.2.0" sources."spdx-expression-parse-3.0.0" - sources."spdx-license-ids-3.0.3" + sources."spdx-license-ids-3.0.4" sources."split-string-3.1.0" sources."sshpk-1.16.1" (sources."static-extend-0.1.2" // { diff --git a/nixpkgs/pkgs/development/node-packages/node-packages-v6.nix b/nixpkgs/pkgs/development/node-packages/node-packages-v6.nix index 4f90525ea56c..e33d9539247a 100644 --- a/nixpkgs/pkgs/development/node-packages/node-packages-v6.nix +++ b/nixpkgs/pkgs/development/node-packages/node-packages-v6.nix @@ -1714,13 +1714,13 @@ let sha1 = "9b2ce5d3de02d17c6012ad326aa6b4d0cf54f94f"; }; }; - "semver-5.6.0" = { + "semver-5.7.0" = { name = "semver"; packageName = "semver"; - version = "5.6.0"; + version = "5.7.0"; src = fetchurl { - url = "https://registry.npmjs.org/semver/-/semver-5.6.0.tgz"; - sha512 = "RS9R6R35NYgQn++fkDWaOmqGoj4Ek9gGs+DPxNUZKuwE183xjJroKvyo1IzVFeXvUrvmALy6FWD5xrdJT25gMg=="; + url = "https://registry.npmjs.org/semver/-/semver-5.7.0.tgz"; + sha512 = "Ya52jSX2u7QKghxeoFGpLwCtGlt7j0oY9DYb5apt9nPlJ42ID+ulTXESnt/qAQcoSERyZ5sl3LDIOw0nAn/5DA=="; }; }; "set-blocking-2.0.0" = { @@ -2581,7 +2581,7 @@ in sources."safe-buffer-5.1.2" sources."safer-buffer-2.1.2" sources."sax-1.2.4" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."set-blocking-2.0.0" sources."signal-exit-3.0.2" sources."string-width-1.0.2" @@ -2606,10 +2606,10 @@ in pnpm = nodeEnv.buildNodePackage { name = "pnpm"; packageName = "pnpm"; - version = "3.0.1"; + version = "3.1.0"; src = fetchurl { - url = "https://registry.npmjs.org/pnpm/-/pnpm-3.0.1.tgz"; - sha512 = "hYWjRN7U96zHDvgQxGyYaMF469Uzx0iZo2ej1VMUSaOULUFI9ue7dDku29AOf/E0+5Zd9zFs0qE0Tuq5Zsrk0A=="; + url = "https://registry.npmjs.org/pnpm/-/pnpm-3.1.0.tgz"; + sha512 = "+Ywkb2rg5uX6/CppRBFmefrQn8EiXK8H0ntRoWHuQAzLErrVXtbyuRKhWMUG5ewr9raQUOACou/uaThE9jzhwA=="; }; buildInputs = globalBuildInputs; meta = { diff --git a/nixpkgs/pkgs/development/node-packages/node-packages-v8.nix b/nixpkgs/pkgs/development/node-packages/node-packages-v8.nix index eca37615dbd3..61bde8dcc7c7 100644 --- a/nixpkgs/pkgs/development/node-packages/node-packages-v8.nix +++ b/nixpkgs/pkgs/development/node-packages/node-packages-v8.nix @@ -4,13 +4,13 @@ let sources = { - "@types/node-8.10.44" = { + "@types/node-8.10.46" = { name = "_at_types_slash_node"; packageName = "@types/node"; - version = "8.10.44"; + version = "8.10.46"; src = fetchurl { - url = "https://registry.npmjs.org/@types/node/-/node-8.10.44.tgz"; - sha512 = "HY3SK7egERHGUfY8p6ztXIEQWcIPHouYhCGcLAPQin7gE2G/fALFz+epnMwcxKUS6aKqTVoAFdi+t1llQd3xcw=="; + url = "https://registry.npmjs.org/@types/node/-/node-8.10.46.tgz"; + sha512 = "PfnRbk836fFs9T9QnZh0G1k9oC6YXCqIK3LX6vU/6oiXtEBSFCiJFj6UnLZtqIIHTsgMn8Dojq3yhmpwY7QWcw=="; }; }; "JSV-4.0.2" = { @@ -688,13 +688,13 @@ let sha512 = "b+rxF8esa1Cm+bnJLs6a+hO/7U9QwvQzg0bSR1rKP9NTKjZji3GxdndcPVkHqFv28QiLo9ifyR/FaJMA0cDcTw=="; }; }; - "azure-storage-2.10.2" = { + "azure-storage-2.10.3" = { name = "azure-storage"; packageName = "azure-storage"; - version = "2.10.2"; + version = "2.10.3"; src = fetchurl { - url = "https://registry.npmjs.org/azure-storage/-/azure-storage-2.10.2.tgz"; - sha512 = "pOyGPya9+NDpAfm5YcFfklo57HfjDbYLXxs4lomPwvRxmb0Di/A+a+RkUmEFzaQ8S13CqxK40bRRB0sjj2ZQxA=="; + url = "https://registry.npmjs.org/azure-storage/-/azure-storage-2.10.3.tgz"; + sha512 = "IGLs5Xj6kO8Ii90KerQrrwuJKexLgSwYC4oLWmc11mzKe7Jt2E5IVg+ZQ8K53YWZACtVTMBNO3iGuA+4ipjJxQ=="; }; }; "babel-code-frame-6.26.0" = { @@ -1075,13 +1075,22 @@ let sha512 = "6tvAOO+D6OENvRAh524Dh9jcfKTYDQAqvqezbCW82xj5X0pSrcpxtvRKHLG0yBY6SD7PSDrJaj+0AiOcKVd1Xg=="; }; }; - "component-emitter-1.2.1" = { + "commander-2.20.0" = { + name = "commander"; + packageName = "commander"; + version = "2.20.0"; + src = fetchurl { + url = "https://registry.npmjs.org/commander/-/commander-2.20.0.tgz"; + sha512 = "7j2y+40w61zy6YC2iRNpUe/NwhNyoXrYpHMrSunaMG64nRnaf96zO/KMQR4OyN/UnE5KLyEBnKHd4aG3rskjpQ=="; + }; + }; + "component-emitter-1.3.0" = { name = "component-emitter"; packageName = "component-emitter"; - version = "1.2.1"; + version = "1.3.0"; src = fetchurl { - url = "https://registry.npmjs.org/component-emitter/-/component-emitter-1.2.1.tgz"; - sha1 = "137918d6d78283f7df7a6b7c5a63e140e69425e6"; + url = "https://registry.npmjs.org/component-emitter/-/component-emitter-1.3.0.tgz"; + sha512 = "Rd3se6QB+sO1TwqZjscQrurpEPIfO0/yYnSin6Q/rD3mOutHvUrCAhJub3r90uNb+SESBuE0QYoB90YdfatsRg=="; }; }; "concat-map-0.0.1" = { @@ -1210,6 +1219,15 @@ let sha512 = "bC7ElrdJaJnPbAP+1EotYvqZsb3ecl5wi6Bfi6BJTUcNowp6cvspg0jXznRTKDjm/E7AdgFBVeAPVMNcKGsHMA=="; }; }; + "debug-4.1.1" = { + name = "debug"; + packageName = "debug"; + version = "4.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/debug/-/debug-4.1.1.tgz"; + sha512 = "pYAIzeRo8J6KPEaJ0VWOh5Pzkbw/RetuzehGM7QRRX5he4fPHx2rdKMB256ehJCkX+XRQm16eZLqLNS8RSZXZw=="; + }; + }; "decode-uri-component-0.2.0" = { name = "decode-uri-component"; packageName = "decode-uri-component"; @@ -1552,13 +1570,13 @@ let sha1 = "9326b1488c22d1a6088650a86901b2d9a90a2cbc"; }; }; - "fined-1.1.1" = { + "fined-1.2.0" = { name = "fined"; packageName = "fined"; - version = "1.1.1"; + version = "1.2.0"; src = fetchurl { - url = "https://registry.npmjs.org/fined/-/fined-1.1.1.tgz"; - sha512 = "jQp949ZmEbiYHk3gkbdtpJ0G1+kgtLQBNdP5edFP7Fh+WAYceLQz6yO1SBj72Xkg8GVyTB3bBzAYrHJVh5Xd5g=="; + url = "https://registry.npmjs.org/fined/-/fined-1.2.0.tgz"; + sha512 = "ZYDqPLGxDkDhDZBjZBb+oD1+j0rA4E0pXY50eplAAOPg2N/gUBSSk5IM1/QhPfyVo19lJ+CvXpqfvk+b2p/8Ng=="; }; }; "flagged-respawn-1.0.1" = { @@ -2695,22 +2713,22 @@ let sha512 = "MWikgl9n9M3w+bpsY3He8L+w9eF9338xRl8IAO5viDizwSzziFEyUzo2xrrloB64ADbTf8uA8vRqqttDTOmccg=="; }; }; - "mime-db-1.38.0" = { + "mime-db-1.40.0" = { name = "mime-db"; packageName = "mime-db"; - version = "1.38.0"; + version = "1.40.0"; src = fetchurl { - url = "https://registry.npmjs.org/mime-db/-/mime-db-1.38.0.tgz"; - sha512 = "bqVioMFFzc2awcdJZIzR3HjZFX20QhilVS7hytkKrv7xFAn8bM1gzc/FOX2awLISvWe0PV8ptFKcon+wZ5qYkg=="; + url = "https://registry.npmjs.org/mime-db/-/mime-db-1.40.0.tgz"; + sha512 = "jYdeOMPy9vnxEqFRRo6ZvTZ8d9oPb+k18PKoYNYUe2stVEBPPwsln/qWzdbmaIvnhZ9v2P+CuecK+fpUfsV2mA=="; }; }; - "mime-types-2.1.22" = { + "mime-types-2.1.24" = { name = "mime-types"; packageName = "mime-types"; - version = "2.1.22"; + version = "2.1.24"; src = fetchurl { - url = "https://registry.npmjs.org/mime-types/-/mime-types-2.1.22.tgz"; - sha512 = "aGl6TZGnhm/li6F7yx82bJiBZwgiEa4Hf6CNr8YO+r5UHr53tSTYZb102zyU50DOWWKeOv0uQLRL0/9EiKWCog=="; + url = "https://registry.npmjs.org/mime-types/-/mime-types-2.1.24.tgz"; + sha512 = "WaFHS3MCl5fapm3oLxU4eYDw77IQM2ACcxQ9RIxfaC3ooc6PFuBMGZZsYpvoXS5D5QTWPieo1jjLdAm3TBP3cQ=="; }; }; "minimatch-3.0.4" = { @@ -2821,6 +2839,15 @@ let sha1 = "5608aeadfc00be6c2901df5f9861788de0d597c8"; }; }; + "ms-2.1.1" = { + name = "ms"; + packageName = "ms"; + version = "2.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/ms/-/ms-2.1.1.tgz"; + sha512 = "tgp+dl5cGk28utYktBsrFqA7HKgrhgPsg6Z/EfhWI4gl1Hwq8B/GmY/0oXZ6nF8hDVesS/FpnYaD/kOWhYQvyg=="; + }; + }; "ms-rest-1.15.7" = { name = "ms-rest"; packageName = "ms-rest"; @@ -2884,13 +2911,13 @@ let sha1 = "abcc6cbd3ec2ed2a729ff6e7c1fa8f01784a8574"; }; }; - "needle-2.2.4" = { + "needle-2.3.0" = { name = "needle"; packageName = "needle"; - version = "2.2.4"; + version = "2.3.0"; src = fetchurl { - url = "https://registry.npmjs.org/needle/-/needle-2.2.4.tgz"; - sha512 = "HyoqEb4wr/rsoaIDfTH2aVL9nWtQqba2/HvMv+++m8u0dz808MaagKILxtfeSN7QU7nvbQ79zk3vYOJp9zsNEA=="; + url = "https://registry.npmjs.org/needle/-/needle-2.3.0.tgz"; + sha512 = "QBZu7aAFR0522EyaXZM0FZ9GLpq6lvQ3uq8gteiDUp7wKdy0lSd2hPlgFwVuW1CBkfEs9PfDQsQzZghLs/psdg=="; }; }; "node-appc-0.2.49" = { @@ -3613,6 +3640,15 @@ let sha512 = "RS9R6R35NYgQn++fkDWaOmqGoj4Ek9gGs+DPxNUZKuwE183xjJroKvyo1IzVFeXvUrvmALy6FWD5xrdJT25gMg=="; }; }; + "semver-5.7.0" = { + name = "semver"; + packageName = "semver"; + version = "5.7.0"; + src = fetchurl { + url = "https://registry.npmjs.org/semver/-/semver-5.7.0.tgz"; + sha512 = "Ya52jSX2u7QKghxeoFGpLwCtGlt7j0oY9DYb5apt9nPlJ42ID+ulTXESnt/qAQcoSERyZ5sl3LDIOw0nAn/5DA=="; + }; + }; "set-blocking-2.0.0" = { name = "set-blocking"; packageName = "set-blocking"; @@ -3739,13 +3775,13 @@ let sha512 = "try0/JqxPLF9nOjvSta7tVondkP5dwgyLDjVoyMDlmjugT2lRZ1OfsrYTkCd2hkDnJTKRbO/Rl3orm8vlsUzbA=="; }; }; - "source-map-support-0.5.11" = { + "source-map-support-0.5.12" = { name = "source-map-support"; packageName = "source-map-support"; - version = "0.5.11"; + version = "0.5.12"; src = fetchurl { - url = "https://registry.npmjs.org/source-map-support/-/source-map-support-0.5.11.tgz"; - sha512 = "//sajEx/fGL3iw6fltKMdPvy8kL3kJ2O3iuYlRoT3k9Kb4BjOoZ+BZzaNHeuaruSt+Kf3Zk9tnfAQg9/AJqUVQ=="; + url = "https://registry.npmjs.org/source-map-support/-/source-map-support-0.5.12.tgz"; + sha512 = "4h2Pbvyy15EE02G+JOZpUCmqWJuqrs+sEkzewTm++BPi7Hvn/HwcqLAcNxYAyI0x13CpPPn+kMjl+hplXMHITQ=="; }; }; "source-map-url-0.4.0" = { @@ -4518,7 +4554,7 @@ in sources."chalk-1.1.3" sources."chmodr-1.2.0" sources."colors-1.3.3" - sources."commander-2.19.0" + sources."commander-2.20.0" sources."concat-map-0.0.1" sources."convert-source-map-1.6.0" sources."core-js-2.6.5" @@ -4623,7 +4659,7 @@ in sha512 = "MMiK5sFfIocNMWCc5PshUCAe6aY4P13/GCmSwudOziA/pFdQMHU8jhu+jU2SSWFug4K1ugeuCwtMXe43oL0PhQ=="; }; dependencies = [ - sources."@types/node-8.10.44" + sources."@types/node-8.10.46" sources."JSV-4.0.2" sources."adal-node-0.1.28" sources."ajv-6.10.0" @@ -4725,7 +4761,7 @@ in ]; }) sources."azure-servicefabric-2.2.0" - (sources."azure-storage-2.10.2" // { + (sources."azure-storage-2.10.3" // { dependencies = [ sources."readable-stream-2.0.6" sources."underscore-1.8.3" @@ -4810,7 +4846,7 @@ in sources."har-schema-2.0.0" (sources."har-validator-2.0.6" // { dependencies = [ - sources."commander-2.19.0" + sources."commander-2.20.0" ]; }) sources."has-ansi-2.0.0" @@ -4861,8 +4897,8 @@ in sources."lodash-4.17.11" sources."map-stream-0.1.0" sources."md5.js-1.3.4" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimatch-3.0.4" sources."minimist-0.0.8" sources."mkdirp-0.5.1" @@ -5098,7 +5134,7 @@ in ]; }) sources."collection-visit-1.0.0" - sources."component-emitter-1.2.1" + sources."component-emitter-1.3.0" sources."copy-descriptor-0.1.1" sources."debug-2.6.9" sources."decode-uri-component-0.2.0" @@ -5141,7 +5177,7 @@ in ]; }) sources."findup-sync-2.0.0" - sources."fined-1.1.1" + sources."fined-1.2.0" sources."flagged-respawn-1.0.1" sources."for-in-1.0.2" sources."for-own-1.0.0" @@ -5382,8 +5418,8 @@ in sources."json-schema-traverse-0.4.1" sources."json-stringify-safe-5.0.1" sources."jsprim-1.4.1" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimatch-3.0.4" sources."minimist-0.0.8" sources."mkdirp-0.5.1" @@ -5476,7 +5512,7 @@ in sources."concat-map-0.0.1" sources."console-control-strings-1.1.0" sources."core-util-is-1.0.2" - sources."debug-2.6.9" + sources."debug-4.1.1" sources."deep-extend-0.6.0" sources."delegates-1.0.0" sources."detect-libc-1.0.3" @@ -5497,8 +5533,8 @@ in sources."minipass-2.3.5" sources."minizlib-1.2.1" sources."mkdirp-0.5.1" - sources."ms-2.0.0" - sources."needle-2.2.4" + sources."ms-2.1.1" + sources."needle-2.3.0" sources."nopt-4.0.1" sources."npm-bundled-1.0.6" sources."npm-packlist-1.4.1" @@ -5521,7 +5557,7 @@ in sources."safe-buffer-5.1.2" sources."safer-buffer-2.1.2" sources."sax-1.2.4" - sources."semver-5.6.0" + sources."semver-5.7.0" sources."set-blocking-2.0.0" sources."signal-exit-3.0.2" sources."string-width-1.0.2" @@ -5546,10 +5582,10 @@ in pnpm = nodeEnv.buildNodePackage { name = "pnpm"; packageName = "pnpm"; - version = "3.0.1"; + version = "3.1.1"; src = fetchurl { - url = "https://registry.npmjs.org/pnpm/-/pnpm-3.0.1.tgz"; - sha512 = "hYWjRN7U96zHDvgQxGyYaMF469Uzx0iZo2ej1VMUSaOULUFI9ue7dDku29AOf/E0+5Zd9zFs0qE0Tuq5Zsrk0A=="; + url = "https://registry.npmjs.org/pnpm/-/pnpm-3.1.1.tgz"; + sha512 = "MqSWfZXft1cQ6rFgpGpl8JIY3O8w8TnTplvjuCPMl4zRxAUAz0Gzr7ARYH6czoiTxBIbbhu41GfbsBfgMSGTwA=="; }; buildInputs = globalBuildInputs; meta = { @@ -5626,8 +5662,8 @@ in sources."keypress-0.2.1" sources."lodash-4.17.11" sources."longjohn-0.2.12" - sources."mime-db-1.38.0" - sources."mime-types-2.1.22" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" sources."minimatch-3.0.4" sources."minimist-0.0.10" sources."moment-2.22.2" @@ -5653,7 +5689,7 @@ in sources."safer-buffer-2.1.2" sources."semver-5.6.0" sources."source-map-0.6.1" - sources."source-map-support-0.5.11" + sources."source-map-support-0.5.12" sources."sprintf-0.1.5" sources."sshpk-1.16.1" sources."stack-trace-0.0.10" diff --git a/nixpkgs/pkgs/development/ocaml-modules/astring/default.nix b/nixpkgs/pkgs/development/ocaml-modules/astring/default.nix index 13cc4b02b068..7410c19b2473 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/astring/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/astring/default.nix @@ -5,7 +5,7 @@ stdenv.mkDerivation rec { name = "ocaml${ocaml.version}-astring-${version}"; src = fetchurl { - url = "http://erratique.ch/software/astring/releases/astring-${version}.tbz"; + url = "https://erratique.ch/software/astring/releases/astring-${version}.tbz"; sha256 = "0ixjwc3plrljvj24za3l9gy0w30lsbggp8yh02lwrzw61ls4cri0"; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/bos/default.nix b/nixpkgs/pkgs/development/ocaml-modules/bos/default.nix index 47e9d7febf85..0f168daac8c1 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/bos/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/bos/default.nix @@ -6,7 +6,7 @@ stdenv.mkDerivation rec { name = "ocaml${ocaml.version}-bos-${version}"; version = "0.2.0"; src = fetchurl { - url = "http://erratique.ch/software/bos/releases/bos-${version}.tbz"; + url = "https://erratique.ch/software/bos/releases/bos-${version}.tbz"; sha256 = "1s10iqx8rgnxr5n93lf4blwirjf8nlm272yg5sipr7lsr35v49wc"; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/cmdliner/default.nix b/nixpkgs/pkgs/development/ocaml-modules/cmdliner/default.nix index 003ce57cc8a0..0a1a30a34730 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/cmdliner/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/cmdliner/default.nix @@ -21,7 +21,7 @@ stdenv.mkDerivation rec { inherit (param) version; src = fetchurl { - url = "http://erratique.ch/software/${pname}/releases/${pname}-${version}.tbz"; + url = "https://erratique.ch/software/${pname}/releases/${pname}-${version}.tbz"; inherit (param) sha256; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/cohttp/default.nix b/nixpkgs/pkgs/development/ocaml-modules/cohttp/default.nix index 0110ea0223cb..23585ad009c5 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/cohttp/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/cohttp/default.nix @@ -1,27 +1,27 @@ -{ stdenv, fetchFromGitHub, buildDunePackage +{ lib, fetchFromGitHub, buildDunePackage , ppx_fields_conv, ppx_sexp_conv, ppx_deriving , base64, fieldslib, jsonm, re, stringext, uri }: buildDunePackage rec { pname = "cohttp"; - version = "1.1.1"; + version = "2.0.0"; src = fetchFromGitHub { owner = "mirage"; repo = "ocaml-cohttp"; rev = "v${version}"; - sha256 = "1dzd6vy43b7p9xplzg2whylz5br59zxaqywa14b4l377f31gnwq1"; + sha256 = "0nz9y7l5s9a2rq5sb1m5705h99wvf4dk3fhcgragwhy5nwwzcya8"; }; buildInputs = [ jsonm ppx_fields_conv ppx_sexp_conv ]; - propagatedBuildInputs = [ ppx_deriving base64 fieldslib re stringext uri ]; + propagatedBuildInputs = [ base64 fieldslib re stringext uri ]; meta = { description = "HTTP(S) library for Lwt, Async and Mirage"; - license = stdenv.lib.licenses.isc; - maintainers = [ stdenv.lib.maintainers.vbgl ]; + license = lib.licenses.isc; + maintainers = [ lib.maintainers.vbgl ]; inherit (src.meta) homepage; }; } diff --git a/nixpkgs/pkgs/development/ocaml-modules/cohttp/lwt.nix b/nixpkgs/pkgs/development/ocaml-modules/cohttp/lwt.nix index 37348c55262e..93ba084703e5 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/cohttp/lwt.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/cohttp/lwt.nix @@ -1,4 +1,4 @@ -{ stdenv, buildDunePackage, cohttp, ocaml_lwt, uri, ppx_sexp_conv }: +{ stdenv, buildDunePackage, cohttp, ocaml_lwt, uri, ppx_sexp_conv, logs }: if !stdenv.lib.versionAtLeast cohttp.version "0.99" then cohttp @@ -10,5 +10,5 @@ buildDunePackage rec { buildInputs = [ uri ppx_sexp_conv ]; - propagatedBuildInputs = [ cohttp ocaml_lwt ]; + propagatedBuildInputs = [ cohttp ocaml_lwt logs ]; } diff --git a/nixpkgs/pkgs/development/ocaml-modules/cpuid/default.nix b/nixpkgs/pkgs/development/ocaml-modules/cpuid/default.nix index 0695da7ee37b..10938cf81c20 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/cpuid/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/cpuid/default.nix @@ -4,7 +4,7 @@ stdenv.mkDerivation { name = "ocaml${ocaml.version}-cpuid-0.1.0"; src = fetchurl { - url = http://github.com/pqwy/cpuid/releases/download/v0.1.0/cpuid-0.1.0.tbz; + url = https://github.com/pqwy/cpuid/releases/download/v0.1.0/cpuid-0.1.0.tbz; sha256 = "08k2558a3dnxn8msgpz8c93sfn0y027ganfdi2yvql0fp1ixv97p"; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/fmt/default.nix b/nixpkgs/pkgs/development/ocaml-modules/fmt/default.nix index a171a672ecaa..c7fff722399c 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/fmt/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/fmt/default.nix @@ -4,7 +4,7 @@ stdenv.mkDerivation { name = "ocaml${ocaml.version}-fmt-0.8.5"; src = fetchurl { - url = http://erratique.ch/software/fmt/releases/fmt-0.8.5.tbz; + url = https://erratique.ch/software/fmt/releases/fmt-0.8.5.tbz; sha256 = "1zj9azcxcn6skmb69ykgmi9z8c50yskwg03wqgh87lypgjdcz060"; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/fpath/default.nix b/nixpkgs/pkgs/development/ocaml-modules/fpath/default.nix index f8b7eda3a4fc..bcd877f2be23 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/fpath/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/fpath/default.nix @@ -3,7 +3,7 @@ stdenv.mkDerivation { name = "ocaml${ocaml.version}-fpath-0.7.2"; src = fetchurl { - url = http://erratique.ch/software/fpath/releases/fpath-0.7.2.tbz; + url = https://erratique.ch/software/fpath/releases/fpath-0.7.2.tbz; sha256 = "1hr05d8bpqmqcfdavn4rjk9rxr7v2zl84866f5knjifrm60sxqic"; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/functoria/default.nix b/nixpkgs/pkgs/development/ocaml-modules/functoria/default.nix index 12b24a43956c..a174bd6a8b1a 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/functoria/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/functoria/default.nix @@ -9,7 +9,7 @@ stdenv.mkDerivation rec { name = "ocaml${ocaml.version}-functoria-${version}"; version = "2.0.2"; src = fetchurl { - url = "http://github.com/mirage/functoria/releases/download/${version}/functoria-${version}.tbz"; + url = "https://github.com/mirage/functoria/releases/download/${version}/functoria-${version}.tbz"; sha256 = "019rl4rir4lwgjyqj2wq3ylw4daih1kxxgbc6ld6kzcq66mwr747"; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/gg/default.nix b/nixpkgs/pkgs/development/ocaml-modules/gg/default.nix index e32611e0c05f..bff993a4d193 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/gg/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/gg/default.nix @@ -5,7 +5,7 @@ let pname = "gg"; version = "0.9.1"; - webpage = "http://erratique.ch/software/${pname}"; + webpage = "https://erratique.ch/software/${pname}"; in assert versionAtLeast (getVersion ocaml) "4.01.0"; diff --git a/nixpkgs/pkgs/development/ocaml-modules/jsonm/default.nix b/nixpkgs/pkgs/development/ocaml-modules/jsonm/default.nix index 739daeddee57..c4a7fa0f7a76 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/jsonm/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/jsonm/default.nix @@ -6,7 +6,7 @@ stdenv.mkDerivation { name = "ocaml${ocaml.version}-jsonm-${version}"; src = fetchurl { - url = "http://erratique.ch/software/jsonm/releases/jsonm-${version}.tbz"; + url = "https://erratique.ch/software/jsonm/releases/jsonm-${version}.tbz"; sha256 = "1176dcmxb11fnw49b7yysvkjh0kpzx4s48lmdn5psq9vshp5c29w"; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/logs/default.nix b/nixpkgs/pkgs/development/ocaml-modules/logs/default.nix index 4b67b23d97b6..84ac1039628d 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/logs/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/logs/default.nix @@ -2,7 +2,7 @@ , topkg, result, lwt, cmdliner, fmt }: let pname = "logs"; - webpage = "http://erratique.ch/software/${pname}"; + webpage = "https://erratique.ch/software/${pname}"; in assert stdenv.lib.versionAtLeast ocaml.version "4.01.0"; diff --git a/nixpkgs/pkgs/development/ocaml-modules/markup/default.nix b/nixpkgs/pkgs/development/ocaml-modules/markup/default.nix index eb3944db88e9..2c5c674425b4 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/markup/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/markup/default.nix @@ -6,7 +6,7 @@ stdenv.mkDerivation rec { name = "ocaml${ocaml.version}-${pname}-${version}"; src = fetchzip { - url = "http://github.com/aantron/markup.ml/archive/${version}.tar.gz"; + url = "https://github.com/aantron/markup.ml/archive/${version}.tar.gz"; sha256 = "09qm73m6c6wjh51w61vnfsnis37m28cf1r6hnkr3bbg903ahwbp5"; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/mparser/default.nix b/nixpkgs/pkgs/development/ocaml-modules/mparser/default.nix index 9a935cf4a5ae..0e4d593482bb 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/mparser/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/mparser/default.nix @@ -3,7 +3,7 @@ stdenv.mkDerivation { name = "ocaml${ocaml.version}-mparser-1.2.3"; src = fetchzip { - url = http://github.com/cakeplus/mparser/archive/1.2.3.tar.gz; + url = https://github.com/cakeplus/mparser/archive/1.2.3.tar.gz; sha256 = "1f8vpagmv0jdm50pxs2xwh2xcmvgaprx4kw871hlml9ahsflxgnw"; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/mtime/default.nix b/nixpkgs/pkgs/development/ocaml-modules/mtime/default.nix index 715f97ebb6cb..73f84886cc57 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/mtime/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/mtime/default.nix @@ -19,7 +19,7 @@ stdenv.mkDerivation { name = "ocaml${ocaml.version}-mtime-${param.version}"; src = fetchurl { - url = "http://erratique.ch/software/mtime/releases/mtime-${param.version}.tbz"; + url = "https://erratique.ch/software/mtime/releases/mtime-${param.version}.tbz"; inherit (param) sha256; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/ocamlmake/default.nix b/nixpkgs/pkgs/development/ocaml-modules/ocamlmake/default.nix index 3b904a09dad7..d6d0c3292bb5 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/ocamlmake/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/ocamlmake/default.nix @@ -9,7 +9,7 @@ in stdenv.mkDerivation { name = "ocaml-make-${version}"; src = fetchurl { - url = "http://bitbucket.org/mmottl/ocaml-makefile/downloads/ocaml-makefile-${version}.tar.gz"; + url = "https://bitbucket.org/mmottl/ocaml-makefile/downloads/ocaml-makefile-${version}.tar.gz"; inherit sha256; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/ocb-stubblr/default.nix b/nixpkgs/pkgs/development/ocaml-modules/ocb-stubblr/default.nix index 4071cb8a639d..29fa20d460d6 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/ocb-stubblr/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/ocb-stubblr/default.nix @@ -3,7 +3,7 @@ stdenv.mkDerivation { name = "ocaml${ocaml.version}-ocb-stubblr-0.1.0"; src = fetchzip { - url = http://github.com/pqwy/ocb-stubblr/releases/download/v0.1.0/ocb-stubblr-0.1.0.tbz; + url = https://github.com/pqwy/ocb-stubblr/releases/download/v0.1.0/ocb-stubblr-0.1.0.tbz; name = "src.tar.bz"; sha256 = "0hpds1lkq4j8wgslv7hnirgfrjmqi36h5rarpw9mwf24gfp5ays2"; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/octavius/default.nix b/nixpkgs/pkgs/development/ocaml-modules/octavius/default.nix index 6c2ee821c0d5..72e22e5722d8 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/octavius/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/octavius/default.nix @@ -6,7 +6,7 @@ then throw "octavius is not available for OCaml ${ocaml.version}" else stdenv.mkDerivation { name = "ocaml${ocaml.version}-octavius-0.2.0"; src = fetchurl { - url = http://github.com/ocaml-doc/octavius/releases/download/v0.2.0/octavius-0.2.0.tbz; + url = https://github.com/ocaml-doc/octavius/releases/download/v0.2.0/octavius-0.2.0.tbz; sha256 = "02milzzlr4xk5aymg2fjz27f528d5pyscqvld3q0dm41zcpkz5ml"; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/otfm/default.nix b/nixpkgs/pkgs/development/ocaml-modules/otfm/default.nix index 081876f7075c..e80b322ffba1 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/otfm/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/otfm/default.nix @@ -3,7 +3,7 @@ let pname = "otfm"; version = "0.3.0"; - webpage = "http://erratique.ch/software/${pname}"; + webpage = "https://erratique.ch/software/${pname}"; in assert stdenv.lib.versionAtLeast ocaml.version "4.01.0"; diff --git a/nixpkgs/pkgs/development/ocaml-modules/ptime/default.nix b/nixpkgs/pkgs/development/ocaml-modules/ptime/default.nix index 6562edc12785..d2f85f823ae9 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/ptime/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/ptime/default.nix @@ -5,7 +5,7 @@ stdenv.mkDerivation rec { name = "ocaml${ocaml.version}-ptime-${version}"; src = fetchurl { - url = "http://erratique.ch/software/ptime/releases/ptime-${version}.tbz"; + url = "https://erratique.ch/software/ptime/releases/ptime-${version}.tbz"; sha256 = "0z2snhda8bg136xkw2msw6k2dz84vb49p8bgzrxfs8mawdlk0kkg"; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/react/default.nix b/nixpkgs/pkgs/development/ocaml-modules/react/default.nix index eb0980618129..74789636896f 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/react/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/react/default.nix @@ -4,7 +4,7 @@ stdenv.mkDerivation { name = "ocaml-react-1.2.1"; src = fetchurl { - url = http://erratique.ch/software/react/releases/react-1.2.1.tbz; + url = https://erratique.ch/software/react/releases/react-1.2.1.tbz; sha256 = "1aj8w79gdd9xnrbz7s5p8glcb4pmimi8jp9f439dqnf6ih3mqb3v"; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/rresult/default.nix b/nixpkgs/pkgs/development/ocaml-modules/rresult/default.nix index caff9fa96320..d40ce19a8fd8 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/rresult/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/rresult/default.nix @@ -4,7 +4,7 @@ stdenv.mkDerivation rec { name = "ocaml${ocaml.version}-rresult-${version}"; version = "0.6.0"; src = fetchurl { - url = "http://erratique.ch/software/rresult/releases/rresult-${version}.tbz"; + url = "https://erratique.ch/software/rresult/releases/rresult-${version}.tbz"; sha256 = "1k69a3gvrk7f2cshwjzvk7818f0bwxhacgd14wxy6d4gmrggci86"; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/sedlex/default.nix b/nixpkgs/pkgs/development/ocaml-modules/sedlex/default.nix index 1e3cb02b913a..4137abf1ac7b 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/sedlex/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/sedlex/default.nix @@ -9,7 +9,7 @@ stdenv.mkDerivation rec { version = "1.99.4"; src = fetchzip { - url = "http://github.com/alainfrisch/sedlex/archive/v${version}.tar.gz"; + url = "https://github.com/alainfrisch/sedlex/archive/v${version}.tar.gz"; sha256 = "1b7nqxyfcz8i7m4b8zil2rn6ygh2czy26f9v64xnxn8r0hy9sh1m"; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/topkg/default.nix b/nixpkgs/pkgs/development/ocaml-modules/topkg/default.nix index e10cdd2d9c10..a3e718d53296 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/topkg/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/topkg/default.nix @@ -25,7 +25,7 @@ stdenv.mkDerivation rec { version = "1.0.0"; src = fetchurl { - url = "http://erratique.ch/software/topkg/releases/topkg-${version}.tbz"; + url = "https://erratique.ch/software/topkg/releases/topkg-${version}.tbz"; sha256 = "1df61vw6v5bg2mys045682ggv058yqkqb67w7r2gz85crs04d5fw"; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/tsdl/default.nix b/nixpkgs/pkgs/development/ocaml-modules/tsdl/default.nix index 230aab2617f1..17f66227e9c5 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/tsdl/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/tsdl/default.nix @@ -7,7 +7,7 @@ else let pname = "tsdl"; version = "0.9.4"; - webpage = "http://erratique.ch/software/${pname}"; + webpage = "https://erratique.ch/software/${pname}"; in stdenv.mkDerivation { diff --git a/nixpkgs/pkgs/development/ocaml-modules/tyxml/default.nix b/nixpkgs/pkgs/development/ocaml-modules/tyxml/default.nix index a38040e6e1fe..0a8947f3324a 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/tyxml/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/tyxml/default.nix @@ -11,7 +11,7 @@ stdenv.mkDerivation rec { name = "ocaml${ocaml.version}-${pname}-${version}"; src = fetchzip { - url = "http://github.com/ocsigen/tyxml/archive/${version}.tar.gz"; + url = "https://github.com/ocsigen/tyxml/archive/${version}.tar.gz"; sha256 = "1zrkrmxyj5a2cdh4b9zr9anwfk320wv3x0ynxnyxl5za2ix8sld8"; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/uri/default.nix b/nixpkgs/pkgs/development/ocaml-modules/uri/default.nix index 6073411a24d2..0f3578806d83 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/uri/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/uri/default.nix @@ -1,24 +1,39 @@ -{ stdenv, fetchurl, buildDunePackage, ppx_sexp_conv, ounit -, re, sexplib, stringext +{ lib, fetchurl, buildDunePackage, ppx_sexp_conv, ounit +, re, sexplib0, sexplib, stringext +, legacy ? false }: +let params = + if legacy then rec { + version = "1.9.6"; + archive = version; + sha256 = "1m845rwd70wi4iijkrigyz939m1x84ba70hvv0d9sgk6971w4kz0"; + inherit sexplib; + } else rec { + version = "2.2.0"; + archive = "v${version}"; + sha256 = "1q0xmc93l46dilxclkmai7w952bdi745rhvsx5vissaigcj9wbwi"; + sexplib = sexplib0; + } +; in + buildDunePackage rec { pname = "uri"; - version = "1.9.6"; + inherit (params) version; src = fetchurl { - url = "https://github.com/mirage/ocaml-${pname}/releases/download/v${version}/${pname}-${version}.tbz"; - sha256 = "1m845rwd70wi4iijkrigyz939m1x84ba70hvv0d9sgk6971w4kz0"; + url = "https://github.com/mirage/ocaml-${pname}/releases/download/v${version}/${pname}-${params.archive}.tbz"; + inherit (params) sha256; }; buildInputs = [ ounit ]; - propagatedBuildInputs = [ ppx_sexp_conv re sexplib stringext ]; + propagatedBuildInputs = [ ppx_sexp_conv re params.sexplib stringext ]; doCheck = true; meta = { homepage = "https://github.com/mirage/ocaml-uri"; description = "RFC3986 URI parsing library for OCaml"; - license = stdenv.lib.licenses.isc; - maintainers = [ stdenv.lib.maintainers.vbgl ]; + license = lib.licenses.isc; + maintainers = [ lib.maintainers.vbgl ]; }; } diff --git a/nixpkgs/pkgs/development/ocaml-modules/uucd/default.nix b/nixpkgs/pkgs/development/ocaml-modules/uucd/default.nix index 683ace8cbc57..773bec612d6a 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/uucd/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/uucd/default.nix @@ -2,7 +2,7 @@ let pname = "uucd"; - webpage = "http://erratique.ch/software/${pname}"; + webpage = "https://erratique.ch/software/${pname}"; in stdenv.mkDerivation rec { name = "ocaml-${pname}-${version}"; diff --git a/nixpkgs/pkgs/development/ocaml-modules/uucp/default.nix b/nixpkgs/pkgs/development/ocaml-modules/uucp/default.nix index 1d3e9f283ce8..b5074841eb1b 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/uucp/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/uucp/default.nix @@ -3,7 +3,7 @@ let pname = "uucp"; version = "11.0.0"; - webpage = "http://erratique.ch/software/${pname}"; + webpage = "https://erratique.ch/software/${pname}"; in assert stdenv.lib.versionAtLeast ocaml.version "4.01"; diff --git a/nixpkgs/pkgs/development/ocaml-modules/uuidm/default.nix b/nixpkgs/pkgs/development/ocaml-modules/uuidm/default.nix index bb6ef649e59c..125b117bcd83 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/uuidm/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/uuidm/default.nix @@ -4,7 +4,7 @@ stdenv.mkDerivation rec { version = "0.9.6"; name = "uuidm-${version}"; src = fetchurl { - url = "http://erratique.ch/software/uuidm/releases/uuidm-${version}.tbz"; + url = "https://erratique.ch/software/uuidm/releases/uuidm-${version}.tbz"; sha256 = "0hz4fdx0x16k0pw9995vkz5d1hmzz6b16wck9li399rcbfnv5jlc"; }; diff --git a/nixpkgs/pkgs/development/ocaml-modules/uunf/default.nix b/nixpkgs/pkgs/development/ocaml-modules/uunf/default.nix index e6ecec3145e4..263094ca2eb0 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/uunf/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/uunf/default.nix @@ -1,7 +1,7 @@ { stdenv, fetchurl, ocaml, findlib, ocamlbuild, topkg, uchar, uutf, cmdliner }: let pname = "uunf"; - webpage = "http://erratique.ch/software/${pname}"; + webpage = "https://erratique.ch/software/${pname}"; in assert stdenv.lib.versionAtLeast ocaml.version "4.01"; diff --git a/nixpkgs/pkgs/development/ocaml-modules/uuseg/default.nix b/nixpkgs/pkgs/development/ocaml-modules/uuseg/default.nix index df56e904ab67..404a463fd034 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/uuseg/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/uuseg/default.nix @@ -2,7 +2,7 @@ let pname = "uuseg"; - webpage = "http://erratique.ch/software/${pname}"; + webpage = "https://erratique.ch/software/${pname}"; in stdenv.mkDerivation rec { diff --git a/nixpkgs/pkgs/development/ocaml-modules/uutf/default.nix b/nixpkgs/pkgs/development/ocaml-modules/uutf/default.nix index 58e02d6b2806..b6b943b2a036 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/uutf/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/uutf/default.nix @@ -1,7 +1,7 @@ { stdenv, fetchurl, ocaml, findlib, ocamlbuild, cmdliner , topkg, uchar }: let pname = "uutf"; - webpage = "http://erratique.ch/software/${pname}"; + webpage = "https://erratique.ch/software/${pname}"; in stdenv.mkDerivation rec { diff --git a/nixpkgs/pkgs/development/ocaml-modules/vg/default.nix b/nixpkgs/pkgs/development/ocaml-modules/vg/default.nix index 5e0d715b0beb..cffbd77351c2 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/vg/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/vg/default.nix @@ -12,7 +12,7 @@ let pname = "vg"; version = "0.9.1"; - webpage = "http://erratique.ch/software/${pname}"; + webpage = "https://erratique.ch/software/${pname}"; in assert versionAtLeast ocaml.version "4.02.0"; diff --git a/nixpkgs/pkgs/development/ocaml-modules/xmlm/default.nix b/nixpkgs/pkgs/development/ocaml-modules/xmlm/default.nix index d3166d4cd732..4324b62f9c6e 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/xmlm/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/xmlm/default.nix @@ -1,7 +1,7 @@ { stdenv, fetchurl, ocaml, findlib, ocamlbuild, topkg }: let pname = "xmlm"; - webpage = "http://erratique.ch/software/${pname}"; + webpage = "https://erratique.ch/software/${pname}"; in if !stdenv.lib.versionAtLeast ocaml.version "4.02" diff --git a/nixpkgs/pkgs/development/ocaml-modules/zarith/default.nix b/nixpkgs/pkgs/development/ocaml-modules/zarith/default.nix index 9122a3509d96..1d331090a44f 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/zarith/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/zarith/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchurl +{ stdenv, buildOcaml, fetchurl , ocaml, findlib, pkgconfig, perl , gmp }: @@ -16,20 +16,25 @@ let source = }; in -stdenv.mkDerivation rec { - name = "ocaml${ocaml.version}-zarith-${version}"; +buildOcaml rec { + name = "zarith"; inherit (source) version; src = fetchurl { inherit (source) url sha256; }; + minimumSupportedOcamlVersion = "3.12.1"; + nativeBuildInputs = [ pkgconfig ]; buildInputs = [ ocaml findlib perl ]; propagatedBuildInputs = [ gmp ]; + # needed so setup-hook.sh sets CAML_LD_LIBRARY_PATH for dllzarith.so + hasSharedObjects = true; + patchPhase = "patchShebangs ./z_pp.pl"; configurePhase = '' ./configure -installdir $out/lib/ocaml/${ocaml.version}/site-lib ''; - createFindlibDestdir = true; + preInstall = "mkdir -p $out/lib/ocaml/${ocaml.version}/site-lib"; meta = with stdenv.lib; { description = "Fast, arbitrary precision OCaml integers"; diff --git a/nixpkgs/pkgs/development/python-modules/Cython/default.nix b/nixpkgs/pkgs/development/python-modules/Cython/default.nix index 9a2925dcaf1c..58a59a95f0c1 100644 --- a/nixpkgs/pkgs/development/python-modules/Cython/default.nix +++ b/nixpkgs/pkgs/development/python-modules/Cython/default.nix @@ -59,7 +59,7 @@ in buildPythonPackage rec { meta = { description = "An optimising static compiler for both the Python programming language and the extended Cython programming language"; - homepage = http://cython.org; + homepage = https://cython.org; license = lib.licenses.asl20; maintainers = with lib.maintainers; [ fridh ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/Flask-PyMongo/default.nix b/nixpkgs/pkgs/development/python-modules/Flask-PyMongo/default.nix index 4f814b6642c1..adf6da761cf7 100644 --- a/nixpkgs/pkgs/development/python-modules/Flask-PyMongo/default.nix +++ b/nixpkgs/pkgs/development/python-modules/Flask-PyMongo/default.nix @@ -28,7 +28,7 @@ buildPythonPackage rec { propagatedBuildInputs = [ flask pymongo vcversioner ]; meta = { - homepage = "http://flask-pymongo.readthedocs.org/"; + homepage = "https://flask-pymongo.readthedocs.org/"; description = "PyMongo support for Flask applications"; license = lib.licenses.bsd2; }; diff --git a/nixpkgs/pkgs/development/python-modules/Nikola/default.nix b/nixpkgs/pkgs/development/python-modules/Nikola/default.nix index 55c6c6ec95f0..2577711c5259 100644 --- a/nixpkgs/pkgs/development/python-modules/Nikola/default.nix +++ b/nixpkgs/pkgs/development/python-modules/Nikola/default.nix @@ -19,7 +19,6 @@ , PyRSS2Gen , Logbook , blinker -, setuptools , natsort , requests , piexif @@ -28,10 +27,11 @@ , jinja2 , Babel , freezegun -, pyyaml , toml , notebook , ruamel_yaml +, aiohttp +, watchdog }: buildPythonPackage rec { @@ -46,9 +46,11 @@ buildPythonPackage rec { checkInputs = [ pytest pytestcov mock glibcLocales freezegun ]; propagatedBuildInputs = [ - pygments pillow dateutil docutils Mako unidecode lxml Yapsy PyRSS2Gen - Logbook blinker setuptools natsort requests piexif markdown phpserialize - jinja2 doit Babel pyyaml toml notebook ruamel_yaml + # requirements.txt + doit pygments pillow dateutil docutils Mako markdown unidecode + lxml Yapsy PyRSS2Gen Logbook blinker natsort requests piexif Babel + # requirements-extras.txt + phpserialize jinja2 toml notebook ruamel_yaml aiohttp watchdog ]; src = fetchPypi { diff --git a/nixpkgs/pkgs/development/python-modules/aioesphomeapi/default.nix b/nixpkgs/pkgs/development/python-modules/aioesphomeapi/default.nix index 80c85a2614ca..0afb1c432d6b 100644 --- a/nixpkgs/pkgs/development/python-modules/aioesphomeapi/default.nix +++ b/nixpkgs/pkgs/development/python-modules/aioesphomeapi/default.nix @@ -1,12 +1,14 @@ -{ lib, buildPythonPackage, fetchPypi, attrs, protobuf, zeroconf }: +{ lib, buildPythonPackage, fetchPypi, isPy3k, attrs, protobuf, zeroconf }: buildPythonPackage rec { pname = "aioesphomeapi"; - version = "1.8.0"; + version = "2.0.1"; + + disabled = !isPy3k; src = fetchPypi { inherit pname version; - sha256 = "16ywa7yggmsx8m2r9azdq7w9fxjh736g1vd1aibgh24g7srhwwhj"; + sha256 = "db09e34dfc148279f303481c7da94b84c9b1442a41794f039c31253e81a58ffb"; }; propagatedBuildInputs = [ attrs protobuf zeroconf ]; @@ -19,5 +21,9 @@ buildPythonPackage rec { homepage = https://github.com/esphome/aioesphomeapi; license = licenses.mit; maintainers = with maintainers; [ dotlambda ]; + + # Home Assistant should pin protobuf to the correct version. Can be tested using + # nix-build -E "with import ./. {}; home-assistant.override { extraPackages = ps: [ ps.aioesphomeapi ]; }" + broken = !lib.hasPrefix "3.6.1" protobuf.version; }; } diff --git a/nixpkgs/pkgs/development/python-modules/aioeventlet/default.nix b/nixpkgs/pkgs/development/python-modules/aioeventlet/default.nix index ccac3e6f0773..5df19e92e8d7 100644 --- a/nixpkgs/pkgs/development/python-modules/aioeventlet/default.nix +++ b/nixpkgs/pkgs/development/python-modules/aioeventlet/default.nix @@ -28,7 +28,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "aioeventlet implements the asyncio API (PEP 3156) on top of eventlet. It makes"; - homepage = http://aioeventlet.readthedocs.org/; + homepage = https://aioeventlet.readthedocs.org/; license = licenses.asl20; }; diff --git a/nixpkgs/pkgs/development/python-modules/antlr4-python3-runtime/default.nix b/nixpkgs/pkgs/development/python-modules/antlr4-python3-runtime/default.nix index 6f5fd3e934af..3ebba9f9d385 100644 --- a/nixpkgs/pkgs/development/python-modules/antlr4-python3-runtime/default.nix +++ b/nixpkgs/pkgs/development/python-modules/antlr4-python3-runtime/default.nix @@ -12,7 +12,7 @@ buildPythonPackage rec { meta = { description = "Runtime for ANTLR"; - homepage = "http://www.antlr.org/"; + homepage = "https://www.antlr.org/"; license = stdenv.lib.licenses.bsd3; }; } diff --git a/nixpkgs/pkgs/development/python-modules/astropy/default.nix b/nixpkgs/pkgs/development/python-modules/astropy/default.nix index 90fe66bf4e92..8ed7a1b936e5 100644 --- a/nixpkgs/pkgs/development/python-modules/astropy/default.nix +++ b/nixpkgs/pkgs/development/python-modules/astropy/default.nix @@ -22,7 +22,7 @@ buildPythonPackage rec { meta = { description = "Astronomy/Astrophysics library for Python"; - homepage = http://www.astropy.org; + homepage = https://www.astropy.org; license = lib.licenses.bsd3; platforms = lib.platforms.all; maintainers = with lib.maintainers; [ kentjames ]; diff --git a/nixpkgs/pkgs/development/python-modules/asynctest/default.nix b/nixpkgs/pkgs/development/python-modules/asynctest/default.nix index 020ba9df8b00..b38ce7c91ce1 100644 --- a/nixpkgs/pkgs/development/python-modules/asynctest/default.nix +++ b/nixpkgs/pkgs/development/python-modules/asynctest/default.nix @@ -2,13 +2,13 @@ buildPythonPackage rec { pname = "asynctest"; - version = "0.12.3"; + version = "0.12.4"; disabled = pythonOlder "3.4"; src = fetchPypi { inherit pname version; - sha256 = "bbeb45bb41344d2cbb814b4c89c04f2c568742352736cabf7af6fcbed06f66cc"; + sha256 = "ade427a711d18016f35fb0c5d412f0ed63fb074a6084b67ff2dad48f50b0d6ca"; }; postPatch = '' diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-network/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-network/default.nix index d8e9e7eb1792..63457d6f5423 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-network/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-network/default.nix @@ -15,12 +15,6 @@ buildPythonPackage rec { sha256 = "cef9bf5d36700966e52f7cea86e29c622bc5bbb92d0ce7a75420e29fb0e75f45"; }; - preConfigure = '' - # Patch to make this package work on requests >= 2.11.x - # CAN BE REMOVED ON NEXT PACKAGE UPDATE - sed -i 's|len(request_content)|str(len(request_content))|' azure/mgmt/network/networkresourceprovider.py - ''; - postInstall = '' echo "__import__('pkg_resources').declare_namespace(__name__)" >> "$out/lib/${python.libPrefix}"/site-packages/azure/__init__.py echo "__import__('pkg_resources').declare_namespace(__name__)" >> "$out/lib/${python.libPrefix}"/site-packages/azure/mgmt/__init__.py diff --git a/nixpkgs/pkgs/development/python-modules/canmatrix/default.nix b/nixpkgs/pkgs/development/python-modules/canmatrix/default.nix index 3e07188986d0..a6c3f485d74b 100644 --- a/nixpkgs/pkgs/development/python-modules/canmatrix/default.nix +++ b/nixpkgs/pkgs/development/python-modules/canmatrix/default.nix @@ -1,40 +1,54 @@ { lib , buildPythonPackage , fetchFromGitHub -, python +, pythonOlder +, attrs +, bitstruct +, future +, pathlib2 +, typing , lxml , xlwt , xlrd , XlsxWriter , pyyaml -, future }: +, pytest +}: buildPythonPackage rec { pname = "canmatrix"; - version = "0.6"; + version = "0.7"; # uses fetchFromGitHub as PyPi release misses test/ dir src = fetchFromGitHub { owner = "ebroecker"; repo = pname; rev = version; - sha256 = "1lb0krhchja2jqfsh5lsfgmqcchs1pd38akvc407jfmll96f4yqz"; + sha256 = "0q8qb282nfgirl8r2i9c8whm3hvr14ig2r42ssgnv2hya971cwjq"; }; + propagatedBuildInputs = [ + # required + attrs + bitstruct + future + pathlib2 + # optional + lxml + xlwt + xlrd + XlsxWriter + pyyaml + ] ++ lib.optional (pythonOlder "3.5") typing; + + checkInputs = [ + pytest + ]; + checkPhase = '' - cd test - ${python.interpreter} ./test.py + pytest -s src/canmatrix ''; - propagatedBuildInputs = - [ lxml - xlwt - xlrd - XlsxWriter - pyyaml - future - ]; - meta = with lib; { homepage = https://github.com/ebroecker/canmatrix; description = "Support and convert several CAN (Controller Area Network) database formats .arxml .dbc .dbf .kcd .sym fibex xls(x)"; diff --git a/nixpkgs/pkgs/development/python-modules/cement/default.nix b/nixpkgs/pkgs/development/python-modules/cement/default.nix index d5dd3feb313b..c2f5a09e851a 100644 --- a/nixpkgs/pkgs/development/python-modules/cement/default.nix +++ b/nixpkgs/pkgs/development/python-modules/cement/default.nix @@ -16,7 +16,7 @@ buildPythonPackage rec { disabled = !isPy3k; meta = with stdenv.lib; { - homepage = http://builtoncement.com/; + homepage = https://builtoncement.com/; description = "A CLI Application Framework for Python."; maintainers = with maintainers; [ eqyiel ]; license = licenses.bsd3; diff --git a/nixpkgs/pkgs/development/python-modules/cmd2/default.nix b/nixpkgs/pkgs/development/python-modules/cmd2/default.nix index 5751d62b9fce..3b76de33ce75 100644 --- a/nixpkgs/pkgs/development/python-modules/cmd2/default.nix +++ b/nixpkgs/pkgs/development/python-modules/cmd2/default.nix @@ -6,11 +6,11 @@ }: buildPythonPackage rec { pname = "cmd2"; - version = "0.9.11"; + version = "0.9.12"; src = fetchPypi { inherit pname version; - sha256 = "0hjj587dwnl5767wbl875vglvdr1f5z5jzb5wliip78lbyq3b8rl"; + sha256 = "14pyvihikml1z7q21q9cvdfxvvlf8lhbaasj05hpiq6fjyvd7zsc"; }; LC_ALL="en_US.UTF-8"; diff --git a/nixpkgs/pkgs/development/python-modules/construct/default.nix b/nixpkgs/pkgs/development/python-modules/construct/default.nix index f8e739f0bc7d..1009727c29ca 100644 --- a/nixpkgs/pkgs/development/python-modules/construct/default.nix +++ b/nixpkgs/pkgs/development/python-modules/construct/default.nix @@ -25,7 +25,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "Powerful declarative parser (and builder) for binary data"; - homepage = http://construct.readthedocs.org/; + homepage = https://construct.readthedocs.org/; license = licenses.mit; maintainers = with maintainers; [ bjornfor ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/contextlib2/default.nix b/nixpkgs/pkgs/development/python-modules/contextlib2/default.nix index a3ef5bce4d81..4722b049edf7 100644 --- a/nixpkgs/pkgs/development/python-modules/contextlib2/default.nix +++ b/nixpkgs/pkgs/development/python-modules/contextlib2/default.nix @@ -17,7 +17,7 @@ buildPythonPackage rec { meta = { description = "Backports and enhancements for the contextlib module"; - homepage = http://contextlib2.readthedocs.org/; + homepage = https://contextlib2.readthedocs.org/; license = lib.licenses.psfl; }; } diff --git a/nixpkgs/pkgs/development/python-modules/cysignals/default.nix b/nixpkgs/pkgs/development/python-modules/cysignals/default.nix index c1f85ce75ac4..1cd55acdbeb8 100644 --- a/nixpkgs/pkgs/development/python-modules/cysignals/default.nix +++ b/nixpkgs/pkgs/development/python-modules/cysignals/default.nix @@ -31,12 +31,13 @@ buildPythonPackage rec { export PATH="$out/bin:$PATH" ''; - buildInputs = lib.optionals pariSupport [ - pari - ]; - propagatedBuildInputs = [ cython + ] ++ lib.optionals pariSupport [ + # When cysignals is built with pari, including cysignals into the + # buildInputs of another python package will cause cython to link against + # pari. + pari ]; enableParallelBuilding = true; diff --git a/nixpkgs/pkgs/development/python-modules/dbfread/default.nix b/nixpkgs/pkgs/development/python-modules/dbfread/default.nix index 3f310a49a37e..6c50313a5183 100644 --- a/nixpkgs/pkgs/development/python-modules/dbfread/default.nix +++ b/nixpkgs/pkgs/development/python-modules/dbfread/default.nix @@ -11,7 +11,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "Read DBF Files with Python"; - homepage = http://dbfread.readthedocs.org/; + homepage = https://dbfread.readthedocs.org/; license = with licenses; [ mit ]; maintainers = with maintainers; [ vrthra ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/dbus/default.nix b/nixpkgs/pkgs/development/python-modules/dbus/default.nix index f686f067e7ad..262c93878d3f 100644 --- a/nixpkgs/pkgs/development/python-modules/dbus/default.nix +++ b/nixpkgs/pkgs/development/python-modules/dbus/default.nix @@ -9,7 +9,7 @@ if isPyPy then throw "dbus-python not supported for interpreter ${python.executa outputs = [ "out" "dev" "doc" ]; src = fetchurl { - url = "http://dbus.freedesktop.org/releases/dbus-python/${pname}-${version}.tar.gz"; + url = "https://dbus.freedesktop.org/releases/dbus-python/${pname}-${version}.tar.gz"; sha256 = "1k7rnaqrk7mdkg0k6n2jn3d1mxsl7s3i07g5a8va5yvl3y3xdwg2"; }; diff --git a/nixpkgs/pkgs/development/python-modules/dendropy/default.nix b/nixpkgs/pkgs/development/python-modules/dendropy/default.nix index 6409a5d12e59..c0055580c403 100644 --- a/nixpkgs/pkgs/development/python-modules/dendropy/default.nix +++ b/nixpkgs/pkgs/development/python-modules/dendropy/default.nix @@ -30,7 +30,7 @@ buildPythonPackage rec { ''; meta = { - homepage = http://dendropy.org/; + homepage = https://dendropy.org/; description = "A Python library for phylogenetic computing"; maintainers = with lib.maintainers; [ unode ]; license = lib.licenses.bsd3; diff --git a/nixpkgs/pkgs/development/python-modules/django_appconf/default.nix b/nixpkgs/pkgs/development/python-modules/django_appconf/default.nix index 35ac57062c75..90d73bfde132 100644 --- a/nixpkgs/pkgs/development/python-modules/django_appconf/default.nix +++ b/nixpkgs/pkgs/development/python-modules/django_appconf/default.nix @@ -15,7 +15,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "A helper class for handling configuration defaults of packaged apps gracefully"; - homepage = http://django-appconf.readthedocs.org/; + homepage = https://django-appconf.readthedocs.org/; license = licenses.bsd2; maintainers = with maintainers; [ desiderius ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/django_compressor/default.nix b/nixpkgs/pkgs/development/python-modules/django_compressor/default.nix index 84d0404eb6d2..845d49288051 100644 --- a/nixpkgs/pkgs/development/python-modules/django_compressor/default.nix +++ b/nixpkgs/pkgs/development/python-modules/django_compressor/default.nix @@ -16,7 +16,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "Compresses linked and inline JavaScript or CSS into single cached files"; - homepage = http://django-compressor.readthedocs.org/en/latest/; + homepage = https://django-compressor.readthedocs.org/en/latest/; license = licenses.mit; maintainers = with maintainers; [ desiderius ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/djangorestframework/default.nix b/nixpkgs/pkgs/development/python-modules/djangorestframework/default.nix index 0f4c559bd16b..750d74d1e291 100644 --- a/nixpkgs/pkgs/development/python-modules/djangorestframework/default.nix +++ b/nixpkgs/pkgs/development/python-modules/djangorestframework/default.nix @@ -15,7 +15,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "Web APIs for Django, made easy"; - homepage = http://www.django-rest-framework.org/; + homepage = https://www.django-rest-framework.org/; maintainers = with maintainers; [ desiderius ]; license = licenses.bsd2; }; diff --git a/nixpkgs/pkgs/development/python-modules/docutils/default.nix b/nixpkgs/pkgs/development/python-modules/docutils/default.nix index f427f95ffaa9..b4a4953eb94a 100644 --- a/nixpkgs/pkgs/development/python-modules/docutils/default.nix +++ b/nixpkgs/pkgs/development/python-modules/docutils/default.nix @@ -17,7 +17,7 @@ buildPythonPackage rec { # Only Darwin needs LANG, but we could set it in general. # It's done here conditionally to prevent mass-rebuilds. - checkPhase = lib.optionalString (isPy3k && stdenv.isDarwin) ''LANG="en_US.UTF-8" '' + (if isPy3k then '' + checkPhase = lib.optionalString (isPy3k && stdenv.isDarwin) ''LANG="en_US.UTF-8" LC_ALL="en_US.UTF-8" '' + (if isPy3k then '' ${python.interpreter} test3/alltests.py '' else '' ${python.interpreter} test/alltests.py diff --git a/nixpkgs/pkgs/development/python-modules/dyn/default.nix b/nixpkgs/pkgs/development/python-modules/dyn/default.nix index efb9cab8048d..5c0e57cddc02 100644 --- a/nixpkgs/pkgs/development/python-modules/dyn/default.nix +++ b/nixpkgs/pkgs/development/python-modules/dyn/default.nix @@ -28,7 +28,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "Dynect dns lib"; - homepage = "http://dyn.readthedocs.org/en/latest/intro.html"; + homepage = "https://dyn.readthedocs.org/en/latest/intro.html"; license = licenses.bsd3; }; } diff --git a/nixpkgs/pkgs/development/python-modules/evdev/default.nix b/nixpkgs/pkgs/development/python-modules/evdev/default.nix index c4543032c2b3..f44a9f222794 100644 --- a/nixpkgs/pkgs/development/python-modules/evdev/default.nix +++ b/nixpkgs/pkgs/development/python-modules/evdev/default.nix @@ -21,7 +21,7 @@ buildPythonPackage rec { meta = with lib; { description = "Provides bindings to the generic input event interface in Linux"; - homepage = http://pythonhosted.org/evdev; + homepage = https://pythonhosted.org/evdev; license = licenses.bsd3; maintainers = with maintainers; [ goibhniu ]; platforms = platforms.linux; diff --git a/nixpkgs/pkgs/development/python-modules/events/default.nix b/nixpkgs/pkgs/development/python-modules/events/default.nix index 28e7726a829b..93c2165ce014 100644 --- a/nixpkgs/pkgs/development/python-modules/events/default.nix +++ b/nixpkgs/pkgs/development/python-modules/events/default.nix @@ -10,7 +10,7 @@ buildPythonPackage rec { }; meta = with lib; { - homepage = http://events.readthedocs.org; + homepage = https://events.readthedocs.org; description = "Bringing the elegance of C# EventHanlder to Python"; license = licenses.bsd3; }; diff --git a/nixpkgs/pkgs/development/python-modules/execnet/default.nix b/nixpkgs/pkgs/development/python-modules/execnet/default.nix index df78bff6f1d3..7ad1f4267d04 100644 --- a/nixpkgs/pkgs/development/python-modules/execnet/default.nix +++ b/nixpkgs/pkgs/development/python-modules/execnet/default.nix @@ -1,5 +1,7 @@ { stdenv +, lib , buildPythonPackage +, isPyPy , fetchPypi , pytest_3 , setuptools_scm @@ -15,7 +17,7 @@ buildPythonPackage rec { sha256 = "a7a84d5fa07a089186a329528f127c9d73b9de57f1a1131b82bb5320ee651f6a"; }; - checkInputs = [ pytest_3 ]; + checkInputs = [ pytest_3 ]; nativeBuildInputs = [ setuptools_scm ]; propagatedBuildInputs = [ apipkg ]; @@ -25,6 +27,7 @@ buildPythonPackage rec { rm -v testing/test_channel.py rm -v testing/test_xspec.py rm -v testing/test_gateway.py + ${lib.optionalString isPyPy "rm -v testing/test_multi.py"} ''; checkPhase = '' diff --git a/nixpkgs/pkgs/development/python-modules/flexmock/default.nix b/nixpkgs/pkgs/development/python-modules/flexmock/default.nix index 59dd32053ca6..e4da4ce79260 100644 --- a/nixpkgs/pkgs/development/python-modules/flexmock/default.nix +++ b/nixpkgs/pkgs/development/python-modules/flexmock/default.nix @@ -20,7 +20,7 @@ buildPythonPackage rec { meta = with lib; { description = "flexmock is a testing library for Python that makes it easy to create mocks,stubs and fakes."; - homepage = http://flexmock.readthedocs.org; + homepage = https://flexmock.readthedocs.org; license = licenses.bsdOriginal; }; } \ No newline at end of file diff --git a/nixpkgs/pkgs/development/python-modules/forbiddenfruit/default.nix b/nixpkgs/pkgs/development/python-modules/forbiddenfruit/default.nix index fe7518dc29ed..534f2cd7bb74 100644 --- a/nixpkgs/pkgs/development/python-modules/forbiddenfruit/default.nix +++ b/nixpkgs/pkgs/development/python-modules/forbiddenfruit/default.nix @@ -5,23 +5,21 @@ }: buildPythonPackage rec { - version = "0.1.2"; + version = "0.1.3"; pname = "forbiddenfruit"; src = fetchPypi { inherit pname version; - sha256 = "09ee1959fa34936c15417defa28bfd09cf88ad54c15454bc863d465ed42b8922"; + sha256 = "1188a07cc24a9bd2c529dad06490b80a6fc88cde968af4d7861da81686b2cc8c"; }; checkInputs = [ nose ]; checkPhase = '' + find ./build -name '*.so' -exec mv {} tests/unit \; nosetests ''; - # tests directory missing in PyPI tarball - doCheck = false; - meta = with stdenv.lib; { description = "Patch python built-in objects"; homepage = https://pypi.python.org/pypi/forbiddenfruit; diff --git a/nixpkgs/pkgs/development/python-modules/funcy/default.nix b/nixpkgs/pkgs/development/python-modules/funcy/default.nix index e01df2e8dc8a..0b6149cbc218 100644 --- a/nixpkgs/pkgs/development/python-modules/funcy/default.nix +++ b/nixpkgs/pkgs/development/python-modules/funcy/default.nix @@ -17,7 +17,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "Collection of fancy functional tools focused on practicality"; - homepage = "http://funcy.readthedocs.org/"; + homepage = "https://funcy.readthedocs.org/"; license = licenses.bsd3; }; diff --git a/nixpkgs/pkgs/development/python-modules/genzshcomp/default.nix b/nixpkgs/pkgs/development/python-modules/genzshcomp/default.nix index 6c81dc8a7764..d7b73071b0c9 100644 --- a/nixpkgs/pkgs/development/python-modules/genzshcomp/default.nix +++ b/nixpkgs/pkgs/development/python-modules/genzshcomp/default.nix @@ -17,7 +17,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "Automatically generated zsh completion function for Python's option parser modules"; - homepage = http://bitbucket.org/hhatto/genzshcomp/; + homepage = https://bitbucket.org/hhatto/genzshcomp/; license = licenses.bsd0; }; diff --git a/nixpkgs/pkgs/development/python-modules/github3_py/default.nix b/nixpkgs/pkgs/development/python-modules/github3_py/default.nix index a57c9c3353a1..d0d82adf4911 100644 --- a/nixpkgs/pkgs/development/python-modules/github3_py/default.nix +++ b/nixpkgs/pkgs/development/python-modules/github3_py/default.nix @@ -38,7 +38,7 @@ buildPythonPackage rec { doCheck = false; meta = with lib; { - homepage = http://github3py.readthedocs.org/en/master/; + homepage = https://github3py.readthedocs.org/en/master/; description = "A wrapper for the GitHub API written in python"; license = licenses.bsd3; maintainers = with maintainers; [ pSub ]; diff --git a/nixpkgs/pkgs/development/python-modules/gmpy2/default.nix b/nixpkgs/pkgs/development/python-modules/gmpy2/default.nix index a03188bb8f2c..fc5549064098 100644 --- a/nixpkgs/pkgs/development/python-modules/gmpy2/default.nix +++ b/nixpkgs/pkgs/development/python-modules/gmpy2/default.nix @@ -1,8 +1,16 @@ -{ stdenv, buildPythonPackage, fetchurl, isPyPy, gmp, mpfr, libmpc } : +{ stdenv +, buildPythonPackage +, fetchFromGitHub +, fetchpatch +, isPyPy +, gmp +, mpfr +, libmpc +}: let pname = "gmpy2"; - version = "2.0.8"; + version = "2.1a4"; in buildPythonPackage { @@ -10,11 +18,24 @@ buildPythonPackage { disabled = isPyPy; - src = fetchurl { - url = "mirror://pypi/g/gmpy2/${pname}-${version}.zip"; - sha256 = "0grx6zmi99iaslm07w6c2aqpnmbkgrxcqjrqpfq223xri0r3w8yx"; + src = fetchFromGitHub { + owner = "aleaxit"; + repo = "gmpy"; + rev = "gmpy2-${version}"; + sha256 = "1wg4w4q2l7n26ksrdh4rwqmifgfm32n7x29cgdvmmbv5lmilb5hz"; }; + patches = [ + # Backport of two bugfixes (including a segfault): + # https://github.com/aleaxit/gmpy/pull/217 + # https://github.com/aleaxit/gmpy/pull/218 + (fetchpatch { + name = "bugfixes.patch"; + url = "https://git.sagemath.org/sage.git/plain/build/pkgs/gmpy2/patches/PR217_PR218_conversion_methods.patch?id=b7fbb9a4dac5d6882f6b83a57447dd79ecafb84c"; + sha256 = "1x3gwvqac36k4ypclxq37fcvi6p790k4xdpm2bj2b3xsvjb80ycz"; + }) + ]; + buildInputs = [ gmp mpfr libmpc ]; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/python-modules/hypothesis/default.nix b/nixpkgs/pkgs/development/python-modules/hypothesis/default.nix index d1fadad00d0f..9c105ffe3fbe 100644 --- a/nixpkgs/pkgs/development/python-modules/hypothesis/default.nix +++ b/nixpkgs/pkgs/development/python-modules/hypothesis/default.nix @@ -3,7 +3,7 @@ , doCheck ? true, pytest, pytest_xdist, flaky, mock }: buildPythonPackage rec { - # http://hypothesis.readthedocs.org/en/latest/packaging.html + # https://hypothesis.readthedocs.org/en/latest/packaging.html # Hypothesis has optional dependencies on the following libraries # pytz fake_factory django numpy pytest diff --git a/nixpkgs/pkgs/development/python-modules/icalendar/default.nix b/nixpkgs/pkgs/development/python-modules/icalendar/default.nix index e2e833f7c476..48da3da6bc47 100644 --- a/nixpkgs/pkgs/development/python-modules/icalendar/default.nix +++ b/nixpkgs/pkgs/development/python-modules/icalendar/default.nix @@ -20,7 +20,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "A parser/generator of iCalendar files"; - homepage = "http://icalendar.readthedocs.org/"; + homepage = "https://icalendar.readthedocs.org/"; license = licenses.bsd2; maintainers = with maintainers; [ olcai ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/imapclient/default.nix b/nixpkgs/pkgs/development/python-modules/imapclient/default.nix index 5334d473620a..e45cdb3eab8a 100644 --- a/nixpkgs/pkgs/development/python-modules/imapclient/default.nix +++ b/nixpkgs/pkgs/development/python-modules/imapclient/default.nix @@ -12,7 +12,7 @@ buildPythonPackage rec { disabled = isPy34 || isPy35; src = fetchurl { - url = "http://freshfoo.com/projects/IMAPClient/${pname}-${version}.tar.gz"; + url = "https://freshfoo.com/projects/IMAPClient/${pname}-${version}.tar.gz"; sha256 = "0v7kd1crdbff0rmh4ddm5qszkis6hpk9084qh94al8h7g4y9l3is"; }; @@ -24,7 +24,7 @@ buildPythonPackage rec { ''; meta = with stdenv.lib; { - homepage = http://imapclient.freshfoo.com/; + homepage = https://imapclient.readthedocs.io/en/2.1.0/; description = "Easy-to-use, Pythonic and complete IMAP client library"; license = licenses.bsd3; }; diff --git a/nixpkgs/pkgs/development/python-modules/intake/default.nix b/nixpkgs/pkgs/development/python-modules/intake/default.nix index 4434ea0edc11..2646ee1b9363 100644 --- a/nixpkgs/pkgs/development/python-modules/intake/default.nix +++ b/nixpkgs/pkgs/development/python-modules/intake/default.nix @@ -21,12 +21,12 @@ buildPythonPackage rec { pname = "intake"; - version = "0.4.1"; + version = "0.4.4"; disabled = isPy27; src = fetchPypi { inherit pname version; - sha256 = "f47e53aa764eeadf6adcc667b9817b1ad32496477476da0b982d4fc0744b40ef"; + sha256 = "3fc1b7c2949c9b4200ecbbfdff17da126981a1d8d95ccb7b7bcca3e3dd849d5e"; }; checkInputs = [ pytest ]; @@ -47,8 +47,9 @@ buildPythonPackage rec { ]; checkPhase = '' - # single test assumes python for executable name - PATH=$out/bin:$PATH HOME=$(mktemp -d) pytest --ignore=intake/catalog/tests/test_default.py + # test_filtered_compressed_cache requires calvert_uk_filter.tar.gz, which is not included in tarball + # test_which assumes python for executable name + PATH=$out/bin:$PATH HOME=$(mktemp -d) pytest -k "not test_filtered_compressed_cache and not test_which" ''; meta = with lib; { diff --git a/nixpkgs/pkgs/development/python-modules/jenkins-job-builder/default.nix b/nixpkgs/pkgs/development/python-modules/jenkins-job-builder/default.nix index 1b14074fad75..764e47501cb3 100644 --- a/nixpkgs/pkgs/development/python-modules/jenkins-job-builder/default.nix +++ b/nixpkgs/pkgs/development/python-modules/jenkins-job-builder/default.nix @@ -15,12 +15,12 @@ buildPythonPackage rec { pname = "jenkins-job-builder"; - version = "2.9.1"; + version = "2.10.0"; disabled = !isPy27; src = fetchPypi { inherit pname version; - sha256 = "fba5f3efe8bd06d559f06a5d3bd68da5a7395541fcd370053a8174d08519e3d0"; + sha256 = "0jp8v0a3yhjv7024y7r4jd4kq008ljra6lxx4143jw3rp72q3afc"; }; postPatch = '' diff --git a/nixpkgs/pkgs/development/python-modules/jq/jq-py-setup.patch b/nixpkgs/pkgs/development/python-modules/jq/jq-py-setup.patch index 7d7d825e9f18..53092b8a1f57 100644 --- a/nixpkgs/pkgs/development/python-modules/jq/jq-py-setup.patch +++ b/nixpkgs/pkgs/development/python-modules/jq/jq-py-setup.patch @@ -113,7 +113,7 @@ index 77933f2..2b71e25 100644 setup( @@ -107,7 +30,6 @@ setup( - url='http://github.com/mwilliamson/jq.py', + url='https://github.com/mwilliamson/jq.py', license='BSD 2-Clause', ext_modules = [jq_extension], - cmdclass={"build_ext": jq_build_ext}, diff --git a/nixpkgs/pkgs/development/python-modules/jupyter/default.nix b/nixpkgs/pkgs/development/python-modules/jupyter/default.nix index 14f836725536..b6d2a5e5a901 100644 --- a/nixpkgs/pkgs/development/python-modules/jupyter/default.nix +++ b/nixpkgs/pkgs/development/python-modules/jupyter/default.nix @@ -25,7 +25,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "Installs all the Jupyter components in one go"; - homepage = "http://jupyter.org/"; + homepage = "https://jupyter.org/"; license = licenses.bsd3; platforms = platforms.all; priority = 100; # This is a metapackage which is unimportant diff --git a/nixpkgs/pkgs/development/python-modules/jupyter_client/default.nix b/nixpkgs/pkgs/development/python-modules/jupyter_client/default.nix index 6d874b4bf9e5..a4dc719b7afd 100644 --- a/nixpkgs/pkgs/development/python-modules/jupyter_client/default.nix +++ b/nixpkgs/pkgs/development/python-modules/jupyter_client/default.nix @@ -35,7 +35,7 @@ buildPythonPackage rec { meta = { description = "Jupyter protocol implementation and client libraries"; - homepage = http://jupyter.org/; + homepage = https://jupyter.org/; license = lib.licenses.bsd3; maintainers = with lib.maintainers; [ fridh ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/jupyter_console/5.nix b/nixpkgs/pkgs/development/python-modules/jupyter_console/5.nix index 46fe17ef63b7..440f22b13321 100644 --- a/nixpkgs/pkgs/development/python-modules/jupyter_console/5.nix +++ b/nixpkgs/pkgs/development/python-modules/jupyter_console/5.nix @@ -32,7 +32,7 @@ buildPythonPackage rec { meta = { description = "Jupyter terminal console"; - homepage = "http://jupyter.org/"; + homepage = "https://jupyter.org/"; license = lib.licenses.bsd3; }; } diff --git a/nixpkgs/pkgs/development/python-modules/jupyter_console/default.nix b/nixpkgs/pkgs/development/python-modules/jupyter_console/default.nix index aa0287850130..f8cf8b3076a7 100644 --- a/nixpkgs/pkgs/development/python-modules/jupyter_console/default.nix +++ b/nixpkgs/pkgs/development/python-modules/jupyter_console/default.nix @@ -34,7 +34,7 @@ buildPythonPackage rec { meta = { description = "Jupyter terminal console"; - homepage = "http://jupyter.org/"; + homepage = "https://jupyter.org/"; license = lib.licenses.bsd3; }; } diff --git a/nixpkgs/pkgs/development/python-modules/jupyter_core/default.nix b/nixpkgs/pkgs/development/python-modules/jupyter_core/default.nix index a6a955507a79..e89154c0bc43 100644 --- a/nixpkgs/pkgs/development/python-modules/jupyter_core/default.nix +++ b/nixpkgs/pkgs/development/python-modules/jupyter_core/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "Jupyter core package. A base package on which Jupyter projects rely"; - homepage = http://jupyter.org/; + homepage = https://jupyter.org/; license = licenses.bsd3; maintainers = with maintainers; [ fridh globin ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/jupyterhub/default.nix b/nixpkgs/pkgs/development/python-modules/jupyterhub/default.nix index 978ed150ef45..b291a2b0d3b2 100644 --- a/nixpkgs/pkgs/development/python-modules/jupyterhub/default.nix +++ b/nixpkgs/pkgs/development/python-modules/jupyterhub/default.nix @@ -116,7 +116,7 @@ buildPythonPackage rec { meta = with lib; { description = "Serves multiple Jupyter notebook instances"; - homepage = http://jupyter.org/; + homepage = https://jupyter.org/; license = licenses.bsd3; maintainers = with maintainers; [ ixxie cstrahan ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/jupyterlab/default.nix b/nixpkgs/pkgs/development/python-modules/jupyterlab/default.nix index 3007ea7938c8..6d9d2a6b309f 100644 --- a/nixpkgs/pkgs/development/python-modules/jupyterlab/default.nix +++ b/nixpkgs/pkgs/development/python-modules/jupyterlab/default.nix @@ -4,6 +4,7 @@ , jupyterlab_server , notebook , pythonOlder +, fetchpatch }: buildPythonPackage rec { @@ -22,13 +23,21 @@ buildPythonPackage rec { "--set" "JUPYTERLAB_DIR" "$out/share/jupyter/lab" ]; + patches = [ + (fetchpatch { + name = "bump-jupyterlab_server-version"; + url = https://github.com/jupyterlab/jupyterlab/commit/3b8d451e6f9a4c609e60cde5fbb3cc84aae79951.patch; + sha256 = "08vv6rp1k5fbmvj4v9x1d9zb6ymm9pv8ml80j7p45r9fay34rndf"; + }) + ]; + # Depends on npm doCheck = false; meta = with lib; { description = "Jupyter lab environment notebook server extension."; license = with licenses; [ bsd3 ]; - homepage = "http://jupyter.org/"; + homepage = "https://jupyter.org/"; maintainers = with maintainers; [ zimbatm costrouc ]; }; } diff --git a/nixpkgs/pkgs/development/python-modules/jupyterlab_launcher/default.nix b/nixpkgs/pkgs/development/python-modules/jupyterlab_launcher/default.nix index af29b9155a58..15b53f523b57 100644 --- a/nixpkgs/pkgs/development/python-modules/jupyterlab_launcher/default.nix +++ b/nixpkgs/pkgs/development/python-modules/jupyterlab_launcher/default.nix @@ -20,7 +20,7 @@ buildPythonPackage rec { meta = with lib; { description = "This package is used to launch an application built using JupyterLab"; license = with licenses; [ bsd3 ]; - homepage = "http://jupyter.org/"; + homepage = "https://jupyter.org/"; maintainers = with maintainers; [ zimbatm ]; }; } diff --git a/nixpkgs/pkgs/development/python-modules/jupyterlab_server/default.nix b/nixpkgs/pkgs/development/python-modules/jupyterlab_server/default.nix index 5fa8c52a97a8..b74e31c39f35 100644 --- a/nixpkgs/pkgs/development/python-modules/jupyterlab_server/default.nix +++ b/nixpkgs/pkgs/development/python-modules/jupyterlab_server/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "JupyterLab Server"; - homepage = http://jupyter.org; + homepage = https://jupyter.org; license = licenses.bsdOriginal; maintainers = [ maintainers.costrouc ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/kaptan/default.nix b/nixpkgs/pkgs/development/python-modules/kaptan/default.nix index 35194294e9d1..5a6cf80a8352 100644 --- a/nixpkgs/pkgs/development/python-modules/kaptan/default.nix +++ b/nixpkgs/pkgs/development/python-modules/kaptan/default.nix @@ -2,21 +2,25 @@ , buildPythonPackage , fetchPypi , pyyaml +, pytest }: buildPythonPackage rec { pname = "kaptan"; - version = "0.5.11"; + version = "0.5.12"; src = fetchPypi { inherit pname version; - sha256 = "8403d6e48200c3f49cb6d6b3dcb5898aa5ab9d820831655bf9a2403e00cd4207"; + sha256 = "1abd1f56731422fce5af1acc28801677a51e56f5d3c3e8636db761ed143c3dd2"; }; + postPatch = '' + sed -i "s/==.*//g" requirements/test.txt + ''; + propagatedBuildInputs = [ pyyaml ]; - # No tests in archive - doCheck = false; + checkInputs = [ pytest ]; meta = with stdenv.lib; { description = "Configuration manager for python applications"; diff --git a/nixpkgs/pkgs/development/python-modules/macfsevents/default.nix b/nixpkgs/pkgs/development/python-modules/macfsevents/default.nix new file mode 100644 index 000000000000..8dfa0038cdde --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/macfsevents/default.nix @@ -0,0 +1,24 @@ +{ lib, buildPythonPackage, fetchPypi, CoreFoundation, CoreServices }: + +buildPythonPackage rec { + pname = "MacFSEvents"; + version = "0.8.1"; + + src = fetchPypi { + inherit pname version; + sha256 = "1324b66b356051de662ba87d84f73ada062acd42b047ed1246e60a449f833e10"; + }; + + buildInputs = [ CoreFoundation CoreServices ]; + + # Some tests fail under nix build directory + doCheck = false; + + meta = with lib; { + homepage = https://github.com/malthe/macfsevents; + description = "Thread-based interface to file system observation primitives"; + license = licenses.bsd2; + maintainers = [ maintainers.marsam ]; + platforms = platforms.darwin; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/magic-wormhole-transit-relay/default.nix b/nixpkgs/pkgs/development/python-modules/magic-wormhole-transit-relay/default.nix index a553a3bf4335..5ddece686faf 100644 --- a/nixpkgs/pkgs/development/python-modules/magic-wormhole-transit-relay/default.nix +++ b/nixpkgs/pkgs/development/python-modules/magic-wormhole-transit-relay/default.nix @@ -14,7 +14,7 @@ buildPythonPackage rec { checkInputs = [ mock ]; checkPhase = '' - python -m twisted.trial wormhole_transit_relay + ${twisted}/bin/trial wormhole_transit_relay ''; meta = with lib; { diff --git a/nixpkgs/pkgs/development/python-modules/mock/default.nix b/nixpkgs/pkgs/development/python-modules/mock/default.nix index 142383b8db7e..8ab724144f7f 100644 --- a/nixpkgs/pkgs/development/python-modules/mock/default.nix +++ b/nixpkgs/pkgs/development/python-modules/mock/default.nix @@ -20,6 +20,12 @@ buildPythonPackage rec { buildInputs = [ unittest2 ]; propagatedBuildInputs = [ funcsigs six pbr ]; + # On PyPy for Python 2.7 in particular, Mock's tests have a known failure. + # Mock upstream has a decoration to disable the failing test and make + # everything pass, but it is not yet released. The commit: + # https://github.com/testing-cabal/mock/commit/73bfd51b7185#diff-354f30a63fb0907d4ad57269548329e3L12 + doCheck = !(python.isPyPy && python.isPy27); + checkPhase = '' ${python.interpreter} -m unittest discover ''; diff --git a/nixpkgs/pkgs/development/python-modules/moviepy/default.nix b/nixpkgs/pkgs/development/python-modules/moviepy/default.nix index 693d5cf5d7f0..7171409bec84 100644 --- a/nixpkgs/pkgs/development/python-modules/moviepy/default.nix +++ b/nixpkgs/pkgs/development/python-modules/moviepy/default.nix @@ -1,25 +1,48 @@ { stdenv , buildPythonPackage , fetchPypi +, pythonAtLeast , numpy , decorator , imageio +, imageio-ffmpeg , isPy3k +, proglog +, requests , tqdm +# Advanced image processing (triples size of output) +, advancedProcessing ? false +, opencv ? null +, scikitimage ? null +, scikitlearn ? null +, scipy ? null +, matplotlib ? null +, youtube-dl ? null }: +assert advancedProcessing -> ( + opencv != null && scikitimage != null && scikitlearn != null + && scipy != null && matplotlib != null && youtube-dl != null); + buildPythonPackage rec { pname = "moviepy"; version = "1.0.0"; + disabled = !(pythonAtLeast "3.4"); + src = fetchPypi { inherit pname version; sha256 = "16c7ffca23d90c76dd7b163f648c8166dfd589b7c180b8ff75aa327ae0a2fc6d"; }; - # No tests + # No tests, require network connection doCheck = false; - propagatedBuildInputs = [ numpy decorator imageio tqdm ]; + + propagatedBuildInputs = [ + numpy decorator imageio imageio-ffmpeg tqdm requests proglog + ] ++ (stdenv.lib.optionals advancedProcessing [ + opencv scikitimage scikitlearn scipy matplotlib youtube-dl + ]); meta = with stdenv.lib; { description = "Video editing with Python"; diff --git a/nixpkgs/pkgs/development/python-modules/mt-940/default.nix b/nixpkgs/pkgs/development/python-modules/mt-940/default.nix index 820b4c1696b3..f3965b8a74ae 100644 --- a/nixpkgs/pkgs/development/python-modules/mt-940/default.nix +++ b/nixpkgs/pkgs/development/python-modules/mt-940/default.nix @@ -1,36 +1,27 @@ -{ buildPythonPackage, stdenv, pyyaml, pytest, enum34 -, pytestpep8, pytest-flakes, fetchFromGitHub, isPy3k, lib, glibcLocales +{ lib, buildPythonPackage, fetchPypi, isPy3k +, enum34, pyyaml, pytest }: buildPythonPackage rec { - version = "4.13.2"; + version = "4.15.0"; pname = "mt-940"; - # No tests in PyPI tarball - # See https://github.com/WoLpH/mt940/pull/72 - src = fetchFromGitHub { - owner = "WoLpH"; - repo = "mt940"; - rev = "v${version}"; - sha256 = "1lvw3qyv7qhjabcvg55br8x4pnc7hv8xzzaf6wnr8cfjg0q7dzzg"; + src = fetchPypi { + inherit pname version; + sha256 = "4c1d5c23a9c3fec12a61ce3f61d8be107b4693be4a4b97381eca23f4a4dca8ed"; }; - postPatch = '' - # No coverage report - sed -i "/--\(no-\)\?cov/d" pytest.ini - ''; - propagatedBuildInputs = lib.optional (!isPy3k) enum34; - checkInputs = [ pyyaml pytestpep8 pytest-flakes pytest ]; + checkInputs = [ pyyaml pytest ]; checkPhase = '' py.test ''; - meta = with stdenv.lib; { + meta = with lib; { description = "A library to parse MT940 files and returns smart Python collections for statistics and manipulation"; - inherit (src.meta) homepage; + homepage = https://github.com/WoLpH/mt940; license = licenses.bsd3; }; } diff --git a/nixpkgs/pkgs/development/python-modules/nbconvert/default.nix b/nixpkgs/pkgs/development/python-modules/nbconvert/default.nix index e8e2dde5e1e7..d782cac27d0a 100644 --- a/nixpkgs/pkgs/development/python-modules/nbconvert/default.nix +++ b/nixpkgs/pkgs/development/python-modules/nbconvert/default.nix @@ -46,7 +46,7 @@ buildPythonPackage rec { meta = { description = "Converting Jupyter Notebooks"; - homepage = http://jupyter.org/; + homepage = https://jupyter.org/; license = lib.licenses.bsd3; maintainers = with lib.maintainers; [ fridh globin ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/nbformat/default.nix b/nixpkgs/pkgs/development/python-modules/nbformat/default.nix index adfb3da7c683..8b16ffb7e32b 100644 --- a/nixpkgs/pkgs/development/python-modules/nbformat/default.nix +++ b/nixpkgs/pkgs/development/python-modules/nbformat/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = { description = "The Jupyter Notebook format"; - homepage = http://jupyter.org/; + homepage = https://jupyter.org/; license = lib.licenses.bsd3; maintainers = with lib.maintainers; [ fridh globin ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/nibabel/default.nix b/nixpkgs/pkgs/development/python-modules/nibabel/default.nix index 9c80301ce931..da664d9a8d0f 100644 --- a/nixpkgs/pkgs/development/python-modules/nibabel/default.nix +++ b/nixpkgs/pkgs/development/python-modules/nibabel/default.nix @@ -38,7 +38,7 @@ buildPythonPackage rec { ''; meta = with lib; { - homepage = http://nipy.org/nibabel/; + homepage = https://nipy.org/nibabel/; description = "Access a multitude of neuroimaging data formats"; license = licenses.mit; maintainers = with maintainers; [ ashgillman ]; diff --git a/nixpkgs/pkgs/development/python-modules/nipy/default.nix b/nixpkgs/pkgs/development/python-modules/nipy/default.nix index ae7043c826ab..5b8c1e5185da 100644 --- a/nixpkgs/pkgs/development/python-modules/nipy/default.nix +++ b/nixpkgs/pkgs/development/python-modules/nipy/default.nix @@ -41,7 +41,7 @@ buildPythonPackage rec { doCheck = false; meta = with stdenv.lib; { - homepage = http://nipy.org/nipy/; + homepage = https://nipy.org/nipy/; description = "Software for structural and functional neuroimaging analysis"; license = licenses.bsd3; }; diff --git a/nixpkgs/pkgs/development/python-modules/nipype/default.nix b/nixpkgs/pkgs/development/python-modules/nipype/default.nix index fe08e1f0789e..494dbdaa9835 100644 --- a/nixpkgs/pkgs/development/python-modules/nipype/default.nix +++ b/nixpkgs/pkgs/development/python-modules/nipype/default.nix @@ -97,7 +97,7 @@ buildPythonPackage rec { doCheck = false; meta = with stdenv.lib; { - homepage = http://nipy.org/nipype/; + homepage = https://nipy.org/nipype/; description = "Neuroimaging in Python: Pipelines and Interfaces"; license = licenses.bsd3; maintainers = with maintainers; [ ashgillman ]; diff --git a/nixpkgs/pkgs/development/python-modules/notebook/default.nix b/nixpkgs/pkgs/development/python-modules/notebook/default.nix index d4b4f14cd652..c458cb81e4f6 100644 --- a/nixpkgs/pkgs/development/python-modules/notebook/default.nix +++ b/nixpkgs/pkgs/development/python-modules/notebook/default.nix @@ -66,7 +66,7 @@ buildPythonPackage rec { meta = { description = "The Jupyter HTML notebook is a web-based notebook environment for interactive computing"; - homepage = http://jupyter.org/; + homepage = https://jupyter.org/; license = lib.licenses.bsd3; maintainers = with lib.maintainers; [ fridh globin ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/numpy/default.nix b/nixpkgs/pkgs/development/python-modules/numpy/default.nix index b74bbf581d83..e479542c5093 100644 --- a/nixpkgs/pkgs/development/python-modules/numpy/default.nix +++ b/nixpkgs/pkgs/development/python-modules/numpy/default.nix @@ -1,4 +1,4 @@ -{ stdenv, lib, fetchPypi, python, buildPythonPackage, isPyPy, gfortran, pytest, blas, writeTextFile }: +{ stdenv, lib, fetchPypi, python, buildPythonPackage, gfortran, pytest, blas, writeTextFile }: let blasImplementation = lib.nameFromURL blas.name "-"; @@ -24,7 +24,6 @@ in buildPythonPackage rec { sha256 = "1c4inssky16p6ab63n1gass6dik1dzxrp3y7kmxbdq6xg4w2wsbc"; }; - disabled = isPyPy; nativeBuildInputs = [ gfortran pytest ]; buildInputs = [ blas ]; diff --git a/nixpkgs/pkgs/development/python-modules/nxt-python/default.nix b/nixpkgs/pkgs/development/python-modules/nxt-python/default.nix index c9b523a266b9..c28f22aa5ec9 100644 --- a/nixpkgs/pkgs/development/python-modules/nxt-python/default.nix +++ b/nixpkgs/pkgs/development/python-modules/nxt-python/default.nix @@ -14,7 +14,7 @@ buildPythonPackage rec { disabled = isPy3k; src = fetchgit { - url = "http://github.com/Eelviny/nxt-python"; + url = "https://github.com/Eelviny/nxt-python"; rev = "479e20b7491b28567035f4cee294c4a2af629297"; sha256 = "0mcsajhgm2wy4iy2lhmyi3xibgmbixbchanzmlhsxk6qyjccn9r9"; branchName= "pyusb"; diff --git a/nixpkgs/pkgs/development/python-modules/parse/default.nix b/nixpkgs/pkgs/development/python-modules/parse/default.nix index 004b58fec6a7..2bbf92f40d46 100644 --- a/nixpkgs/pkgs/development/python-modules/parse/default.nix +++ b/nixpkgs/pkgs/development/python-modules/parse/default.nix @@ -3,11 +3,11 @@ }: buildPythonPackage rec { pname = "parse"; - version = "1.11.1"; + version = "1.12.0"; src = fetchPypi { inherit pname version; - sha256 = "870dd675c1ee8951db3e29b81ebe44fd131e3eb8c03a79483a58ea574f3145c2"; + sha256 = "0hkic57kaxd5s56ylbwslmngqnpab864mjj8c0ayawfk6is6as0v"; }; checkPhase = '' diff --git a/nixpkgs/pkgs/development/python-modules/pep8/default.nix b/nixpkgs/pkgs/development/python-modules/pep8/default.nix index ed885c617243..85d274944a78 100644 --- a/nixpkgs/pkgs/development/python-modules/pep8/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pep8/default.nix @@ -17,7 +17,7 @@ buildPythonPackage rec { doCheck = false; meta = with stdenv.lib; { - homepage = "http://pep8.readthedocs.org/"; + homepage = "https://pep8.readthedocs.org/"; description = "Python style guide checker"; license = licenses.mit; maintainers = with maintainers; [ garbas ]; diff --git a/nixpkgs/pkgs/development/python-modules/pgsanity/default.nix b/nixpkgs/pkgs/development/python-modules/pgsanity/default.nix index 67c69aca2a25..85db917cef82 100644 --- a/nixpkgs/pkgs/development/python-modules/pgsanity/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pgsanity/default.nix @@ -20,7 +20,7 @@ buildPythonPackage rec { propagatedBuildInputs = [ postgresql ]; meta = with stdenv.lib; { - homepage = "http://github.com/markdrago/pgsanity"; + homepage = "https://github.com/markdrago/pgsanity"; description = "Checks the syntax of Postgresql SQL files"; longDescription = '' PgSanity checks the syntax of Postgresql SQL files by diff --git a/nixpkgs/pkgs/development/python-modules/pplpy/default.nix b/nixpkgs/pkgs/development/python-modules/pplpy/default.nix new file mode 100644 index 000000000000..6f118a51c879 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/pplpy/default.nix @@ -0,0 +1,64 @@ +{ lib +, python +, fetchPypi +, buildPythonPackage +, gmp +, mpfr +, libmpc +, ppl +, pari +, cython +, cysignals +, gmpy2 +, sphinx +}: + +buildPythonPackage rec { + pname = "pplpy"; + version = "0.8.4"; + + src = fetchPypi { + inherit pname version; + sha256 = "0dk8l5r3f2jbkkasddvxwvhlq35pjsiirh801lrapv8lb16r2qmr"; + }; + + buildInputs = [ + gmp + mpfr + libmpc + ppl + ]; + + nativeBuildInputs = [ + sphinx # docbuild, called by make + ]; + + propagatedBuildInputs = [ + cython + cysignals + gmpy2 + ]; + + outputs = [ "out" "doc" ]; + + postBuild = '' + # Find the build result in order to put it into PYTHONPATH. The doc + # build needs to import pplpy. + build_result="$PWD/$( find build/ -type d -name 'lib.*' | head -n1 )" + + echo "Building documentation" + PYTHONPATH="$build_result:$PYTHONPATH" make -C docs html + ''; + + postInstall = '' + mkdir -p "$doc/share/doc" + mv docs/build/html "$doc/share/doc/pplpy" + ''; + + meta = with lib; { + description = "A Python wrapper for ppl"; + homepage = https://gitlab.com/videlec/pplpy; + maintainers = with maintainers; [ timokau ]; + license = licenses.gpl3; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/praw/default.nix b/nixpkgs/pkgs/development/python-modules/praw/default.nix index fc284384bcbd..0b3571055bea 100644 --- a/nixpkgs/pkgs/development/python-modules/praw/default.nix +++ b/nixpkgs/pkgs/development/python-modules/praw/default.nix @@ -40,7 +40,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "Python Reddit API wrapper"; - homepage = http://praw.readthedocs.org/; + homepage = https://praw.readthedocs.org/; license = licenses.gpl3; platforms = platforms.all; maintainers = with maintainers; [ ]; diff --git a/nixpkgs/pkgs/development/python-modules/prawcore/default.nix b/nixpkgs/pkgs/development/python-modules/prawcore/default.nix index 5734fbb3d134..282e8bac069e 100644 --- a/nixpkgs/pkgs/development/python-modules/prawcore/default.nix +++ b/nixpkgs/pkgs/development/python-modules/prawcore/default.nix @@ -28,7 +28,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "Low-level communication layer for PRAW"; - homepage = http://praw.readthedocs.org/; + homepage = https://praw.readthedocs.org/; license = licenses.gpl3; platforms = platforms.all; maintainers = with maintainers; [ ]; diff --git a/nixpkgs/pkgs/development/python-modules/proglog/default.nix b/nixpkgs/pkgs/development/python-modules/proglog/default.nix new file mode 100644 index 000000000000..d6fe22e17545 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/proglog/default.nix @@ -0,0 +1,19 @@ +{ stdenv, fetchPypi, buildPythonPackage, tqdm }: + +buildPythonPackage rec { + pname = "proglog"; + version = "0.1.9"; + + src = fetchPypi { + inherit pname version; + sha256 = "13diln950wk6nnn4rpmzx37rvrnpa7f803gwygiwbq1q46zwri6q"; + }; + + propagatedBuildInputs = [ tqdm ]; + + meta = with stdenv.lib; { + description = "Logs and progress bars manager for Python"; + homepage = https://github.com/Edinburgh-Genome-Foundry/Proglog; + license = licenses.mit; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/py/default.nix b/nixpkgs/pkgs/development/python-modules/py/default.nix index 5f41a88d26e8..20d3eb31b0f7 100644 --- a/nixpkgs/pkgs/development/python-modules/py/default.nix +++ b/nixpkgs/pkgs/development/python-modules/py/default.nix @@ -15,7 +15,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "Library with cross-python path, ini-parsing, io, code, log facilities"; - homepage = http://pylib.readthedocs.org/; + homepage = https://pylib.readthedocs.org/; license = licenses.mit; }; } diff --git a/nixpkgs/pkgs/development/python-modules/pyasn1-modules/default.nix b/nixpkgs/pkgs/development/python-modules/pyasn1-modules/default.nix index 0bef3f9e69f5..6ab9d3c7c3aa 100644 --- a/nixpkgs/pkgs/development/python-modules/pyasn1-modules/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pyasn1-modules/default.nix @@ -3,7 +3,6 @@ buildPythonPackage rec { pname = "pyasn1-modules"; version = "0.2.4"; - disabled = isPyPy; src = fetchPypi { inherit pname version; diff --git a/nixpkgs/pkgs/development/python-modules/pycdio/default.nix b/nixpkgs/pkgs/development/python-modules/pycdio/default.nix index 6d670006014b..4a5577d7e0bb 100644 --- a/nixpkgs/pkgs/development/python-modules/pycdio/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pycdio/default.nix @@ -23,8 +23,8 @@ buildPythonPackage rec { patchShebangs . ''; - nativeBuildInputs = [ pkgs.pkgconfig ]; - buildInputs = [ setuptools nose pkgs.swig pkgs.libcdio ] + nativeBuildInputs = [ nose pkgs.pkgconfig pkgs.swig ]; + buildInputs = [ setuptools pkgs.libcdio ] ++ stdenv.lib.optional stdenv.isDarwin pkgs.libiconv; # Run tests using nosetests but first need to install the binaries diff --git a/nixpkgs/pkgs/development/python-modules/pychromecast/default.nix b/nixpkgs/pkgs/development/python-modules/pychromecast/default.nix index 1283fe9340e5..7d0f7da5d96c 100644 --- a/nixpkgs/pkgs/development/python-modules/pychromecast/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pychromecast/default.nix @@ -2,11 +2,11 @@ buildPythonPackage rec { pname = "PyChromecast"; - version = "3.0.0"; + version = "3.2.0"; src = fetchPypi { inherit pname version; - sha256 = "15zaka9zjyx5lb78f0qs6w8g1rgz94gjgrgxm6iwih0a2l0pv5h9"; + sha256 = "0jksh7rb4880kni8iw3hb5q9dm5gi40zmx4r2fwydnpfhadhq5af"; }; disabled = !isPy3k; diff --git a/nixpkgs/pkgs/development/python-modules/pycryptopp/default.nix b/nixpkgs/pkgs/development/python-modules/pycryptopp/default.nix index 06045a58393a..8bb472d8fde7 100644 --- a/nixpkgs/pkgs/development/python-modules/pycryptopp/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pycryptopp/default.nix @@ -2,7 +2,6 @@ , buildPythonPackage , fetchPypi , isPy3k -, isPyPy , setuptoolsDarcs , darcsver , pkgs @@ -11,7 +10,7 @@ buildPythonPackage rec { pname = "pycryptopp"; version = "0.7.1.869544967005693312591928092448767568728501330214"; - disabled = isPy3k || isPyPy; # see https://bitbucket.org/pypy/pypy/issue/1190/ + disabled = isPy3k; # see https://bitbucket.org/pypy/pypy/issue/1190/ src = fetchPypi { inherit pname version; diff --git a/nixpkgs/pkgs/development/python-modules/pyomo/default.nix b/nixpkgs/pkgs/development/python-modules/pyomo/default.nix new file mode 100644 index 000000000000..958711754bd0 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/pyomo/default.nix @@ -0,0 +1,41 @@ +{ lib +, buildPythonPackage +, fetchPypi +, pyutilib +, appdirs +, ply +, six +, nose +}: + +buildPythonPackage rec { + pname = "pyomo"; + version = "5.6.1"; + + src = fetchPypi { + pname = "Pyomo"; + inherit version; + sha256 = "449be9a4c9b3caee7c89dbe5f0e4e5ad0eaeef8be110a860641cd249986e362c"; + }; + + checkInputs = [ nose ]; + propagatedBuildInputs = [ + pyutilib + appdirs + ply + six + ]; + + checkPhase = '' + rm pyomo/bilevel/tests/test_blp.py \ + pyomo/version/tests/test_installer.py + nosetests + ''; + + meta = with lib; { + description = "Pyomo: Python Optimization Modeling Objects"; + homepage = http://pyomo.org; + license = licenses.bsd3; + maintainers = [ maintainers.costrouc ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/pyramid_chameleon/default.nix b/nixpkgs/pkgs/development/python-modules/pyramid_chameleon/default.nix index 257be31f32d9..398869e467b7 100644 --- a/nixpkgs/pkgs/development/python-modules/pyramid_chameleon/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pyramid_chameleon/default.nix @@ -16,6 +16,11 @@ buildPythonPackage rec { sha256 = "d176792a50eb015d7865b44bd9b24a7bd0489fa9a5cebbd17b9e05048cef9017"; }; + patches = [ + # https://github.com/Pylons/pyramid_chameleon/pull/25 + ./test-renderers-pyramid-import.patch + ]; + propagatedBuildInputs = [ chameleon pyramid zope_interface setuptools ]; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/python-modules/pyramid_chameleon/test-renderers-pyramid-import.patch b/nixpkgs/pkgs/development/python-modules/pyramid_chameleon/test-renderers-pyramid-import.patch new file mode 100644 index 000000000000..635ed3510fc7 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/pyramid_chameleon/test-renderers-pyramid-import.patch @@ -0,0 +1,11 @@ +--- a/pyramid_chameleon/tests/test_renderers.py ++++ b/pyramid_chameleon/tests/test_renderers.py +@@ -258,7 +258,7 @@ class TestChameleonRendererLookup(unittest.TestCase): + self.assertRaises(ValueError, lookup.__call__, info) + + def test___call__spec_alreadyregistered(self): +- from pyramid import tests ++ from pyramid_chameleon import tests + module_name = tests.__name__ + relpath = 'test_renderers.py' + spec = '%s:%s' % (module_name, relpath) diff --git a/nixpkgs/pkgs/development/python-modules/pyscss/default.nix b/nixpkgs/pkgs/development/python-modules/pyscss/default.nix index cf63d3660ef5..625eae7b74b9 100644 --- a/nixpkgs/pkgs/development/python-modules/pyscss/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pyscss/default.nix @@ -32,7 +32,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "A Scss compiler for Python"; - homepage = http://pyscss.readthedocs.org/en/latest/; + homepage = https://pyscss.readthedocs.org/en/latest/; license = licenses.mit; }; diff --git a/nixpkgs/pkgs/development/python-modules/pysensors/default.nix b/nixpkgs/pkgs/development/python-modules/pysensors/default.nix index c891140827ee..5069bbe96484 100644 --- a/nixpkgs/pkgs/development/python-modules/pysensors/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pysensors/default.nix @@ -25,7 +25,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { maintainers = with maintainers; [ guibou ]; description = "Easy hardware health monitoring in Python for Linux systems"; - homepage = http://pysensors.readthedocs.org; + homepage = https://pysensors.readthedocs.org; license = licenses.bsd2; platforms = platforms.linux; }; diff --git a/nixpkgs/pkgs/development/python-modules/pysolr/default.nix b/nixpkgs/pkgs/development/python-modules/pysolr/default.nix index 65d25f298dd8..0544b84e532f 100644 --- a/nixpkgs/pkgs/development/python-modules/pysolr/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pysolr/default.nix @@ -19,7 +19,7 @@ buildPythonPackage rec { meta = with lib; { description = "Lightweight Python wrapper for Apache Solr"; - homepage = "http://github.com/toastdriven/pysolr/"; + homepage = "https://github.com/toastdriven/pysolr/"; license = licenses.bsd3; }; } diff --git a/nixpkgs/pkgs/development/python-modules/pytest-django/default.nix b/nixpkgs/pkgs/development/python-modules/pytest-django/default.nix index 4b31e655a6df..60b8e7f08524 100644 --- a/nixpkgs/pkgs/development/python-modules/pytest-django/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pytest-django/default.nix @@ -26,7 +26,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "py.test plugin for testing of Django applications"; - homepage = http://pytest-django.readthedocs.org/en/latest/; + homepage = https://pytest-django.readthedocs.org/en/latest/; license = licenses.bsd3; }; } diff --git a/nixpkgs/pkgs/development/python-modules/pytest-shutil/default.nix b/nixpkgs/pkgs/development/python-modules/pytest-shutil/default.nix index fedf5010b2bd..c5444708be87 100644 --- a/nixpkgs/pkgs/development/python-modules/pytest-shutil/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pytest-shutil/default.nix @@ -1,4 +1,4 @@ -{ stdenv, buildPythonPackage, fetchPypi +{ stdenv, lib, isPyPy, buildPythonPackage, fetchPypi , pytest_3, cmdline, pytestcov, coverage, setuptools-git, mock, pathpy, execnet , contextlib2, termcolor }: @@ -16,7 +16,7 @@ buildPythonPackage rec { nativeBuildInputs = [ pytest_3 ]; checkPhase = '' - py.test + py.test ${lib.optionalString isPyPy "-k'not (test_run or test_run_integration)'"} ''; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/python-modules/python-language-server/default.nix b/nixpkgs/pkgs/development/python-modules/python-language-server/default.nix index 121a98335b64..09ded676b74f 100644 --- a/nixpkgs/pkgs/development/python-modules/python-language-server/default.nix +++ b/nixpkgs/pkgs/development/python-modules/python-language-server/default.nix @@ -21,13 +21,13 @@ in buildPythonPackage rec { pname = "python-language-server"; - version = "0.25.0"; + version = "0.26.1"; src = fetchFromGitHub { owner = "palantir"; repo = "python-language-server"; rev = version; - sha256 = "10la48m10j4alfnpw0xw359fb833scf5kv7kjvh7djf6ij7cfsvq"; + sha256 = "003fy8bbvwibnsnyxw1qwg2rxnhbfylqs67ixr6fdnw6mmrzd6fg"; }; # The tests require all the providers, disable otherwise. diff --git a/nixpkgs/pkgs/development/python-modules/python-mapnik/default.nix b/nixpkgs/pkgs/development/python-modules/python-mapnik/default.nix index 02097d75ac87..8523020ccf50 100644 --- a/nixpkgs/pkgs/development/python-modules/python-mapnik/default.nix +++ b/nixpkgs/pkgs/development/python-modules/python-mapnik/default.nix @@ -60,7 +60,7 @@ in buildPythonPackage rec { meta = with stdenv.lib; { description = "Python bindings for Mapnik"; - homepage = http://mapnik.org; + homepage = https://mapnik.org; license = licenses.lgpl21; }; diff --git a/nixpkgs/pkgs/development/python-modules/pytoml/default.nix b/nixpkgs/pkgs/development/python-modules/pytoml/default.nix index 91661a1fb510..d65de8123235 100644 --- a/nixpkgs/pkgs/development/python-modules/pytoml/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pytoml/default.nix @@ -1,23 +1,29 @@ { stdenv , buildPythonPackage -, fetchgit +, fetchFromGitHub , python +, pytest }: buildPythonPackage rec { pname = "pytoml"; - version = "0.1.14"; + version = "0.1.20"; + + src = fetchFromGitHub { + owner = "avakar"; + repo = "pytoml"; + rev = "v${version}"; + fetchSubmodules = true; # ensure test submodule is available + sha256 = "02hjq44zhh6z0fsbm3hvz34sav6fic90sjrw8g1pkdvskzzl46mz"; + }; + + checkInputs = [ pytest ]; checkPhase = '' ${python.interpreter} test/test.py + pytest test ''; - # fetchgit used to ensure test submodule is available - src = fetchgit { - url = "${meta.homepage}.git"; - rev = "refs/tags/v${version}"; - sha256 = "1ip71yqxnyi4jhw5x1q7a0za61ndhpfh0vbx08jfv0w4ayng6rgv"; - }; meta = with stdenv.lib; { description = "A TOML parser/writer for Python"; diff --git a/nixpkgs/pkgs/development/python-modules/pytz/default.nix b/nixpkgs/pkgs/development/python-modules/pytz/default.nix index cc30c802fd93..0de3e989c24b 100644 --- a/nixpkgs/pkgs/development/python-modules/pytz/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pytz/default.nix @@ -15,7 +15,7 @@ buildPythonPackage rec { meta = with lib; { description = "World timezone definitions, modern and historical"; - homepage = "http://pythonhosted.org/pytz"; + homepage = "https://pythonhosted.org/pytz"; license = licenses.mit; maintainers = with maintainers; [ dotlambda ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/pyudev/default.nix b/nixpkgs/pkgs/development/python-modules/pyudev/default.nix index fa5c371b9b1a..c35c35d6c57e 100644 --- a/nixpkgs/pkgs/development/python-modules/pyudev/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pyudev/default.nix @@ -28,7 +28,7 @@ buildPythonPackage rec { doCheck = false; meta = { - homepage = http://pyudev.readthedocs.org/; + homepage = https://pyudev.readthedocs.org/; description = "Pure Python libudev binding"; license = lib.licenses.lgpl21Plus; }; diff --git a/nixpkgs/pkgs/development/python-modules/pyutilib/default.nix b/nixpkgs/pkgs/development/python-modules/pyutilib/default.nix new file mode 100644 index 000000000000..4227a255ad3d --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/pyutilib/default.nix @@ -0,0 +1,32 @@ +{ lib +, buildPythonPackage +, fetchPypi +, nose +, six +}: + +buildPythonPackage rec { + pname = "pyutilib"; + version = "5.6.5"; + + src = fetchPypi { + pname = "PyUtilib"; + inherit version; + sha256 = "4730084624be98f2c326da88f3852831c6aa919e11babab2c34b0299c8f5ce2a"; + }; + + propagatedBuildInputs = [ + nose + six + ]; + + # tests require text files that are not included in the pypi package + doCheck = false; + + meta = with lib; { + description = "PyUtilib: A collection of Python utilities"; + homepage = https://github.com/PyUtilib/pyutilib; + license = licenses.bsd3; + maintainers = [ maintainers.costrouc ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/pyyaml/default.nix b/nixpkgs/pkgs/development/python-modules/pyyaml/default.nix index b4732e34c4ef..84dfb549fe1c 100644 --- a/nixpkgs/pkgs/development/python-modules/pyyaml/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pyyaml/default.nix @@ -1,17 +1,22 @@ -{ lib, buildPythonPackage, fetchPypi, libyaml, buildPackages }: +{ lib, buildPythonPackage, fetchPypi, cython, libyaml, buildPackages }: buildPythonPackage rec { pname = "PyYAML"; - version = "3.13"; + version = "5.1"; src = fetchPypi { inherit pname version; - sha256 = "3ef3092145e9b70e3ddd2c7ad59bdd0252a94dfe3949721633e41344de00a6bf"; + sha256 = "436bc774ecf7c103814098159fbb84c2715d25980175292c648f2da143909f95"; }; - nativeBuildInputs = [ buildPackages.stdenv.cc ]; + # force regeneration using Cython + postPatch = '' + rm ext/_yaml.c + ''; - propagatedBuildInputs = [ libyaml ]; + nativeBuildInputs = [ cython buildPackages.stdenv.cc ]; + + buildInputs = [ libyaml ]; meta = with lib; { description = "The next generation YAML parser and emitter for Python"; diff --git a/nixpkgs/pkgs/development/python-modules/qtconsole/default.nix b/nixpkgs/pkgs/development/python-modules/qtconsole/default.nix index 810cffa5cc62..941c24033e50 100644 --- a/nixpkgs/pkgs/development/python-modules/qtconsole/default.nix +++ b/nixpkgs/pkgs/development/python-modules/qtconsole/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = { description = "Jupyter Qt console"; - homepage = http://jupyter.org/; + homepage = https://jupyter.org/; license = lib.licenses.bsd3; platforms = lib.platforms.unix; maintainers = with lib.maintainers; [ fridh ]; diff --git a/nixpkgs/pkgs/development/python-modules/rpyc/default.nix b/nixpkgs/pkgs/development/python-modules/rpyc/default.nix index ab7ac8ac923f..982fd7fcf59b 100644 --- a/nixpkgs/pkgs/development/python-modules/rpyc/default.nix +++ b/nixpkgs/pkgs/development/python-modules/rpyc/default.nix @@ -18,7 +18,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "Remote Python Call (RPyC), a transparent and symmetric RPC library"; - homepage = http://rpyc.readthedocs.org; + homepage = https://rpyc.readthedocs.org; license = licenses.mit; }; diff --git a/nixpkgs/pkgs/development/python-modules/salmon-mail/default.nix b/nixpkgs/pkgs/development/python-modules/salmon-mail/default.nix index d4eed74611e6..958962bda2ae 100644 --- a/nixpkgs/pkgs/development/python-modules/salmon-mail/default.nix +++ b/nixpkgs/pkgs/development/python-modules/salmon-mail/default.nix @@ -20,7 +20,7 @@ buildPythonPackage rec { ''; meta = with stdenv.lib; { - homepage = http://salmon-mail.readthedocs.org/; + homepage = https://salmon-mail.readthedocs.org/; description = "Pythonic mail application server"; license = licenses.gpl3; maintainers = with maintainers; [ jluttine ]; diff --git a/nixpkgs/pkgs/development/python-modules/sarge/default.nix b/nixpkgs/pkgs/development/python-modules/sarge/default.nix index b2eb0668de6c..4d7a3a5972f7 100644 --- a/nixpkgs/pkgs/development/python-modules/sarge/default.nix +++ b/nixpkgs/pkgs/development/python-modules/sarge/default.nix @@ -13,7 +13,7 @@ buildPythonPackage rec { doCheck = false; meta = with lib; { - homepage = http://sarge.readthedocs.org/; + homepage = https://sarge.readthedocs.org/; description = "A wrapper for subprocess which provides command pipeline functionality"; license = licenses.bsd3; maintainers = with maintainers; [ abbradar ]; diff --git a/nixpkgs/pkgs/development/python-modules/slimit/default.nix b/nixpkgs/pkgs/development/python-modules/slimit/default.nix index ff4c63891e55..e82cbe5b705e 100644 --- a/nixpkgs/pkgs/development/python-modules/slimit/default.nix +++ b/nixpkgs/pkgs/development/python-modules/slimit/default.nix @@ -30,7 +30,7 @@ buildPythonPackage rec { meta = with lib; { description = "JavaScript minifier"; - homepage = http://slimit.readthedocs.org/; + homepage = https://slimit.readthedocs.org/; license = licenses.mit; }; } diff --git a/nixpkgs/pkgs/development/python-modules/snscrape/default.nix b/nixpkgs/pkgs/development/python-modules/snscrape/default.nix index 972ea3149f94..40b2626bade0 100644 --- a/nixpkgs/pkgs/development/python-modules/snscrape/default.nix +++ b/nixpkgs/pkgs/development/python-modules/snscrape/default.nix @@ -9,13 +9,13 @@ buildPythonPackage rec { pname = "snscrape"; - version = "0.1.3"; + version = "0.2.0"; disabled = !isPy3k; src = fetchPypi { inherit pname version; - sha256 = "1mnhqqc7xfwg2wrzpj1pjbcisjjwxrgmy21f53p80xbx2iz8b9n1"; + sha256 = "02mlpzkvpl2mv30cknq6ngw02y7gj2614qikq25ncrpg5vb903d9"; }; # There are no tests; make sure the executable works. diff --git a/nixpkgs/pkgs/development/python-modules/sorl_thumbnail/default.nix b/nixpkgs/pkgs/development/python-modules/sorl_thumbnail/default.nix index bcbb43fd6c8e..098e2292efd5 100644 --- a/nixpkgs/pkgs/development/python-modules/sorl_thumbnail/default.nix +++ b/nixpkgs/pkgs/development/python-modules/sorl_thumbnail/default.nix @@ -16,7 +16,7 @@ buildPythonPackage rec { doCheck = false; meta = with stdenv.lib; { - homepage = http://sorl-thumbnail.readthedocs.org/en/latest/; + homepage = https://sorl-thumbnail.readthedocs.org/en/latest/; description = "Thumbnails for Django"; license = licenses.bsd3; }; diff --git a/nixpkgs/pkgs/development/python-modules/sounddevice/default.nix b/nixpkgs/pkgs/development/python-modules/sounddevice/default.nix index bdb003467f56..2094aa7793d6 100644 --- a/nixpkgs/pkgs/development/python-modules/sounddevice/default.nix +++ b/nixpkgs/pkgs/development/python-modules/sounddevice/default.nix @@ -9,11 +9,11 @@ buildPythonPackage rec { pname = "sounddevice"; - version = "0.3.12"; + version = "0.3.13"; src = fetchPypi { inherit pname version; - sha256 = "f59ae4e2ec12cb1e5940f06f08804ecca855d959de25ca45a3938de45d0f81a2"; + sha256 = "01x2hm3xxzhxrjcj21si4ggmvkwmy5hag7f6yabqlhwskws721cd"; }; propagatedBuildInputs = [ cffi numpy portaudio ]; @@ -34,4 +34,4 @@ buildPythonPackage rec { license = with lib.licenses; [ mit ]; maintainers = with lib.maintainers; [ fridh ]; }; -} \ No newline at end of file +} diff --git a/nixpkgs/pkgs/development/python-modules/sounddevice/fix-portaudio-library-path.patch b/nixpkgs/pkgs/development/python-modules/sounddevice/fix-portaudio-library-path.patch index 5be786ee0f5c..a5761764fdbb 100644 --- a/nixpkgs/pkgs/development/python-modules/sounddevice/fix-portaudio-library-path.patch +++ b/nixpkgs/pkgs/development/python-modules/sounddevice/fix-portaudio-library-path.patch @@ -1,8 +1,8 @@ -diff --git a/sounddevice.py b/sounddevice.py -index f03476c..5745b6e 100644 ---- a/sounddevice.py -+++ b/sounddevice.py -@@ -58,28 +58,7 @@ from ctypes.util import find_library as _find_library +diff --git i/sounddevice.py w/sounddevice.py +index c7c1d62..aabcb12 100644 +--- i/sounddevice.py ++++ w/sounddevice.py +@@ -58,29 +58,7 @@ from ctypes.util import find_library as _find_library from _sounddevice import ffi as _ffi @@ -10,6 +10,7 @@ index f03476c..5745b6e 100644 - for _libname in ( - 'portaudio', # Default name on POSIX systems - 'bin\\libportaudio-2.dll', # DLL from conda-forge +- 'lib/libportaudio.dylib', # dylib from anaconda - ): - _libname = _find_library(_libname) - if _libname is not None: diff --git a/nixpkgs/pkgs/development/python-modules/spotipy/default.nix b/nixpkgs/pkgs/development/python-modules/spotipy/default.nix index c14b3cd770bb..9a414e31360b 100644 --- a/nixpkgs/pkgs/development/python-modules/spotipy/default.nix +++ b/nixpkgs/pkgs/development/python-modules/spotipy/default.nix @@ -13,7 +13,7 @@ buildPythonPackage rec { propagatedBuildInputs = [ requests ]; meta = with stdenv.lib; { - homepage = http://spotipy.readthedocs.org/; + homepage = https://spotipy.readthedocs.org/; description = "A light weight Python library for the Spotify Web API"; license = licenses.mit; maintainers = [ maintainers.rvolosatovs ]; diff --git a/nixpkgs/pkgs/development/python-modules/typing/default.nix b/nixpkgs/pkgs/development/python-modules/typing/default.nix index e363ca22cf2d..5c5ecd8949a2 100644 --- a/nixpkgs/pkgs/development/python-modules/typing/default.nix +++ b/nixpkgs/pkgs/development/python-modules/typing/default.nix @@ -1,4 +1,4 @@ -{ lib, buildPythonPackage, fetchPypi, pythonOlder, isPy3k, python }: +{ lib, buildPythonPackage, fetchPypi, pythonOlder, isPy3k, isPyPy, python }: let testDir = if isPy3k then "src" else "python2"; @@ -14,7 +14,8 @@ in buildPythonPackage rec { # Error for Python3.6: ImportError: cannot import name 'ann_module' # See https://github.com/python/typing/pull/280 - doCheck = pythonOlder "3.6"; + # Also, don't bother on PyPy: AssertionError: TypeError not raised + doCheck = pythonOlder "3.6" && !isPyPy; checkPhase = '' cd ${testDir} diff --git a/nixpkgs/pkgs/development/python-modules/webtest/default.nix b/nixpkgs/pkgs/development/python-modules/webtest/default.nix index 759b2a755546..d8482872bc34 100644 --- a/nixpkgs/pkgs/development/python-modules/webtest/default.nix +++ b/nixpkgs/pkgs/development/python-modules/webtest/default.nix @@ -32,7 +32,7 @@ buildPythonPackage rec { meta = with stdenv.lib; { description = "Helper to test WSGI applications"; - homepage = http://webtest.readthedocs.org/en/latest/; + homepage = https://webtest.readthedocs.org/en/latest/; license = licenses.mit; }; diff --git a/nixpkgs/pkgs/development/python-modules/werkzeug/default.nix b/nixpkgs/pkgs/development/python-modules/werkzeug/default.nix index 19945785eed9..d0397d61605f 100644 --- a/nixpkgs/pkgs/development/python-modules/werkzeug/default.nix +++ b/nixpkgs/pkgs/development/python-modules/werkzeug/default.nix @@ -14,6 +14,13 @@ buildPythonPackage rec { propagatedBuildInputs = [ itsdangerous ]; checkInputs = [ pytest requests hypothesis ]; + # Hi! New version of Werkzeug? Please double-check that this commit is + # inclucded, and then remove the following patch. + # https://github.com/pallets/werkzeug/commit/1cfdcf9824cb20e362979e8f7734012926492165 + patchPhase = '' + substituteInPlace "tests/test_serving.py" --replace "'python'" "sys.executable" + ''; + checkPhase = '' pytest ${stdenv.lib.optionalString stdenv.isDarwin "-k 'not test_get_machine_id'"} ''; diff --git a/nixpkgs/pkgs/development/python-modules/wptserve/default.nix b/nixpkgs/pkgs/development/python-modules/wptserve/default.nix index e2ad1254aa3b..12172c376c95 100644 --- a/nixpkgs/pkgs/development/python-modules/wptserve/default.nix +++ b/nixpkgs/pkgs/development/python-modules/wptserve/default.nix @@ -24,7 +24,7 @@ buildPythonPackage rec { meta = { description = "A webserver intended for web browser testing"; - homepage = http://wptserve.readthedocs.org/; + homepage = https://wptserve.readthedocs.org/; license = lib.licenses.bsd3; maintainers = with lib.maintainers; [ raskin ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/wsproto/default.nix b/nixpkgs/pkgs/development/python-modules/wsproto/default.nix index f9062c185841..ca9872bfbf09 100644 --- a/nixpkgs/pkgs/development/python-modules/wsproto/default.nix +++ b/nixpkgs/pkgs/development/python-modules/wsproto/default.nix @@ -1,12 +1,12 @@ -{ buildPythonPackage, fetchPypi, h11, enum34, pytest }: +{ lib, buildPythonPackage, fetchPypi, h11, enum34, pytest }: buildPythonPackage rec { pname = "wsproto"; - version = "0.13.0"; + version = "0.14.0"; src = fetchPypi { inherit pname version; - sha256 = "fd6020d825022247053400306448e161d8740bdd52e328e5553cd9eee089f705"; + sha256 = "1p24dmym6pfsqsyxps6m2cxfl36cmkri0kdy5y5q7s300j3xmhsm"; }; propagatedBuildInputs = [ h11 enum34 ]; @@ -17,4 +17,9 @@ buildPythonPackage rec { py.test ''; + meta = with lib; { + description = "Pure Python, pure state-machine WebSocket implementation"; + homepage = https://github.com/python-hyper/wsproto/; + license = licenses.mit; + }; } diff --git a/nixpkgs/pkgs/development/python-modules/xdot/default.nix b/nixpkgs/pkgs/development/python-modules/xdot/default.nix index 79f0fb13d70d..8ad249a4c1a4 100644 --- a/nixpkgs/pkgs/development/python-modules/xdot/default.nix +++ b/nixpkgs/pkgs/development/python-modules/xdot/default.nix @@ -3,11 +3,11 @@ buildPythonPackage rec { pname = "xdot"; - version = "1.0"; + version = "1.1"; src = fetchPypi { inherit pname version; - sha256 = "18a2ri8vggaxy7im1x9hki34v519y5jy4n07zpqq5br9syb7h1ky"; + sha256 = "0cr4rh7dz4dfzyxrk5pzhm0d15gkrgkfp3i5lw178xy81pc56p71"; }; disabled = !isPy3k; diff --git a/nixpkgs/pkgs/development/python-modules/zfec/default.nix b/nixpkgs/pkgs/development/python-modules/zfec/default.nix index 0e18de7d5026..9193c2ddc081 100644 --- a/nixpkgs/pkgs/development/python-modules/zfec/default.nix +++ b/nixpkgs/pkgs/development/python-modules/zfec/default.nix @@ -3,13 +3,11 @@ , fetchPypi , setuptoolsDarcs , pyutil -, isPyPy }: buildPythonPackage rec { pname = "zfec"; version = "1.5.3"; - disabled = isPyPy; src = fetchPypi { inherit pname version; diff --git a/nixpkgs/pkgs/development/ruby-modules/bundix/default.nix b/nixpkgs/pkgs/development/ruby-modules/bundix/default.nix index 92f14114cf10..d6a8f3ddbc66 100644 --- a/nixpkgs/pkgs/development/ruby-modules/bundix/default.nix +++ b/nixpkgs/pkgs/development/ruby-modules/bundix/default.nix @@ -6,13 +6,13 @@ buildRubyGem rec { name = "${gemName}-${version}"; gemName = "bundix"; - version = "2.4.1"; + version = "2.4.2"; src = fetchFromGitHub { owner = "manveru"; repo = "bundix"; rev = version; - sha256 = "175qmv7dj7v50v71b78dzn5pb4a35ml6p15asks9q1rrlkz0n4gn"; + sha256 = "03jhj1dy0ljrymjnpi6mcxn36a29qxr835l1lc11879jjzvnr2ax"; }; buildInputs = [ ruby bundler ]; diff --git a/nixpkgs/pkgs/development/ruby-modules/bundler-app/default.nix b/nixpkgs/pkgs/development/ruby-modules/bundler-app/default.nix index d0ad56538b94..a269e7195644 100644 --- a/nixpkgs/pkgs/development/ruby-modules/bundler-app/default.nix +++ b/nixpkgs/pkgs/development/ruby-modules/bundler-app/default.nix @@ -12,8 +12,9 @@ { # use the name of the name in question; its version will be picked up from the gemset pname - # gemdir is the location of the Gemfile{,.lock} and gemset.nix; usually ./. -, gemdir + # Gemdir is the location of the Gemfile{,.lock} and gemset.nix; usually ./. + # This is required unless gemfile, lockfile, and gemset are all provided +, gemdir ? null # Exes is the list of executables provided by the gems in the Gemfile , exes ? [] # Scripts are ruby programs depend on gems in the Gemfile (e.g. scripts/rails) diff --git a/nixpkgs/pkgs/development/tools/analysis/evmdis/default.nix b/nixpkgs/pkgs/development/tools/analysis/evmdis/default.nix new file mode 100644 index 000000000000..77bfa548068c --- /dev/null +++ b/nixpkgs/pkgs/development/tools/analysis/evmdis/default.nix @@ -0,0 +1,21 @@ +{ stdenv, buildGoPackage, fetchFromGitHub }: + +buildGoPackage rec { + name = "evmdis-unstable-${version}"; + version = "2018-03-23"; + goPackagePath = "github.com/Arachnid/evmdis"; + + src = fetchFromGitHub { + owner = "Arachnid"; + repo = "evmdis"; + rev = "0d1406905c5fda6224651fa53260a21c907eb986"; + sha256 = "09y4j7ipgv8yd99g3xk3f079w8fqfj7kl1y7ry81ainysn0qlqrg"; + }; + + meta = with stdenv.lib; { + homepage = https://github.com/Arachnid/evmdis; + description = "Ethereum EVM disassembler"; + license = [ licenses.asl20 ]; + maintainers = with maintainers; [ asymmetric ]; + }; +} diff --git a/nixpkgs/pkgs/development/tools/analysis/pmd/default.nix b/nixpkgs/pkgs/development/tools/analysis/pmd/default.nix index c08106eea2ff..ab00573965e8 100644 --- a/nixpkgs/pkgs/development/tools/analysis/pmd/default.nix +++ b/nixpkgs/pkgs/development/tools/analysis/pmd/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { name = "pmd-${version}"; - version = "6.12.0"; + version = "6.13.0"; buildInputs = [ unzip ]; src = fetchurl { url = "mirror://sourceforge/pmd/pmd-bin-${version}.zip"; - sha256 = "1fayb62i6p21q1d6y7ixljf37r7n7vwjwa69q5z6wr4zspaj79f9"; + sha256 = "1g8ds38zwprjswm71y7l10l15rbh2s6ha9xpp20wjy823q9agbpq"; }; installPhase = '' diff --git a/nixpkgs/pkgs/development/tools/build-managers/conan/default.nix b/nixpkgs/pkgs/development/tools/build-managers/conan/default.nix index 8ae56834702b..ed7babf49332 100644 --- a/nixpkgs/pkgs/development/tools/build-managers/conan/default.nix +++ b/nixpkgs/pkgs/development/tools/build-managers/conan/default.nix @@ -37,6 +37,13 @@ let newPython = python3.override { sha256 = "c0abe3218b86533cca287e7057a37481883c07acef7814b70583406938214cc8"; }; }); + pyyaml = super.pyyaml.overridePythonAttrs (oldAttrs: rec { + version = "3.13"; + src = oldAttrs.src.override { + inherit version; + sha256 = "3ef3092145e9b70e3ddd2c7ad59bdd0252a94dfe3949721633e41344de00a6bf"; + }; + }); }; }; diff --git a/nixpkgs/pkgs/development/tools/build-managers/doit/default.nix b/nixpkgs/pkgs/development/tools/build-managers/doit/default.nix index c6ed406840d2..e2689f2c2652 100644 --- a/nixpkgs/pkgs/development/tools/build-managers/doit/default.nix +++ b/nixpkgs/pkgs/development/tools/build-managers/doit/default.nix @@ -15,7 +15,9 @@ in python3Packages.buildPythonApplication { buildInputs = with python3Packages; [ mock pytest ]; - propagatedBuildInputs = with python3Packages; [ cloudpickle pyinotify ]; + propagatedBuildInputs = with python3Packages; [ cloudpickle ] + ++ stdenv.lib.optional stdenv.isLinux pyinotify + ++ stdenv.lib.optional stdenv.isDarwin macfsevents; # Tests fail due to mysterious gdbm.open() resource temporarily # unavailable errors. diff --git a/nixpkgs/pkgs/development/tools/continuous-integration/gitlab-runner/default.nix b/nixpkgs/pkgs/development/tools/continuous-integration/gitlab-runner/default.nix index aa262fd54952..c75baf454b34 100644 --- a/nixpkgs/pkgs/development/tools/continuous-integration/gitlab-runner/default.nix +++ b/nixpkgs/pkgs/development/tools/continuous-integration/gitlab-runner/default.nix @@ -1,16 +1,16 @@ { lib, buildGoPackage, fetchFromGitLab, fetchurl }: let - version = "11.9.0"; + version = "11.9.2"; # Gitlab runner embeds some docker images these are prebuilt for arm and x86_64 docker_x86_64 = fetchurl { url = "https://gitlab-runner-downloads.s3.amazonaws.com/v${version}/helper-images/prebuilt-x86_64.tar.xz"; - sha256 = "1la4pkf8xp5h75dlvb6w7ijczrnci3bmbl77h3y4jicz555jjir3"; + sha256 = "10zmaywq1vzch4a6zdvnm9kgil9ankc9napix9s9fw45wc0lw01p"; }; docker_arm = fetchurl { url = "https://gitlab-runner-downloads.s3.amazonaws.com/v${version}/helper-images/prebuilt-arm.tar.xz"; - sha256 = "1axn34aqa17yk2c2vy73fb8ab3nc3021dzj0vk95qificlmj3par"; + sha256 = "0845ylhb3i3jmi5q6aaix4hw9zdb83v5fhvif0xvvi2m7irg06lf"; }; in buildGoPackage rec { @@ -29,7 +29,7 @@ buildGoPackage rec { owner = "gitlab-org"; repo = "gitlab-runner"; rev = "v${version}"; - sha256 = "1b4r83glx0n3l060k33s397dw5dpajlxb880yzwsb11hvc6cs39h"; + sha256 = "00k4myca2djd6h3i83vjndahm5q1rnlkq0p69dhl5jbldwy614ph"; }; patches = [ ./fix-shell-path.patch ]; diff --git a/nixpkgs/pkgs/development/tools/coursier/default.nix b/nixpkgs/pkgs/development/tools/coursier/default.nix index 4aa28be1326d..bd9dbbb71742 100644 --- a/nixpkgs/pkgs/development/tools/coursier/default.nix +++ b/nixpkgs/pkgs/development/tools/coursier/default.nix @@ -18,7 +18,7 @@ stdenv.mkDerivation rec { ''; meta = with stdenv.lib; { - homepage = http://get-coursier.io/; + homepage = https://get-coursier.io/; description = "A Scala library to fetch dependencies from Maven / Ivy repositories"; license = licenses.asl20; maintainers = with maintainers; [ adelbertc nequissimus ]; diff --git a/nixpkgs/pkgs/development/tools/database/timescaledb-parallel-copy/default.nix b/nixpkgs/pkgs/development/tools/database/timescaledb-parallel-copy/default.nix index 1c12a8bc81cd..3c9f9c54dd39 100644 --- a/nixpkgs/pkgs/development/tools/database/timescaledb-parallel-copy/default.nix +++ b/nixpkgs/pkgs/development/tools/database/timescaledb-parallel-copy/default.nix @@ -1,8 +1,8 @@ { stdenv, buildGoPackage, fetchFromGitHub }: buildGoPackage rec { - name = "timescaledb-parallel-copy-${version}"; - version = "2018-05-14"; + name = "timescaledb-parallel-copy"; + version = "0.2.0"; owner = "timescale"; repo = "timescaledb-parallel-copy"; @@ -12,8 +12,8 @@ buildGoPackage rec { src = fetchFromGitHub { inherit owner repo; - rev = "20d3e8f8219329f2f4b0a5aa985f280dd04d10bb"; - sha256 = "0waaccw991cnxaxjdxh9ksb94kiiyx1r7gif6pkd5k58js0kfvdn"; + rev = version; + sha256 = "1z9vf29vrxqs8imbisv681d02p4cfk3hlsrin6hhibxf1h0br9gd"; }; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/tools/database/timescaledb-parallel-copy/deps.nix b/nixpkgs/pkgs/development/tools/database/timescaledb-parallel-copy/deps.nix index c672611e09c1..ac145fcd34ed 100644 --- a/nixpkgs/pkgs/development/tools/database/timescaledb-parallel-copy/deps.nix +++ b/nixpkgs/pkgs/development/tools/database/timescaledb-parallel-copy/deps.nix @@ -1,12 +1,12 @@ -# This file was generated by https://github.com/kamilchm/go2nix v1.2.1 +# This file was generated by https://github.com/kamilchm/go2nix v1.3.0 [ { goPackagePath = "github.com/jmoiron/sqlx"; fetch = { type = "git"; url = "https://github.com/jmoiron/sqlx"; - rev = "0dae4fefe7c0e190f7b5a78dac28a1c82cc8d849"; - sha256 = "0r8fyj70n0v84byvagw8w8rzz532s94mjr72b9sx018j0b6xglmy"; + rev = "82935fac6c1a317907c8f43ed3f7f85ea844a78b"; + sha256 = "0cbscnss2ifc3qgmy97i0zbirrp4hix4jlcz853f4bg5n2zzgwh2"; }; } { @@ -14,8 +14,8 @@ fetch = { type = "git"; url = "https://github.com/lib/pq"; - rev = "90697d60dd844d5ef6ff15135d0203f65d2f53b8"; - sha256 = "0hb4bfsk8g5473yzbf3lzrb373xicakjznkf0v085xgimz991i9r"; + rev = "7aad666537ab32b76f0966145530335f1fed51fd"; + sha256 = "12qczn5afbf6203wnbpay1kazzh20jg5qyakrph3j1r13n91nc1r"; }; } ] diff --git a/nixpkgs/pkgs/development/tools/database/timescaledb-tune/default.nix b/nixpkgs/pkgs/development/tools/database/timescaledb-tune/default.nix new file mode 100644 index 000000000000..34a7ee4b66c8 --- /dev/null +++ b/nixpkgs/pkgs/development/tools/database/timescaledb-tune/default.nix @@ -0,0 +1,24 @@ +{ stdenv, buildGoPackage, fetchFromGitHub }: + +buildGoPackage rec { + name = "timescaledb-tune"; + version = "0.5.0"; + + goPackagePath = "github.com/timescale/timescaledb-tune"; + + goDeps = ./deps.nix; + + src = fetchFromGitHub { + owner = "timescale"; + repo = name; + rev = version; + sha256 = "1fs7ggpdik3qjvjmair1svni2sw9wz54716m2iwngv8x4s9b15nn"; + }; + + meta = with stdenv.lib; { + description = "A tool for tuning your TimescaleDB for better performance"; + homepage = https://github.com/timescale/timescaledb-tune; + license = licenses.asl20; + maintainers = with maintainers; [ marsam ]; + }; +} diff --git a/nixpkgs/pkgs/development/tools/database/timescaledb-tune/deps.nix b/nixpkgs/pkgs/development/tools/database/timescaledb-tune/deps.nix new file mode 100644 index 000000000000..d898770fd4ef --- /dev/null +++ b/nixpkgs/pkgs/development/tools/database/timescaledb-tune/deps.nix @@ -0,0 +1,21 @@ +# This file was generated by https://github.com/kamilchm/go2nix v1.3.0 +[ + { + goPackagePath = "github.com/fatih/color"; + fetch = { + type = "git"; + url = "https://github.com/fatih/color"; + rev = "3f9d52f7176a6927daacff70a3e8d1dc2025c53e"; + sha256 = "165ww24x6ba47ji4j14mp3f006ksnmi53ws9280pgd2zcw91nbn8"; + }; + } + { + goPackagePath = "github.com/pbnjay/memory"; + fetch = { + type = "git"; + url = "https://github.com/pbnjay/memory"; + rev = "974d429e7ae40c89e7dcd41cfcc22a0bfbe42510"; + sha256 = "0kazg5psdn90pqadrzma5chdwh0l2by9z31sspr47gx93fhjmkkq"; + }; + } +] diff --git a/nixpkgs/pkgs/development/tools/glslviewer/default.nix b/nixpkgs/pkgs/development/tools/glslviewer/default.nix index e8315e7b60c2..d85060add5eb 100644 --- a/nixpkgs/pkgs/development/tools/glslviewer/default.nix +++ b/nixpkgs/pkgs/development/tools/glslviewer/default.nix @@ -6,13 +6,13 @@ stdenv.mkDerivation rec { name = "glslviewer-${version}"; - version = "2018-01-31"; + version = "2019-04-22"; src = fetchFromGitHub { owner = "patriciogonzalezvivo"; repo = "glslViewer"; - rev = "cac40f6984dbeb638950744c9508aa88591fea6c"; - sha256 = "1bykpp68hdxjlxvi1xicyb6822mz69q0adz24faaac372pls4bk0"; + rev = "fa3e2ed4810927d189e480b704366cca22f281f3"; + sha256 = "1888jxi84f2nnc0kpzqrn2cada1z4zqyq8ss4ppb5y3wy7d87qjn"; }; nativeBuildInputs = [ pkgconfig ensureNewerSourcesForZipFilesHook ]; diff --git a/nixpkgs/pkgs/development/tools/go-langserver/default.nix b/nixpkgs/pkgs/development/tools/go-langserver/default.nix index 84efaf2a4b63..ec0e565eabd6 100644 --- a/nixpkgs/pkgs/development/tools/go-langserver/default.nix +++ b/nixpkgs/pkgs/development/tools/go-langserver/default.nix @@ -1,18 +1,17 @@ { stdenv, buildGoPackage, fetchFromGitHub }: buildGoPackage rec { - name = "go-langserver-${version}"; - version = "unstable-2018-03-05"; - rev = "5d7a5dd74738978d635f709669241f164c120ebd"; + pname = "go-langserver"; + version = "2.0.0"; goPackagePath = "github.com/sourcegraph/go-langserver"; subPackages = [ "." ]; src = fetchFromGitHub { - inherit rev; + rev = "v${version}"; owner = "sourcegraph"; repo = "go-langserver"; - sha256 = "0aih0akk3wk3332znkhr2bzxcc3parijq7n089mdahnf20k69xyz"; + sha256 = "1wv7xf81s3qi8xydxjkkp8vacdzrq8sbj04346fz73nsn85z0sgp"; }; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/tools/icestorm/default.nix b/nixpkgs/pkgs/development/tools/icestorm/default.nix index b70fcc6f3924..c287e40d823a 100644 --- a/nixpkgs/pkgs/development/tools/icestorm/default.nix +++ b/nixpkgs/pkgs/development/tools/icestorm/default.nix @@ -11,13 +11,13 @@ in stdenv.mkDerivation rec { name = "icestorm-${version}"; - version = "2019.03.11"; + version = "2019.04.16"; src = fetchFromGitHub { owner = "cliffordwolf"; repo = "icestorm"; - rev = "fa1c932452e8efe1dfcc6ff095e3f7130a7906b1"; - sha256 = "06rc1sfpdb2682dr1df3hk4m9padjnhjn0p35xq872kil276gnsk"; + rev = "d9ea2e15fccebbbce59409b0ae7a1481d78aab86"; + sha256 = "1qa37p7hm7c2ga26xcvsd8xkqrp4hm0w6yh7cvz2q988yjzal5ky"; }; nativeBuildInputs = [ pkgconfig ]; diff --git a/nixpkgs/pkgs/development/tools/java/cfr/default.nix b/nixpkgs/pkgs/development/tools/java/cfr/default.nix index 4eff5c3f4319..abe7b308e0b0 100644 --- a/nixpkgs/pkgs/development/tools/java/cfr/default.nix +++ b/nixpkgs/pkgs/development/tools/java/cfr/default.nix @@ -2,14 +2,14 @@ stdenv.mkDerivation rec { name = "cfr-${version}"; - version = "0.140"; + version = "0.143"; src = fetchurl { url = "http://www.benf.org/other/cfr/cfr_${version}.jar"; - sha256 = "0pgja3inhwikis80zwa58x5y51xg7pp1ji63n1xsab8ciyca52if"; + sha256 = "129ab79xavrk0bwkmpvl56f74hyl1phfgbgz86cbij8bpvhhljyz"; }; - buildInputs = [ makeWrapper ]; + nativeBuildInputs = [ makeWrapper ]; buildCommand = '' jar=$out/share/java/cfr_${version}.jar diff --git a/nixpkgs/pkgs/development/tools/kafkacat/default.nix b/nixpkgs/pkgs/development/tools/kafkacat/default.nix index 3a1c4225bffb..4a837bcef652 100644 --- a/nixpkgs/pkgs/development/tools/kafkacat/default.nix +++ b/nixpkgs/pkgs/development/tools/kafkacat/default.nix @@ -3,13 +3,13 @@ stdenv.mkDerivation rec { name = "kafkacat-${version}"; - version = "1.3.1"; + version = "1.4.0"; src = fetchFromGitHub { owner = "edenhill"; repo = "kafkacat"; rev = "${version}"; - sha256 = "1fgs04rclgfwri6vd9lj0mw545nmscav9p6kh7r28k5ap2g0gak5"; + sha256 = "0zs2nmf3ghm9iar7phc0ncqsb9nhipav94v6qmpxkfwxd2ljkpds"; }; nativeBuildInputs = [ pkgconfig ]; diff --git a/nixpkgs/pkgs/development/tools/kind/default.nix b/nixpkgs/pkgs/development/tools/kind/default.nix index 8cf08a93750b..f02105aede97 100644 --- a/nixpkgs/pkgs/development/tools/kind/default.nix +++ b/nixpkgs/pkgs/development/tools/kind/default.nix @@ -4,23 +4,26 @@ with stdenv.lib; buildGoPackage rec { name = "kind-${version}"; - version = "0.1.0"; + version = "0.2.1"; src = fetchFromGitHub { - rev = "${version}"; - owner = "kubernetes-sigs"; - repo = "kind"; - sha256 = "01ifmnv3jid4ls6qw9d6j9vldjbbnrwclzv8spnh6fnzb2wprln2"; + rev = "${version}"; + owner = "kubernetes-sigs"; + repo = "kind"; + sha256 = "14ddhml9rh7x4j315fb332206xbn1rzx3i0ngj3220vb6d5dv8if"; }; + # move dev tool package that confuses the go compiler + patchPhase = "rm -r hack"; + goPackagePath = "sigs.k8s.io/kind"; excludedPackages = "images/base/entrypoint"; meta = { description = "Kubernetes IN Docker - local clusters for testing Kubernetes"; - homepage = https://github.com/kubernetes-sigs/kind; + homepage = https://github.com/kubernetes-sigs/kind; maintainers = with maintainers; [ offline rawkode ]; - license = stdenv.lib.licenses.asl20; - platforms = platforms.unix; + license = stdenv.lib.licenses.asl20; + platforms = platforms.unix; }; } diff --git a/nixpkgs/pkgs/development/tools/misc/dialog/default.nix b/nixpkgs/pkgs/development/tools/misc/dialog/default.nix index f25ea78ecd8d..efb850d5adef 100644 --- a/nixpkgs/pkgs/development/tools/misc/dialog/default.nix +++ b/nixpkgs/pkgs/development/tools/misc/dialog/default.nix @@ -31,7 +31,7 @@ stdenv.mkDerivation rec { installTargets = "install${stdenv.lib.optionalString withLibrary "-full"}"; meta = { - homepage = http://invisible-island.net/dialog/dialog.html; + homepage = https://invisible-island.net/dialog/dialog.html; description = "Display dialog boxes from shell"; license = stdenv.lib.licenses.lgpl21Plus; maintainers = [ stdenv.lib.maintainers.spacefrogg ]; diff --git a/nixpkgs/pkgs/development/tools/modd/default.nix b/nixpkgs/pkgs/development/tools/modd/default.nix new file mode 100644 index 000000000000..47beb1a22418 --- /dev/null +++ b/nixpkgs/pkgs/development/tools/modd/default.nix @@ -0,0 +1,22 @@ +{ buildGoPackage, fetchFromGitHub, stdenv }: + +buildGoPackage rec { + pname = "modd"; + version = "0.8"; + src = fetchFromGitHub { + owner = "cortesi"; + repo = "modd"; + rev = "v${version}"; + sha256 = "1dmfpbpcvbx4sl4q1hwbfpalq1ml03w1cca7x40y18g570qk7aq5"; + }; + goPackagePath = "github.com/cortesi/modd"; + subPackages = [ "cmd/modd" ]; + goDeps = ./deps.nix; + meta = with stdenv.lib; { + description = "A flexible developer tool that runs processes and responds to filesystem changes"; + homepage = https://github.com/cortesi/modd; + license = licenses.mit; + maintainers = with maintainers; [ kierdavis ]; + platforms = platforms.all; + }; +} diff --git a/nixpkgs/pkgs/development/tools/modd/deps.nix b/nixpkgs/pkgs/development/tools/modd/deps.nix new file mode 100644 index 000000000000..42190e655778 --- /dev/null +++ b/nixpkgs/pkgs/development/tools/modd/deps.nix @@ -0,0 +1,138 @@ +# file generated from Gopkg.lock using dep2nix (https://github.com/nixcloud/dep2nix) +[ + { + goPackagePath = "github.com/alecthomas/template"; + fetch = { + type = "git"; + url = "https://github.com/alecthomas/template"; + rev = "a0175ee3bccc567396460bf5acd36800cb10c49c"; + sha256 = "0qjgvvh26vk1cyfq9fadyhfgdj36f1iapbmr5xp6zqipldz8ffxj"; + }; + } + { + goPackagePath = "github.com/alecthomas/units"; + fetch = { + type = "git"; + url = "https://github.com/alecthomas/units"; + rev = "2efee857e7cfd4f3d0138cc3cbb1b4966962b93a"; + sha256 = "1j65b91qb9sbrml9cpabfrcf07wmgzzghrl7809hjjhrmbzri5bl"; + }; + } + { + goPackagePath = "github.com/bmatcuk/doublestar"; + fetch = { + type = "git"; + url = "https://github.com/bmatcuk/doublestar"; + rev = "85a78806aa1b4707d1dbace9be592cf1ece91ab3"; + sha256 = "01fd5j142pgsj5gfba43646aa6vd09fzvjhhik2r30nj4lsyy3z8"; + }; + } + { + goPackagePath = "github.com/cortesi/moddwatch"; + fetch = { + type = "git"; + url = "https://github.com/cortesi/moddwatch"; + rev = "d27f53de245eb09b9e475d498cc01c91ba8e89c8"; + sha256 = "1ivxk6zxrc5rhd0p5kqi8jg58ql2mwdvrxvfzz8fkj1lxz975p9p"; + }; + } + { + goPackagePath = "github.com/cortesi/termlog"; + fetch = { + type = "git"; + url = "https://github.com/cortesi/termlog"; + rev = "87cefd5ac843f65364f70a1fd2477bb6437690e8"; + sha256 = "1mygv1bv6dkm5p1wsvzrsyq771k6apdcxlyfqdp5ay8vl75jxvmb"; + }; + } + { + goPackagePath = "github.com/fatih/color"; + fetch = { + type = "git"; + url = "https://github.com/fatih/color"; + rev = "3f9d52f7176a6927daacff70a3e8d1dc2025c53e"; + sha256 = "165ww24x6ba47ji4j14mp3f006ksnmi53ws9280pgd2zcw91nbn8"; + }; + } + { + goPackagePath = "github.com/mattn/go-colorable"; + fetch = { + type = "git"; + url = "https://github.com/mattn/go-colorable"; + rev = "167de6bfdfba052fa6b2d3664c8f5272e23c9072"; + sha256 = "1nwjmsppsjicr7anq8na6md7b1z84l9ppnlr045hhxjvbkqwalvx"; + }; + } + { + goPackagePath = "github.com/mattn/go-isatty"; + fetch = { + type = "git"; + url = "https://github.com/mattn/go-isatty"; + rev = "6ca4dbf54d38eea1a992b3c722a76a5d1c4cb25c"; + sha256 = "0zs92j2cqaw9j8qx1sdxpv3ap0rgbs0vrvi72m40mg8aa36gd39w"; + }; + } + { + goPackagePath = "github.com/rjeczalik/notify"; + fetch = { + type = "git"; + url = "https://github.com/rjeczalik/notify"; + rev = "629144ba06a1c6af28c1e42c228e3d42594ce081"; + sha256 = "0745w0mdr9xfr4rxw4pfr1sl8apc7wr7mvfykdl4wslq3mdj8a91"; + }; + } + { + goPackagePath = "golang.org/x/crypto"; + fetch = { + type = "git"; + url = "https://go.googlesource.com/crypto"; + rev = "505ab145d0a99da450461ae2c1a9f6cd10d1f447"; + sha256 = "1vbsvcvmjz6c00p5vf8ls533p52fx2y3gy6v4k5qrdlzl4wf0i5s"; + }; + } + { + goPackagePath = "golang.org/x/net"; + fetch = { + type = "git"; + url = "https://go.googlesource.com/net"; + rev = "927f97764cc334a6575f4b7a1584a147864d5723"; + sha256 = "0np7b766gb92vbm514yhdl7cjmqvn0dxdxskd84aas2ri1fkpgw5"; + }; + } + { + goPackagePath = "golang.org/x/sync"; + fetch = { + type = "git"; + url = "https://go.googlesource.com/sync"; + rev = "37e7f081c4d4c64e13b10787722085407fe5d15f"; + sha256 = "1bb0mw6ckb1k7z8v3iil2qlqwfj408fvvp8m1cik2b46p7snyjhm"; + }; + } + { + goPackagePath = "golang.org/x/sys"; + fetch = { + type = "git"; + url = "https://go.googlesource.com/sys"; + rev = "b4a75ba826a64a70990f11a225237acd6ef35c9f"; + sha256 = "0kzrd2wywkcq35iakbzplqyma4bvf2ng3mzi7917kxcbdq3fflrj"; + }; + } + { + goPackagePath = "gopkg.in/alecthomas/kingpin.v2"; + fetch = { + type = "git"; + url = "https://github.com/alecthomas/kingpin"; + rev = "947dcec5ba9c011838740e680966fd7087a71d0d"; + sha256 = "0mndnv3hdngr3bxp7yxfd47cas4prv98sqw534mx7vp38gd88n5r"; + }; + } + { + goPackagePath = "mvdan.cc/sh"; + fetch = { + type = "git"; + url = "https://github.com/mvdan/sh"; + rev = "8aeb0734cd0f08b7a473c9ac816be8687ca909cc"; + sha256 = "1mj8qfkyb6k490qjy3riq6pm440qajf5lc8m74x7xhq5059qkgxx"; + }; + } +] \ No newline at end of file diff --git a/nixpkgs/pkgs/development/tools/ocaml/opam/1.2.2.nix b/nixpkgs/pkgs/development/tools/ocaml/opam/1.2.2.nix index 7e84719ae47d..ddd8d4b9920b 100644 --- a/nixpkgs/pkgs/development/tools/ocaml/opam/1.2.2.nix +++ b/nixpkgs/pkgs/development/tools/ocaml/opam/1.2.2.nix @@ -27,15 +27,15 @@ let sha256 = "8dc4dae9b1a81bb3a42abb283df785ba3eb00ade29b13875821c69f03e00680e"; }; cmdliner = fetchurl { - url = "http://erratique.ch/software/cmdliner/releases/cmdliner-0.9.7.tbz"; + url = "https://erratique.ch/software/cmdliner/releases/cmdliner-0.9.7.tbz"; sha256 = "9c19893cffb5d3c3469ee0cce85e3eeeba17d309b33b9ace31aba06f68f0bf7a"; }; uutf = fetchurl { - url = "http://erratique.ch/software/uutf/releases/uutf-0.9.3.tbz"; + url = "https://erratique.ch/software/uutf/releases/uutf-0.9.3.tbz"; sha256 = "1f364f89b1179e5182a4d3ad8975f57389d45548735d19054845e06a27107877"; }; jsonm = fetchurl { - url = "http://erratique.ch/software/jsonm/releases/jsonm-0.9.1.tbz"; + url = "https://erratique.ch/software/jsonm/releases/jsonm-0.9.1.tbz"; sha256 = "3fd4dca045d82332da847e65e981d8b504883571d299a3f7e71447d46bc65f73"; }; opam = fetchurl { diff --git a/nixpkgs/pkgs/development/tools/ocaml/opam/default.nix b/nixpkgs/pkgs/development/tools/ocaml/opam/default.nix index 1e1f7fade25a..f844b369eb32 100644 --- a/nixpkgs/pkgs/development/tools/ocaml/opam/default.nix +++ b/nixpkgs/pkgs/development/tools/ocaml/opam/default.nix @@ -7,7 +7,7 @@ assert lib.versionAtLeast ocaml.version "4.02.3"; let srcs = { cmdliner = fetchurl { - url = "http://erratique.ch/software/cmdliner/releases/cmdliner-1.0.2.tbz"; + url = "https://erratique.ch/software/cmdliner/releases/cmdliner-1.0.2.tbz"; sha256 = "18jqphjiifljlh9jg8zpl6310p3iwyaqphdkmf89acyaix0s4kj1"; }; cppo = fetchurl { diff --git a/nixpkgs/pkgs/development/tools/parsing/antlr/4.7.nix b/nixpkgs/pkgs/development/tools/parsing/antlr/4.7.nix index cee2033665d4..40f9bf74ac40 100644 --- a/nixpkgs/pkgs/development/tools/parsing/antlr/4.7.nix +++ b/nixpkgs/pkgs/development/tools/parsing/antlr/4.7.nix @@ -27,7 +27,7 @@ let meta = with stdenv.lib; { description = "C++ target for ANTLR 4"; - homepage = http://www.antlr.org/; + homepage = https://www.antlr.org/; license = licenses.bsd3; platforms = platforms.unix; }; diff --git a/nixpkgs/pkgs/development/tools/profiling/pyflame/default.nix b/nixpkgs/pkgs/development/tools/profiling/pyflame/default.nix index ede8499694d3..acb5d9d943cb 100644 --- a/nixpkgs/pkgs/development/tools/profiling/pyflame/default.nix +++ b/nixpkgs/pkgs/development/tools/profiling/pyflame/default.nix @@ -1,11 +1,12 @@ -{ stdenv, fetchFromGitHub, autoreconfHook, coreutils, pkgconfig +{ stdenv, autoreconfHook, coreutils, fetchFromGitHub, fetchpatch, pkgconfig # pyflame needs one python version per ABI # are currently supported # * 2.6 or 2.7 for 2.x ABI # * 3.4 or 3.5 for 3.{4,5} ABI -# * 3.6 for 3.6+ ABI -# if you want to disable support for some ABI, make the corresponding argument null -, python2, python35, python36, python3 +# * 3.6 for 3.6 ABI +# * 3.7 for 3.7+ ABI +# to disable support for an ABI, make the corresponding argument null +, python2, python35, python36, python37, python3 }: stdenv.mkDerivation rec { pname = "pyflame"; @@ -17,8 +18,57 @@ stdenv.mkDerivation rec { sha256 = "0hz1ryimh0w8zyxx4y8chcn54d6b02spflj5k9rcg26an2chkg2w"; }; + # Uber's abandoned this since Jun 2018, so we have to patch a lot. + # Yay. + patches = let + # "Add support for Python3.7 (#151)": + py37-support = [ # https://github.com/uber/pyflame/pull/153 + (fetchpatch { # "Add support for python3.7" + url = "https://github.com/uber/pyflame/commit/5ee674c4b09a29b82a0e2d7a4ce064fea3df1f4c.patch"; + sha256 = "19v0yl8frbsq1dkvcmr1zsxf9v75bs8hvlkiv2x8cwylndvz2g5n"; + }) + (fetchpatch { # "Add python3.7 to travis test matrix" + url = "https://github.com/uber/pyflame/commit/610b5281502ff6d57471e84071f17a33d30f3bcf.patch"; + sha256 = "13kwzrz0zwmdiirg061wvz7zvdl2w9dnrc81xbkxpm1hh8h0mi9z"; + }) + (fetchpatch { # "Update ppa and Ubuntu version" + url = "https://github.com/uber/pyflame/commit/ec82a43c90da64815a87d4e3fe2a12ec3c93dc38.patch"; + sha256 = "1rrcsj5095ns5iyk6ij9kylv8hsrflxjld7b4s5dbpk8jqkf3ndi"; + }) + (fetchpatch { # "Clang-Format" + url = "https://github.com/uber/pyflame/commit/fb81e40398d6209c38d49d0b6758d9581b3c2bba.patch"; + sha256 = "024namalrsai8ppl87lqsalfgd2fbqsnbkhpg8q93bvsdxldwc6r"; + }) + ]; + + # "Fix pyflame for code compiled with ld -z separate-code": + separate-code-support = [ # https://github.com/uber/pyflame/pull/170 + (fetchpatch { # "Fix for code compiled with ld -z separate-code" + url = "https://github.com/uber/pyflame/commit/739a77d9b9abf9599f633d49c9ec98a201bfe058.patch"; + sha256 = "03xhdysr5s73bw3a7nj2h45dylj9a4c1f1i3xqm1nngpd6arq4y6"; + }) + ]; + + # "Improve PtraceSeize error output" + full-ptrace-seize-errors = [ # https://github.com/uber/pyflame/pull/152 + (fetchpatch { # "Print whole error output from PtraceSeize" + url = "https://github.com/uber/pyflame/commit/4b0e2c1b442b0f0c6ac5f56471359cea9886aa0f.patch"; + sha256 = "0nkqs5zszf78cna0bavcdg18g7rdmn72li3091ygpkgxn77cnvis"; + }) + (fetchpatch { # "Print whole error for PtraceSeize" + url = "https://github.com/uber/pyflame/commit/1abb23abe4912c4a27553f0b3b5c934753f41f6d.patch"; + sha256 = "07razp9rlq3s92j8a3iak3qk2h4x4xwz4y915h52ivvnxayscj89"; + }) + ]; + in stdenv.lib.concatLists [ + py37-support + # Without this, tests will leak memory and run forever. + separate-code-support + full-ptrace-seize-errors + ]; + nativeBuildInputs = [ autoreconfHook pkgconfig ]; - buildInputs = [ python36 python2 python35 ]; + buildInputs = [ python37 python36 python2 python35 ]; postPatch = '' patchShebangs . @@ -32,29 +82,30 @@ stdenv.mkDerivation rec { ''; postInstall = '' - install -D utils/flame-chart-json $out/bin/flame-chart-json + install -D utils/flame-chart-json $out/bin/flame-chart-json ''; doCheck = true; # reproduces the logic of their test script, but without downloading pytest # from the internet with pip - checkPhase = with stdenv.lib; concatMapStringsSep "\n" (python: '' - set -x - PYMAJORVERSION=${head (strings.stringToCharacters python.version)} \ - PATH=${makeBinPath [ coreutils ]}\ - PYTHONPATH= \ - ${python.pkgs.pytest}/bin/pytest tests/ - set +x - '') (filter (x: x!=null) buildInputs); + checkPhase = let inherit (stdenv) lib; in + lib.concatMapStringsSep "\n" (python: '' + set -x + PYMAJORVERSION=${lib.substring 0 1 python.version} \ + PATH=${lib.makeBinPath [ coreutils ]}\ + PYTHONPATH= \ + ${python.pkgs.pytest}/bin/pytest tests/ + set +x + '') (lib.filter (x: x != null) buildInputs); meta = with stdenv.lib; { description = "A ptracing profiler for Python "; longDescription = '' - Pyflame is a high performance profiling tool that generates flame graphs for - Python. Pyflame uses the Linux ptrace(2) system call to collect profiling - information. It can take snapshots of the Python call stack without - explicit instrumentation, meaning you can profile a program without - modifying its source code. + Pyflame is a high performance profiling tool that generates flame graphs + for Python. Pyflame uses the Linux ptrace(2) system call to collect + profiling information. It can take snapshots of the Python call stack + without explicit instrumentation, meaning you can profile a program + without modifying its source code. ''; homepage = https://github.com/uber/pyflame; license = licenses.asl20; diff --git a/nixpkgs/pkgs/development/tools/remarshal/default.nix b/nixpkgs/pkgs/development/tools/remarshal/default.nix index 6632d0626339..10bfd0c2f2d0 100644 --- a/nixpkgs/pkgs/development/tools/remarshal/default.nix +++ b/nixpkgs/pkgs/development/tools/remarshal/default.nix @@ -2,13 +2,11 @@ python3Packages.buildPythonApplication rec { pname = "remarshal"; - version = "0.8.0"; + version = "0.9.1"; - src = fetchFromGitHub { - owner = "dbohdan"; - repo = "remarshal"; - rev = "v${version}"; - sha256 = "192r1mfd5yj6kg6fqmkjngdlgn25g5rkvm0p6xaflpvavnhvhnsj"; + src = python3Packages.fetchPypi { + inherit pname version; + sha256 = "564ffe9cbde85bd28a9c184b90c764abd2003abd6101a30802262198b5c7fc40"; }; propagatedBuildInputs = with python3Packages; [ diff --git a/nixpkgs/pkgs/development/tools/rust/cargo-bloat/default.nix b/nixpkgs/pkgs/development/tools/rust/cargo-bloat/default.nix new file mode 100644 index 000000000000..d3ded6e9f589 --- /dev/null +++ b/nixpkgs/pkgs/development/tools/rust/cargo-bloat/default.nix @@ -0,0 +1,24 @@ +{ stdenv, rustPlatform, fetchFromGitHub }: + +rustPlatform.buildRustPackage rec { + pname = "cargo-bloat"; + version = "0.6.2"; + + src = fetchFromGitHub { + owner = "RazrFalcon"; + repo = pname; + rev = "v${version}"; + sha256 = "0wf86r1s9skv0m4gp66g388847309nw9z1h8gadfg2c5w5idh3fb"; + }; + + cargoSha256 = "1mmfcvpwwi6fjb47fz1azrpdkg1x5p3qn5bx4p6dyjcs1fmpdbbq"; + + meta = with stdenv.lib; { + description = "A tool and Cargo subcommand that helps you find out what takes most of the space in your executable"; + homepage = https://github.com/RazrFalcon/cargo-bloat; + license = licenses.mit; + platforms = platforms.unix; + maintainers = with maintainers; [ xrelkd ]; + }; +} + diff --git a/nixpkgs/pkgs/development/tools/rust/cargo-expand/default.nix b/nixpkgs/pkgs/development/tools/rust/cargo-expand/default.nix index ab88aff0ea11..88fd212dad2e 100644 --- a/nixpkgs/pkgs/development/tools/rust/cargo-expand/default.nix +++ b/nixpkgs/pkgs/development/tools/rust/cargo-expand/default.nix @@ -2,19 +2,19 @@ rustPlatform.buildRustPackage rec { pname = "cargo-expand"; - version = "0.4.10"; + version = "0.4.11"; src = fetchFromGitHub { owner = "dtolnay"; repo = pname; rev = version; - sha256 = "1f90v67clmql2bb32sgs7c48q8nhyw2pfk4hpkiy8qll8fypjgik"; + sha256 = "051hy2320mqdxvafhafwnk1n8q2sq2d7jyhx5bbxvqmjjm55lg8h"; }; - cargoSha256 = "042s28p68jz3my2q1crmq7xzcajwxmcprgg9z7r9ffhrybk4jvwz"; + cargoSha256 = "0d1j01nrq5j0yrgd85lnvg1mzalcd8xadkza3yvwnqzf554idrcy"; meta = with stdenv.lib; { - description = ''A utility and Cargo subcommand designed to let people expand macros in their Rust source code''; + description = "A utility and Cargo subcommand designed to let people expand macros in their Rust source code"; homepage = https://github.com/dtolnay/cargo-expand; license = with licenses; [ mit asl20 ]; platforms = platforms.all; diff --git a/nixpkgs/pkgs/development/tools/rust/rustfmt/default.nix b/nixpkgs/pkgs/development/tools/rust/rustfmt/default.nix index dac00aa4c969..e1afeee34223 100644 --- a/nixpkgs/pkgs/development/tools/rust/rustfmt/default.nix +++ b/nixpkgs/pkgs/development/tools/rust/rustfmt/default.nix @@ -2,16 +2,16 @@ rustPlatform.buildRustPackage rec { name = "rustfmt-${version}"; - version = "1.0.1"; + version = "1.2.1"; src = fetchFromGitHub { owner = "rust-lang"; repo = "rustfmt"; - rev = "${version}"; - sha256 = "1l18ycbq3125sq8v3wgma630wd6kclarlf8f51cmi9blk322jg9p"; + rev = "v${version}"; + sha256 = "153pas7d5fchkmiw6mkbhn75lv3y69k85spzmm5i4lqnq7f0yqap"; }; - cargoSha256 = "1557783icdzlwn02c5zl4362yl85r5zj4nkjv80p6896yli9hk9h"; + cargoSha256 = "08x6vy5v2vgrk3gsw3qcvv52a7hifsgcsnsg1phlk1ikaff21y4z"; buildInputs = stdenv.lib.optional stdenv.isDarwin darwin.apple_sdk.frameworks.Security; @@ -29,6 +29,7 @@ rustPlatform.buildRustPackage rec { homepage = https://github.com/rust-lang-nursery/rustfmt; license = with licenses; [ mit asl20 ]; maintainers = [ maintainers.globin ]; + broken = stdenv.isDarwin; platforms = platforms.all; }; } diff --git a/nixpkgs/pkgs/development/tools/trellis/default.nix b/nixpkgs/pkgs/development/tools/trellis/default.nix index d8fc3770e347..a348e295933e 100644 --- a/nixpkgs/pkgs/development/tools/trellis/default.nix +++ b/nixpkgs/pkgs/development/tools/trellis/default.nix @@ -8,14 +8,14 @@ let in stdenv.mkDerivation rec { name = "trellis-${version}"; - version = "2019.04.02"; + version = "2019.04.22"; srcs = [ (fetchFromGitHub { owner = "symbiflow"; repo = "prjtrellis"; - rev = "7848ab8db85194cb822bc27af5b50a6fe2db55c6"; - sha256 = "1c9085idsnpw279ddshh58yv920vpcnymzznzj2n5z5vcnkbrr3v"; + rev = "5eb0ad870f30422b95d090ac9a476343809c62b9"; + sha256 = "10jkjfhqdr2bff41mh3w8a7kszf2whqqgk5s1z5z07mlh6zfdjlg"; name = "trellis"; }) (fetchFromGitHub { diff --git a/nixpkgs/pkgs/development/tools/yuicompressor/default.nix b/nixpkgs/pkgs/development/tools/yuicompressor/default.nix index 44edf443c110..c157ddd65a87 100644 --- a/nixpkgs/pkgs/development/tools/yuicompressor/default.nix +++ b/nixpkgs/pkgs/development/tools/yuicompressor/default.nix @@ -5,7 +5,7 @@ stdenv.mkDerivation rec { version = "2.4.8"; src = fetchurl { - url = "http://github.com/yui/yuicompressor/releases/download/v${version}/${name}.jar"; + url = "https://github.com/yui/yuicompressor/releases/download/v${version}/${name}.jar"; sha256 = "1qjxlak9hbl9zd3dl5ks0w4zx5z64wjsbk7ic73r1r45fasisdrh"; }; diff --git a/nixpkgs/pkgs/development/web/insomnia/default.nix b/nixpkgs/pkgs/development/web/insomnia/default.nix index fe47880f0cbe..e941f08c39ce 100644 --- a/nixpkgs/pkgs/development/web/insomnia/default.nix +++ b/nixpkgs/pkgs/development/web/insomnia/default.nix @@ -76,6 +76,7 @@ in stdenv.mkDerivation rec { mv $out/share/insomnia/*.so $out/lib/ ln -s $out/share/insomnia/insomnia $out/bin/insomnia + sed -i 's|\/opt\/Insomnia|'$out'/bin|g' $out/share/applications/insomnia.desktop ''; preFixup = '' diff --git a/nixpkgs/pkgs/development/web/remarkjs/node-packages.nix b/nixpkgs/pkgs/development/web/remarkjs/node-packages.nix index a650747eb065..a6869b97312c 100644 --- a/nixpkgs/pkgs/development/web/remarkjs/node-packages.nix +++ b/nixpkgs/pkgs/development/web/remarkjs/node-packages.nix @@ -4938,7 +4938,7 @@ in buildInputs = globalBuildInputs; meta = { description = "Portable Unix shell commands for Node.js"; - homepage = http://github.com/shelljs/shelljs; + homepage = https://github.com/shelljs/shelljs; license = "BSD-3-Clause"; }; production = true; diff --git a/nixpkgs/pkgs/games/brogue/default.nix b/nixpkgs/pkgs/games/brogue/default.nix index 4cfac27451b0..5040886eaa00 100644 --- a/nixpkgs/pkgs/games/brogue/default.nix +++ b/nixpkgs/pkgs/games/brogue/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchurl, SDL, ncurses, libtcod }: +{ stdenv, fetchurl, SDL, ncurses, libtcod, makeDesktopItem }: stdenv.mkDerivation rec { name = "brogue-${version}"; @@ -19,8 +19,21 @@ stdenv.mkDerivation rec { buildInputs = [ SDL ncurses libtcod ]; + desktopItem = makeDesktopItem { + name = "brogue"; + desktopName = "Brogue"; + genericName = "Roguelike"; + comment = "Brave the Dungeons of Doom!"; + icon = "brogue"; + exec = "brogue"; + categories = "Game;AdventureGame;"; + terminal = "false"; + }; + installPhase = '' install -m 555 -D bin/brogue $out/bin/brogue + install -m 444 -D ${desktopItem}/share/applications/brogue.desktop $out/share/applications/brogue.desktop + install -m 444 -D bin/brogue-icon.png $out/share/icons/hicolor/256x256/apps/brogue.png mkdir -p $out/share/brogue cp -r bin/fonts $out/share/brogue/ ''; diff --git a/nixpkgs/pkgs/games/factorio/default.nix b/nixpkgs/pkgs/games/factorio/default.nix index 2bf41a3cc321..c5c239fc04f3 100644 --- a/nixpkgs/pkgs/games/factorio/default.nix +++ b/nixpkgs/pkgs/games/factorio/default.nix @@ -53,11 +53,11 @@ let x86_64-linux = let bdist = bdistForArch { inUrl = "linux64"; inTar = "x64"; }; in { alpha = { stable = bdist { sha256 = "0b4hbpdcrh5hgip9q5dkmw22p66lcdhnr0kmb0w5dw6yi7fnxxh0"; version = "0.16.51"; withAuth = true; }; - experimental = bdist { sha256 = "11vwyyf3him2bi0c5cgv0h0k304kvw667ygqplq5giyzcvadjix8"; version = "0.17.16"; withAuth = true; }; + experimental = bdist { sha256 = "0xgvvmyh49992y2r8yhafi80j3j4pcsp7pf0fg3rbc6zi1ariwsr"; version = "0.17.32"; withAuth = true; }; }; headless = { stable = bdist { sha256 = "0zrnpg2js0ysvx9y50h3gajldk16mv02dvrwnkazh5kzr1d9zc3c"; version = "0.16.51"; }; - experimental = bdist { sha256 = "1sxv4kc5vg53lgjrm5c250hvbg6hqz0ijfxpvfjk7bzps5g6n1fx"; version = "0.17.16"; }; + experimental = bdist { sha256 = "1jfjbb0v7yiqpn7nxkr4fcd1rsz59s8k6qcl82d1j320l3y7nl9w"; version = "0.17.32"; }; }; demo = { stable = bdist { sha256 = "0zf61z8937yd8pyrjrqdjgd0rjl7snwrm3xw86vv7s7p835san6a"; version = "0.16.51"; }; diff --git a/nixpkgs/pkgs/games/freecell-solver/default.nix b/nixpkgs/pkgs/games/freecell-solver/default.nix index 3dc9857d4552..35bc22e87897 100644 --- a/nixpkgs/pkgs/games/freecell-solver/default.nix +++ b/nixpkgs/pkgs/games/freecell-solver/default.nix @@ -9,7 +9,7 @@ stdenv.mkDerivation rec{ version = "4.18.0"; src = fetchurl { - url = "http://fc-solve.shlomifish.org/downloads/fc-solve/${name}.tar.xz"; + url = "https://fc-solve.shlomifish.org/downloads/fc-solve/${name}.tar.xz"; sha256 = "1cmaib69pijmcpvgjvrdry8j4xys8l906l80b8z21vvyhdwrfdnn"; }; @@ -36,7 +36,7 @@ stdenv.mkDerivation rec{ Off, Forecell, and Seahaven Towers, as well as Simple Simon boards. ''; - homepage = http://fc-solve.shlomifish.org/; + homepage = https://fc-solve.shlomifish.org/; license = licenses.mit; maintainers = [ maintainers.AndersonTorres ]; platforms = platforms.unix; diff --git a/nixpkgs/pkgs/games/hawkthorne/default.nix b/nixpkgs/pkgs/games/hawkthorne/default.nix index 8a91af9cd652..470e1d090fcd 100644 --- a/nixpkgs/pkgs/games/hawkthorne/default.nix +++ b/nixpkgs/pkgs/games/hawkthorne/default.nix @@ -31,7 +31,7 @@ stdenv.mkDerivation rec { to bring to life the video game used to determine the winner of Pierce Hawthorne's inheritance. ''; - homepage = http://www.reddit.com/r/hawkthorne; + homepage = https://www.reddit.com/r/hawkthorne; license = stdenv.lib.licenses.mit; maintainers = with stdenv.lib.maintainers; [ campadrenalin ]; broken = true; diff --git a/nixpkgs/pkgs/games/hyperrogue/default.nix b/nixpkgs/pkgs/games/hyperrogue/default.nix index 8c32e164afa1..760d82c026d2 100644 --- a/nixpkgs/pkgs/games/hyperrogue/default.nix +++ b/nixpkgs/pkgs/games/hyperrogue/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchFromGitHub, SDL, SDL_ttf, SDL_gfx, SDL_mixer, autoreconfHook, - libpng, glew }: + libpng, glew, makeDesktopItem }: stdenv.mkDerivation rec { name = "hyperrogue-${version}"; @@ -16,6 +16,33 @@ stdenv.mkDerivation rec { buildInputs = [ autoreconfHook SDL SDL_ttf SDL_gfx SDL_mixer libpng glew ]; + desktopItem = makeDesktopItem { + name = "hyperrogue"; + desktopName = "HyperRogue"; + genericName = "HyperRogue"; + comment = meta.description; + icon = "hyperrogue"; + exec = "hyperrogue"; + categories = "Game;AdventureGame;"; + }; + + postInstall = '' + install -m 444 -D ${desktopItem}/share/applications/hyperrogue.desktop \ + $out/share/applications/hyperrogue.desktop + install -m 444 -D hyperroid/app/src/main/res/drawable-ldpi/icon.png \ + $out/share/icons/hicolor/36x36/apps/hyperrogue.png + install -m 444 -D hyperroid/app/src/main/res/drawable-mdpi/icon.png \ + $out/share/icons/hicolor/48x48/apps/hyperrogue.png + install -m 444 -D hyperroid/app/src/main/res/drawable-hdpi/icon.png \ + $out/share/icons/hicolor/72x72/apps/hyperrogue.png + install -m 444 -D hyperroid/app/src/main/res/drawable-xhdpi/icon.png \ + $out/share/icons/hicolor/96x96/apps/hyperrogue.png + install -m 444 -D hyperroid/app/src/main/res/drawable-xxhdpi/icon.png \ + $out/share/icons/hicolor/144x144/apps/hyperrogue.png + install -m 444 -D hyperroid/app/src/main/res/drawable-xxxhdpi/icon.png \ + $out/share/icons/hicolor/192x192/apps/hyperrogue.png + ''; + enableParallelBuilding = true; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/games/klavaro/default.nix b/nixpkgs/pkgs/games/klavaro/default.nix index 345965b476ed..aed61f40b177 100644 --- a/nixpkgs/pkgs/games/klavaro/default.nix +++ b/nixpkgs/pkgs/games/klavaro/default.nix @@ -2,15 +2,15 @@ stdenv.mkDerivation rec { name = "klavaro-${version}"; - version = "3.04"; + version = "3.05"; src = fetchurl { url = "mirror://sourceforge/klavaro/${name}.tar.bz2"; - sha256 = "148vznikdn424938fmizgd281ky7311q51mw2zfzrallj87dzbqf"; + sha256 = "046xc7is1jz5azkwrb7i9wdqkz8hdm68ga02g6whmi53130wd0py"; }; - nativeBuildInputs = [ pkgconfig ]; - buildInputs = [ makeWrapper intltool curl gtk3 ]; + nativeBuildInputs = [ intltool makeWrapper pkgconfig ]; + buildInputs = [ curl gtk3 ]; postInstall = '' wrapProgram $out/bin/klavaro \ diff --git a/nixpkgs/pkgs/games/openttd/default.nix b/nixpkgs/pkgs/games/openttd/default.nix index 80a66d31b802..2fd27d2f9d63 100644 --- a/nixpkgs/pkgs/games/openttd/default.nix +++ b/nixpkgs/pkgs/games/openttd/default.nix @@ -6,17 +6,17 @@ let opengfx = fetchzip { - url = "http://binaries.openttd.org/extra/opengfx/0.5.5/opengfx-0.5.5-all.zip"; + url = "https://binaries.openttd.org/extra/opengfx/0.5.5/opengfx-0.5.5-all.zip"; sha256 = "065l0g5nawcd6fkfbsfgviwgq9610y7gxzkpmd19i423d0lrq6d8"; }; opensfx = fetchzip { - url = "http://binaries.openttd.org/extra/opensfx/0.2.3/opensfx-0.2.3-all.zip"; + url = "https://binaries.openttd.org/extra/opensfx/0.2.3/opensfx-0.2.3-all.zip"; sha256 = "1bb167kszdd6dqbcdjrxxwab6b7y7jilhzi3qijdhprpm5gf1lp3"; }; openmsx = fetchzip { - url = "http://binaries.openttd.org/extra/openmsx/0.3.1/openmsx-0.3.1-all.zip"; + url = "https://binaries.openttd.org/extra/openmsx/0.3.1/openmsx-0.3.1-all.zip"; sha256 = "0qnmfzz0v8vxrrvxnm7szphrlrlvhkwn3y92b4iy0b4b6yam0yd4"; }; @@ -32,7 +32,7 @@ stdenv.mkDerivation rec { version = "1.9.1"; src = fetchurl { - url = "http://proxy.binaries.openttd.org/openttd-releases/${version}/${name}-source.tar.xz"; + url = "https://proxy.binaries.openttd.org/openttd-releases/${version}/${name}-source.tar.xz"; sha256 = "1r8i6yzgww7aw8iibqagahg1gqgw7305g07agy0dpszzvp0mi0gz"; }; @@ -86,7 +86,7 @@ stdenv.mkDerivation rec { - play cooperatively controlling the same business - observe as spectators ''; - homepage = http://www.openttd.org/; + homepage = https://www.openttd.org/; license = stdenv.lib.licenses.gpl2; platforms = stdenv.lib.platforms.linux; maintainers = with stdenv.lib.maintainers; [ jcumming the-kenny fpletz ]; diff --git a/nixpkgs/pkgs/games/pro-office-calculator/default.nix b/nixpkgs/pkgs/games/pro-office-calculator/default.nix index 0fcf5c60c2bc..7486bf0d9408 100644 --- a/nixpkgs/pkgs/games/pro-office-calculator/default.nix +++ b/nixpkgs/pkgs/games/pro-office-calculator/default.nix @@ -16,7 +16,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "Just an ordinary calculator. Nothing to see here..."; - homepage = http://proofficecalculator.com/; + homepage = https://proofficecalculator.com/; maintainers = [ maintainers.pmiddend ]; platforms = platforms.linux; license = licenses.gpl3; diff --git a/nixpkgs/pkgs/games/riko4/default.nix b/nixpkgs/pkgs/games/riko4/default.nix new file mode 100644 index 000000000000..7cbfacd0b409 --- /dev/null +++ b/nixpkgs/pkgs/games/riko4/default.nix @@ -0,0 +1,68 @@ +{ stdenv, fetchFromGitHub, cmake, SDL2, libGLU, luajit, curl, curlpp }: + +let + # Newer versions of sdl-gpu don't work with Riko4 (corrupted graphics), + # and this library does not have a proper release version, so let the + # derivation for this stay next to the Riko4 derivation for now. + sdl-gpu = stdenv.mkDerivation rec { + name = "sdl-gpu-${version}"; + version = "2018-11-01"; + src = fetchFromGitHub { + owner = "grimfang4"; + repo = "sdl-gpu"; + rev = "a4ff1ab02410f154b004c29ec46e07b22890fa1f"; + sha256 = "1wdwg331s7r4dhq1l8w4dvlqf4iywskpdrscgbwrz9j0c6nqqi3v"; + }; + buildInputs = [ SDL2 libGLU ]; + nativeBuildInputs = [ cmake ]; + enableParallelBuilding = true; + + meta = with stdenv.lib; { + homepage = https://github.com/grimfang4/sdl-gpu; + description = "A library for high-performance, modern 2D graphics with SDL written in C"; + license = licenses.mit; + maintainers = with maintainers; [ CrazedProgrammer ]; + }; + }; +in + +stdenv.mkDerivation rec { + name = "riko4-${version}"; + version = "0.1.0"; + src = fetchFromGitHub { + owner = "incinirate"; + repo = "Riko4"; + rev = "v${version}"; + sha256 = "008i9991sn616dji96jfwq6gszrspbx4x7cynxb1cjw66phyy5zp"; + }; + + buildInputs = [ SDL2 luajit sdl-gpu curl curlpp ]; + nativeBuildInputs = [ cmake ]; + + hardeningDisable = [ "fortify" ]; + cmakeFlags = [ "-DSDL2_gpu_INCLUDE_DIR=\"${sdl-gpu}/include\"" ]; + + # Riko4 needs the data/ and scripts/ directories to be in its PWD. + installPhase = '' + install -Dm0755 riko4 $out/bin/.riko4-unwrapped + mkdir -p $out/lib/riko4 + cp -r ../data $out/lib/riko4 + cp -r ../scripts $out/lib/riko4 + cat > $out/bin/riko4 <<EOF + #!/bin/sh + pushd $out/lib/riko4 > /dev/null + exec $out/bin/.riko4-unwrapped "\$@" + popd > /dev/null + EOF + chmod +x $out/bin/riko4 + ''; + + enableParallelBuilding = true; + + meta = with stdenv.lib; { + homepage = https://github.com/incinirate/Riko4; + description = "Fantasy console for pixel art game development"; + license = licenses.mit; + maintainers = with maintainers; [ CrazedProgrammer ]; + }; +} diff --git a/nixpkgs/pkgs/games/steam/runtime-generated.nix b/nixpkgs/pkgs/games/steam/runtime-generated.nix index d5532c051d42..76a472eb3b38 100644 --- a/nixpkgs/pkgs/games/steam/runtime-generated.nix +++ b/nixpkgs/pkgs/games/steam/runtime-generated.nix @@ -5,7 +5,7 @@ amd64 = [ rec { name = "dconf-gsettings-backend_0.12.0-0ubuntu1.1+steamrt1.1+srt1_amd64"; - sha256 = "0qbr4jfqyda0fi3giwizfv3ainsvld9fl4vd7sylpi490yw7yymh"; + sha256 = "b07a7fb80789c44bbd3e6d13ea52a35bdba8c6763ff2f8467440358f9d247961"; url = "mirror://steamrt/pool/main/d/d-conf/dconf-gsettings-backend_0.12.0-0ubuntu1.1+steamrt1.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -14,7 +14,7 @@ } rec { name = "freeglut3_2.6.0-1ubuntu3+srt5_amd64"; - sha256 = "08mpxxd12c2kwlab47nfz25vpn7ag2xkmxp2zn48ymxab7qhvr5r"; + sha256 = "b9e40df159aa578f88fde2f63abb78ead8bb8bf8ce1eb214e55330115aefb722"; url = "mirror://steamrt/pool/main/f/freeglut/freeglut3_2.6.0-1ubuntu3+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -23,7 +23,7 @@ } rec { name = "gcc-4.6-base_4.6.3-1ubuntu5+steamrt1.2+srt1_amd64"; - sha256 = "16dlf5zdy9njyaj9c9gdqcq73hd49lfm3ivwizs6i4jgfi44a2q0"; + sha256 = "000b4548744f9268f48f7cc7511d4da4c17130c3ed2596a4f2d226df7e71b499"; url = "mirror://steamrt/pool/main/g/gcc-4.6/gcc-4.6-base_4.6.3-1ubuntu5+steamrt1.2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -31,9 +31,9 @@ }; } rec { - name = "gcc-5-base_5.4.0-7.really.6+steamrt1.1+srt2_amd64"; - sha256 = "1vpdmgq0vbh7x4r6n1b5cxlz6yl2lfy5bwp89xph640kip1j1xax"; - url = "mirror://steamrt/pool/main/g/gcc-5/gcc-5-base_5.4.0-7.really.6+steamrt1.1+srt2_amd64.deb"; + name = "gcc-5-base_5.4.0-7.really.6+steamrt1.2+srt1_amd64"; + sha256 = "9e49b2fade9ab9f7befdfe96655c4fa259d323ed70e948f81b49c9abd1a194ab"; + url = "mirror://steamrt/pool/main/g/gcc-5/gcc-5-base_5.4.0-7.really.6+steamrt1.2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; name = "gcc-5-base.deb"; @@ -41,7 +41,7 @@ } rec { name = "gtk2-engines_2.20.2-1ubuntu1+srt5_amd64"; - sha256 = "1w2aaddcrfg37fw7bl2jcbx14bp7ar8lyaq25j9pi8298wvbikly"; + sha256 = "9eceb8364749a078932c022b4f5156e72e12fa6252d075b83be3b9cc5a534af0"; url = "mirror://steamrt/pool/main/g/gtk2-engines/gtk2-engines_2.20.2-1ubuntu1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -50,7 +50,7 @@ } rec { name = "gtk2-engines-murrine_0.98.2-0ubuntu1+srt5_amd64"; - sha256 = "0fqbm6502zqw64lbzywz0cllxkj9n44fxb2gg8q160vcqzyvb8jf"; + sha256 = "4ea2b5fdc76c0313307a4facee08b149ce4e29039ffbbf28311c7f018aa90b3b"; url = "mirror://steamrt/pool/main/g/gtk2-engines-murrine/gtk2-engines-murrine_0.98.2-0ubuntu1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -59,7 +59,7 @@ } rec { name = "gtk2-engines-pixbuf_2.24.10-0ubuntu6+steamrt1+srt5_amd64"; - sha256 = "05d43583lj3ffskvmzcr6yb8a3jz1l8gc12mrmaix8w71bv81nr1"; + sha256 = "21db80f60a87a31e55cd5504f6100d5f0e85963799fdbaa7766e483a5019a415"; url = "mirror://steamrt/pool/main/g/gtk+2.0/gtk2-engines-pixbuf_2.24.10-0ubuntu6+steamrt1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -68,7 +68,7 @@ } rec { name = "libacl1_2.2.51-5ubuntu1+steamrt1.1+srt2_amd64"; - sha256 = "0anp6yvp59ian96pkzp899sjxl7l86gp0q5lfmwdiv74asixj52j"; + sha256 = "5214d9a356e4ecd87875b460709f41f4d02e754ae8fe794db22aa672b737d72a"; url = "mirror://steamrt/pool/main/a/acl/libacl1_2.2.51-5ubuntu1+steamrt1.1+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -77,7 +77,7 @@ } rec { name = "libappindicator1_0.4.92-0ubuntu1.1+steamrt1+srt1_amd64"; - sha256 = "19s3s3fc4vcj5cg7fh40w35vjyhi7097vv83lwjzrzhz5jlgczmp"; + sha256 = "b77ef6a82c1ffefc25a703ed7d1238117ab9cbe08040771e2b926dc2dcd043a7"; url = "mirror://steamrt/pool/main/liba/libappindicator/libappindicator1_0.4.92-0ubuntu1.1+steamrt1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -86,7 +86,7 @@ } rec { name = "libasn1-8-heimdal_1.6~git20120311.dfsg.1-2+srt5_amd64"; - sha256 = "0mib9hy38mbiz40dr7x7c9c0liqswmnnykij7xpp7kbfqlvlshb8"; + sha256 = "68414d37c56ecd736f3f324e6f6de51a470a5862a79fdc00f97155343c4c2b56"; url = "mirror://steamrt/pool/main/h/heimdal/libasn1-8-heimdal_1.6~git20120311.dfsg.1-2+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -95,7 +95,7 @@ } rec { name = "libasound2_1.1.0-0ubuntu1+steamos1+srt2_amd64"; - sha256 = "05d4ijlc20ss4rm2jxr5lxkgwbn0qcv5a7pj9aizl66klpbzsgay"; + sha256 = "5e3dfdd7a5d318faa34af21e5536c3c02efe66a72577296a265a03c1a88ca415"; url = "mirror://steamrt/pool/main/a/alsa-lib/libasound2_1.1.0-0ubuntu1+steamos1+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -104,7 +104,7 @@ } rec { name = "libasound2-plugins_1.1.0-0ubuntu1+srt2_amd64"; - sha256 = "1dsa9z7ssf39c039a60axh36wgykyapv65gmlxnvxpkhqnw4zywm"; + sha256 = "95fb4fb8c570debe6da7f515b3aff2d33f6e06ec0a189506606938adcf4f4ab7"; url = "mirror://steamrt/pool/main/a/alsa-plugins/libasound2-plugins_1.1.0-0ubuntu1+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -113,7 +113,7 @@ } rec { name = "libasyncns0_0.8-4+srt5_amd64"; - sha256 = "00nglm7sryy3y1pagjhv1mq6rvqiawmhf3kgwv0g7bqwgxcpia7p"; + sha256 = "f7a878597f1caff3c0e66f0e072b5711ef6c700d1bcaa76ef0c3fbac4fa5cf02"; url = "mirror://steamrt/pool/main/liba/libasyncns/libasyncns0_0.8-4+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -122,7 +122,7 @@ } rec { name = "libatk1.0-0_2.18.0-1+steamrt1+srt1_amd64"; - sha256 = "1rs9k9pcxmpwlwbxgalsqhiv7baqylaxpkhy4524a4b8dw1qx0k4"; + sha256 = "64828e036f68114544211ecedb15f558adb323c49aaad717a7fcd6ce6e9a49e7"; url = "mirror://steamrt/pool/main/a/atk1.0/libatk1.0-0_2.18.0-1+steamrt1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -131,7 +131,7 @@ } rec { name = "libattr1_2.4.46-5ubuntu1+steamrt1.1+srt1_amd64"; - sha256 = "1d19qccdzn82iqql513xgg0yb9w9frbq7v24v2i17140c0w0jhvn"; + sha256 = "7643093860808413a2d844ec83577689a7e5c17b7d8442318e02d9df18c329b4"; url = "mirror://steamrt/pool/main/a/attr/libattr1_2.4.46-5ubuntu1+steamrt1.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -140,7 +140,7 @@ } rec { name = "libavahi-client3_0.6.30-5ubuntu2.2+srt1_amd64"; - sha256 = "04rkaiapl13rxlr2bwxz2q7z6i0091nm1c49kjnl1yckg64d7548"; + sha256 = "8894d3887993f940ad9c89b0506d480044f30f16bff32532ed79047a55543313"; url = "mirror://steamrt/pool/main/a/avahi/libavahi-client3_0.6.30-5ubuntu2.2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -149,7 +149,7 @@ } rec { name = "libavahi-common3_0.6.30-5ubuntu2.2+srt1_amd64"; - sha256 = "1a2x57s3072na65gr7ys0wl5cm2f1j2m5kkhlhq4i8ms6hxssgrk"; + sha256 = "333fad3b34baa24830a470ce52850c4e54562807da9ffc8a51561c30f4295da8"; url = "mirror://steamrt/pool/main/a/avahi/libavahi-common3_0.6.30-5ubuntu2.2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -158,7 +158,7 @@ } rec { name = "libavcodec53_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_amd64"; - sha256 = "0wpmnsnq5njv65iwq2g6819m2j5fda7jm8450qs3lmyc963ds0i2"; + sha256 = "2202dd8649cc573a340685a02a8f6aae48515340e609cc63315bda82adb6f572"; url = "mirror://steamrt/pool/main/liba/libav/libavcodec53_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -167,7 +167,7 @@ } rec { name = "libavfilter2_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_amd64"; - sha256 = "1xpxmhhhgc7ap7f2ijlsgx9p58qqyvk2lgfn61dhlibq046px816"; + sha256 = "26a07e0d0178450a5b30d63d2ae6f618a372537f9aca28dcb9eab00721acfdf6"; url = "mirror://steamrt/pool/main/liba/libav/libavfilter2_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -176,7 +176,7 @@ } rec { name = "libavformat53_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_amd64"; - sha256 = "0b8vx5lhsbynivm58zhxd0w6g7c7bqjvsr891wbqizbi325yja2b"; + sha256 = "4b28e98b1871fd88170f0965bd255e879d6738681d7e54ea8ed62f0d69e91b2d"; url = "mirror://steamrt/pool/main/liba/libav/libavformat53_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -185,7 +185,7 @@ } rec { name = "libavutil51_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_amd64"; - sha256 = "1g3bdnfs0rgwnzrnwpj5hamynj1z0qs8wmxlfd0iqkah74ymgaaa"; + sha256 = "4aa9573d39504d1c4173b4578e34063f48ebab82455e6ef3b7fc65a09d6d6bbc"; url = "mirror://steamrt/pool/main/liba/libav/libavutil51_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -194,7 +194,7 @@ } rec { name = "libbz2-1.0_1.0.6-1+srt5_amd64"; - sha256 = "046y04ynczpydlrablks51mx4jc1313k89hsi8x4sj2a355819nz"; + sha256 = "dfa6804a194a484d3a8a1a263447188149d26b287ad2a5326dfe7e663d01de10"; url = "mirror://steamrt/pool/main/b/bzip2/libbz2-1.0_1.0.6-1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -203,7 +203,7 @@ } rec { name = "libcairo2_1.10.2-6.1ubuntu3+srt5_amd64"; - sha256 = "1j82gzl9hfxsrk2s3nxx1dpq6jlmkz5y5mkvxw9d166v0mlvxkq4"; + sha256 = "04cfbe6905db98d012ef7bd6e2cb9f954a836f0bbddba1c5ccba3b98e87f02c9"; url = "mirror://steamrt/pool/main/c/cairo/libcairo2_1.10.2-6.1ubuntu3+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -212,7 +212,7 @@ } rec { name = "libcanberra-gtk-module_0.28-3ubuntu3+steamrt1.1+srt1_amd64"; - sha256 = "10mfqks3zw2cqn2kbcc0abswnx886bnrgaf51q5r919vwrm11vi6"; + sha256 = "26ee106ae63b85940b0ec5a997ed320875cbf55280b13585c54cf03ff4c4ae82"; url = "mirror://steamrt/pool/main/libc/libcanberra/libcanberra-gtk-module_0.28-3ubuntu3+steamrt1.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -221,7 +221,7 @@ } rec { name = "libcanberra-gtk0_0.28-3ubuntu3+steamrt1.1+srt1_amd64"; - sha256 = "1im7a6z47dwlwcvcafg3bmh055fjzrar6zl207hj9h8hkw3gdnxq"; + sha256 = "b8dbf6069f10c124e101827e9355fed29502605de339c536e394b743be51a7c6"; url = "mirror://steamrt/pool/main/libc/libcanberra/libcanberra-gtk0_0.28-3ubuntu3+steamrt1.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -230,7 +230,7 @@ } rec { name = "libcanberra0_0.28-3ubuntu3+steamrt1.1+srt1_amd64"; - sha256 = "1blld717yb6npjswczs06khm3b6vp4r0yzlwfxjp76gvmazgc3iq"; + sha256 = "380ef6beaafb997365779c7e0f32b9dbac51e134407fc6b5bcd62c7fc26994ae"; url = "mirror://steamrt/pool/main/libc/libcanberra/libcanberra0_0.28-3ubuntu3+steamrt1.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -239,7 +239,7 @@ } rec { name = "libcap2_2.22-1ubuntu3+srt5_amd64"; - sha256 = "0bsjpbv2p7ir6w7dpjvs8y25skha72406mhgjrff9dvdvc9mwwpy"; + sha256 = "fe725e13db6db7e45c960f560388380a4e5d84477acbdb0e37399e2bf6ba522f"; url = "mirror://steamrt/pool/main/libc/libcap2/libcap2_2.22-1ubuntu3+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -248,7 +248,7 @@ } rec { name = "libcg_3.0.0016-0ubuntu1+srt5_amd64"; - sha256 = "1d4lc4krkphvmlvmd4z60l6cg37gd62x9m700s83jxbsz0fhwmrb"; + sha256 = "2b570e1df87a75399006e0d4d48569ef8cc70c05e6935637ad1bde99276194b4"; url = "mirror://steamrt/pool/main/n/nvidia-cg-toolkit/libcg_3.0.0016-0ubuntu1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -256,9 +256,9 @@ }; } rec { - name = "libcomerr2_1.42-1ubuntu2.3+srt1_amd64"; - sha256 = "0ar9lzaxlmhqxpp6v2b3jz3lblkqhqwy0ywbwkdq4zs5za69kh1b"; - url = "mirror://steamrt/pool/main/e/e2fsprogs/libcomerr2_1.42-1ubuntu2.3+srt1_amd64.deb"; + name = "libcomerr2_1.42-1ubuntu2.3+steamrt1.1+srt1_amd64"; + sha256 = "326c086493ea51a5aad78d52994dcae849124d5ed52cb89de5da8c6e848b766b"; + url = "mirror://steamrt/pool/main/e/e2fsprogs/libcomerr2_1.42-1ubuntu2.3+steamrt1.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; name = "libcomerr2.deb"; @@ -266,7 +266,7 @@ } rec { name = "libcups2_1.5.3-0ubuntu8.7+steamrt1.2+srt2_amd64"; - sha256 = "012fv3d528iz1xdrqlk1xckaslda6kq1sm64kwb5zzm9bzzgnl29"; + sha256 = "4950fbfe5fa9fe5f169fc4541df034aa51ad26eb61529c5b0f3f2251dad84e04"; url = "mirror://steamrt/pool/main/c/cups/libcups2_1.5.3-0ubuntu8.7+steamrt1.2+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -275,7 +275,7 @@ } rec { name = "libcurl3_7.22.0-3ubuntu4.17+steamrt1.1+srt4_amd64"; - sha256 = "1zjy6vqay9ssaxvq11gnf9kr8y94idbx7abcrr4nbx7xkdijz0zi"; + sha256 = "f1832f639bfdf46549ce6ca9d3578b2479946772f6858077575a27aff0365efe"; url = "mirror://steamrt/pool/main/c/curl/libcurl3_7.22.0-3ubuntu4.17+steamrt1.1+srt4_amd64.deb"; source = fetchurl { inherit url sha256; @@ -284,7 +284,7 @@ } rec { name = "libcurl3-gnutls_7.22.0-3ubuntu4.17+steamrt1.1+srt4_amd64"; - sha256 = "1599nmpk68cn9awqfq0picwclap7fwfsm8gagz95y5a5nsmldii8"; + sha256 = "28c646abb645155fd27feaa1aa1d77e72aca388b176087b94a9621336fb52995"; url = "mirror://steamrt/pool/main/c/curl/libcurl3-gnutls_7.22.0-3ubuntu4.17+steamrt1.1+srt4_amd64.deb"; source = fetchurl { inherit url sha256; @@ -293,7 +293,7 @@ } rec { name = "libdbus-1-3_1.4.18-1ubuntu1.8+srt1_amd64"; - sha256 = "1m1q2q2s6b98x1zbk31hnd80dakfqg2g8yaj662vxrdrr85j6nza"; + sha256 = "ea5b230bcab9e5be85315279f4c4c36eaa0650b3308cb97ee8282da3051638d4"; url = "mirror://steamrt/pool/main/d/dbus/libdbus-1-3_1.4.18-1ubuntu1.8+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -302,7 +302,7 @@ } rec { name = "libdbus-glib-1-2_0.98-1ubuntu1.1+srt5_amd64"; - sha256 = "1f36vyq505hrfqmhizgplvvk17zhf1230wlrzibdwi04j275sahk"; + sha256 = "132a5d8e900444de56fc9972304470f09f30f7a6f7fd082b76191650b0df66b8"; url = "mirror://steamrt/pool/main/d/dbus-glib/libdbus-glib-1-2_0.98-1ubuntu1.1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -311,7 +311,7 @@ } rec { name = "libdbusmenu-glib4_0.6.2-0ubuntu0.1+srt5_amd64"; - sha256 = "064wwqbjrdvwkjpyi0k6vj7rrwkwcy49mrlsgrv3q4gckiqhjhbz"; + sha256 = "7f4109719cec113c767e9ae69a88677cf29c8fdc6682e8af9c7cb72c17e69c18"; url = "mirror://steamrt/pool/main/libd/libdbusmenu/libdbusmenu-glib4_0.6.2-0ubuntu0.1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -320,7 +320,7 @@ } rec { name = "libdbusmenu-gtk4_0.6.2-0ubuntu0.1+srt5_amd64"; - sha256 = "0vagal7jh6biarjf9sy219p2njb5k8ycv4v7glni8prli0c1zzxq"; + sha256 = "b8ff1f1888345f142d7d6793cd3c9a65492b6e0ac2ebe464567119280f554f6d"; url = "mirror://steamrt/pool/main/libd/libdbusmenu/libdbusmenu-gtk4_0.6.2-0ubuntu0.1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -329,7 +329,7 @@ } rec { name = "libexif12_0.6.20-2ubuntu0.1+srt5_amd64"; - sha256 = "15rk2yfvsy16azpcwl8xsxigmrdhl0pkg6dvyq2pknp08c72r5r7"; + sha256 = "27972c0e43e0da7905f6bb99372fa0b0e5fa62d71d51ceee572678bd9d173397"; url = "mirror://steamrt/pool/main/libe/libexif/libexif12_0.6.20-2ubuntu0.1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -338,7 +338,7 @@ } rec { name = "libexpat1_2.0.1-7.2ubuntu1.4+srt2_amd64"; - sha256 = "0pdn5f20pbmklnvsyl83x0rjafsjy8vxn4zd2nl7h0aabzng9vy2"; + sha256 = "c2eff4ec5f4a0178a815ed13db37f2523b2533e80351afb7a5b3ae0b842bb65d"; url = "mirror://steamrt/pool/main/e/expat/libexpat1_2.0.1-7.2ubuntu1.4+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -347,7 +347,7 @@ } rec { name = "libffi6_3.0.11~rc1-5+srt5_amd64"; - sha256 = "0i428a37s9lma09psy0d7vj2amnimwgx4izmmac4dlzyy6qpfn9s"; + sha256 = "3a5977b1f1fed34698aaf547d21fafd15625e43e0d787d135095267d86428244"; url = "mirror://steamrt/pool/main/libf/libffi/libffi6_3.0.11~rc1-5+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -356,7 +356,7 @@ } rec { name = "libflac8_1.2.1-6+steamrt1.1ubuntu0.1+srt1_amd64"; - sha256 = "13hkikwbxsm3nf91rc1xa98apwx9y4mr9h20cd0206gm43qfyvh2"; + sha256 = "026eeff020f51920406340c0942bf1a9f3ab50523db01c92b3a3eabef88c138e"; url = "mirror://steamrt/pool/main/f/flac/libflac8_1.2.1-6+steamrt1.1ubuntu0.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -365,7 +365,7 @@ } rec { name = "libfltk1.1_1.1.10-10+srt5_amd64"; - sha256 = "0zrx2mb5rl5hcyh6p0sglark6rh7s993sg26klizkswn2w53rv8j"; + sha256 = "12ed3c0a1796ebf9239d463c3d52d2076633b3a24f836ba067b0d05c56153d7f"; url = "mirror://steamrt/pool/main/f/fltk1.1/libfltk1.1_1.1.10-10+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -374,7 +374,7 @@ } rec { name = "libfontconfig1_2.8.0-3ubuntu9.2+steamrt1.1+srt1_amd64"; - sha256 = "1q3sk9ad8y1x6nbd86i417zp0j624m7b7yj6xp4gm96g8l443asj"; + sha256 = "52ab410845cfa4fac8ed46fab34e25c24870ff09241ad496353d78d4549a7ae0"; url = "mirror://steamrt/pool/main/f/fontconfig/libfontconfig1_2.8.0-3ubuntu9.2+steamrt1.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -383,7 +383,7 @@ } rec { name = "libfreetype6_2.4.8-1ubuntu2.6+srt1_amd64"; - sha256 = "01z4dwib9d7i7187yw1b0vji587hrj354cz6ll2iybrbg25w510w"; + sha256 = "1c84c28b782b2f1f05a5e6335286ccf0a012e5062b707f5038f1b4b4226fe407"; url = "mirror://steamrt/pool/main/f/freetype/libfreetype6_2.4.8-1ubuntu2.6+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -391,9 +391,9 @@ }; } rec { - name = "libgcc1_5.4.0-7.really.6+steamrt1.1+srt2_amd64"; - sha256 = "1r6nviva9jnkwyg28lxjcr142lda88f3r2312wgdfhry69szw2ld"; - url = "mirror://steamrt/pool/main/g/gcc-5/libgcc1_5.4.0-7.really.6+steamrt1.1+srt2_amd64.deb"; + name = "libgcc1_5.4.0-7.really.6+steamrt1.2+srt1_amd64"; + sha256 = "900c11735725034c857c79b59f35f53bf0eeec8d3e59faef01163dd3ab7af4b7"; + url = "mirror://steamrt/pool/main/g/gcc-5/libgcc1_5.4.0-7.really.6+steamrt1.2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; name = "libgcc1.deb"; @@ -401,7 +401,7 @@ } rec { name = "libgconf-2-4_3.2.5-0ubuntu2+steamrt1.1+srt1_amd64"; - sha256 = "1psyh5bcf3k28ziw0b2sxjyy2va6xahb0696rz0r6y9m924n0dn7"; + sha256 = "c736608948357993c1cf2619b0a0ea466de1bdec5a2cc0e347620ec756815edf"; url = "mirror://steamrt/pool/main/g/gconf/libgconf-2-4_3.2.5-0ubuntu2+steamrt1.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -410,7 +410,7 @@ } rec { name = "libgcrypt11_1.5.0-3ubuntu0.6+srt2_amd64"; - sha256 = "0p1vywfx5l9zhibr8c20by9w646przfjfaci5c6ifi7f4v5nn9hc"; + sha256 = "0c266bcb26ee44170d2b912927ddcfd710c3935f40309457843fd1d21df73b5c"; url = "mirror://steamrt/pool/main/libg/libgcrypt11/libgcrypt11_1.5.0-3ubuntu0.6+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -419,7 +419,7 @@ } rec { name = "libgdk-pixbuf2.0-0_2.26.1-1+steamrt3+srt5_amd64"; - sha256 = "1km2w0haybwal9zkhwsrx4i9yi2zkhbbfhh2xljhfw5m5g6s4mq5"; + sha256 = "0557a2cd2bb5700725ed0242b7169c5f449f22e95973387fa28a2faf20e0a2ce"; url = "mirror://steamrt/pool/main/g/gdk-pixbuf/libgdk-pixbuf2.0-0_2.26.1-1+steamrt3+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -427,8 +427,26 @@ }; } rec { + name = "libgl1-mesa-dri_8.0.4-0ubuntu0.7+srt5_amd64"; + sha256 = "fc7c92ec95943ea6f904fcc477821e99f8127786dbebe19f39d562414684f341"; + url = "mirror://steamrt/pool/main/m/mesa/libgl1-mesa-dri_8.0.4-0ubuntu0.7+srt5_amd64.deb"; + source = fetchurl { + inherit url sha256; + name = "libgl1-mesa-dri.deb"; + }; + } + rec { + name = "libgl1-mesa-glx_8.0.4-0ubuntu0.7+srt5_amd64"; + sha256 = "7257a5ef7a5e0bb0a70f435e17a50989e497dbe02bc0a15d9dd1747a2ae2cb39"; + url = "mirror://steamrt/pool/main/m/mesa/libgl1-mesa-glx_8.0.4-0ubuntu0.7+srt5_amd64.deb"; + source = fetchurl { + inherit url sha256; + name = "libgl1-mesa-glx.deb"; + }; + } + rec { name = "libglew1.10_1.10.0-3+srt6_amd64"; - sha256 = "19w95wfzzr909jgjg8w6fqff8x00izawqicw896fqbf44zmsc2l0"; + sha256 = "800aa6eb27c42dec4c429c45ccd58f0074e41c7686a3279f4c20e5ff1d2f89a7"; url = "mirror://steamrt/pool/main/g/glew/libglew1.10_1.10.0-3+srt6_amd64.deb"; source = fetchurl { inherit url sha256; @@ -437,7 +455,7 @@ } rec { name = "libglew1.6_1.6.0-4+steamrt1.1+srt1_amd64"; - sha256 = "0vh45a8dp2mqmkswam2rssawg0l0karbymqks3f3gj591d0d6fcv"; + sha256 = "9b39d3400ba9c837dcd01357bfb29a8082c795d65954c5f5acb88adb902a046e"; url = "mirror://steamrt/pool/main/g/glew1.6/libglew1.6_1.6.0-4+steamrt1.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -446,7 +464,7 @@ } rec { name = "libglib2.0-0_2.32.3-0ubuntu1+steamrt3+srt2_amd64"; - sha256 = "1zhb0cpyl3vq5hg63j7wgw6bmwrn8xnq1ybnbigw439c0nq4f333"; + sha256 = "630c47b0052c0dc25f5c76f9806d4736f3ba0c7ffcc8611e2c780fea2f030bfe"; url = "mirror://steamrt/pool/main/g/glib2.0/libglib2.0-0_2.32.3-0ubuntu1+steamrt3+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -455,7 +473,7 @@ } rec { name = "libglu1-mesa_8.0.4-0ubuntu0.7+srt5_amd64"; - sha256 = "02fywg8h5jlkrh1qiqd0hpjv4v1hxggm3vjhnfn5rdj3xxmbvr2y"; + sha256 = "5ee4bd6aef43b65cacb350ee51dfeb306cb2e585a0e18803cc93ca02d1e3de09"; url = "mirror://steamrt/pool/main/m/mesa/libglu1-mesa_8.0.4-0ubuntu0.7+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -464,7 +482,7 @@ } rec { name = "libgmp10_6.1.0+dfsg-2+srt1_amd64"; - sha256 = "1q0qgpldz25pzbnmhw1vxqd0azp9qai9arydmw66f6kwflx6s7as"; + sha256 = "5a1d6d3a757c1a670cafcd6795a2c2e97e051aee3b7058edfab788dfe87d18e0"; url = "mirror://steamrt/pool/main/g/gmp/libgmp10_6.1.0+dfsg-2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -473,7 +491,7 @@ } rec { name = "libgnutls26_2.12.14-5ubuntu3.14+srt1_amd64"; - sha256 = "19jl1ril1h2r66ilwi45j49g2ag9b4x5090ld0gqp4hbx6f67x9g"; + sha256 = "2ff5639ce90b928b1f681424503a59e929f1129185444ea33159c040630e54a6"; url = "mirror://steamrt/pool/main/g/gnutls26/libgnutls26_2.12.14-5ubuntu3.14+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -482,7 +500,7 @@ } rec { name = "libgnutls30_3.4.10-4ubuntu1.4+steamrt1.1+srt3_amd64"; - sha256 = "1a1m1h7x0acympf7lsdn9k30crff4gkg31n82fxsf5mwbg5rzsy4"; + sha256 = "c4eb9fcb5bbc16a7bb13c886f1e623ce6506c64cb6697adcad9e29d00f0c35a8"; url = "mirror://steamrt/pool/main/g/gnutls28/libgnutls30_3.4.10-4ubuntu1.4+steamrt1.1+srt3_amd64.deb"; source = fetchurl { inherit url sha256; @@ -490,9 +508,9 @@ }; } rec { - name = "libgomp1_5.4.0-7.really.6+steamrt1.1+srt2_amd64"; - sha256 = "1pcc8jj73bgs2ks0pkxhn3hc43yk0h97jsss6ckhmp3j5mg9szq7"; - url = "mirror://steamrt/pool/main/g/gcc-5/libgomp1_5.4.0-7.really.6+steamrt1.1+srt2_amd64.deb"; + name = "libgomp1_5.4.0-7.really.6+steamrt1.2+srt1_amd64"; + sha256 = "2ce27527cf3da680305e7f1488ccb85a18b270551e69839b4dcbec817e8dd511"; + url = "mirror://steamrt/pool/main/g/gcc-5/libgomp1_5.4.0-7.really.6+steamrt1.2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; name = "libgomp1.deb"; @@ -500,7 +518,7 @@ } rec { name = "libgpg-error0_1.10-2ubuntu1+srt5_amd64"; - sha256 = "1pgv5svrqvsyiakqqnbb1ph6sk5yd6lgk53k2isyyz44vdjch9py"; + sha256 = "fe26c864db847cef75147394f9a869be4c6de00d6b598ca78a5e6f9cb72efbdd"; url = "mirror://steamrt/pool/main/libg/libgpg-error/libgpg-error0_1.10-2ubuntu1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -509,7 +527,7 @@ } rec { name = "libgssapi-krb5-2_1.10+dfsg~beta1-2ubuntu0.7+srt2_amd64"; - sha256 = "1nv973s0h9vmnmslxyddd28p4yv8k47d685m8lxv1irc4skqxzzc"; + sha256 = "ecff8ea7262cc7b03b45b520d30e99687b729168adf94e75b5752708f43869db"; url = "mirror://steamrt/pool/main/k/krb5/libgssapi-krb5-2_1.10+dfsg~beta1-2ubuntu0.7+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -518,7 +536,7 @@ } rec { name = "libgssapi3-heimdal_1.6~git20120311.dfsg.1-2+srt5_amd64"; - sha256 = "02h7fqwwg7jpz8b86dbjhh067ah34x3ys9n5df1rksbzpvmqcbiy"; + sha256 = "3e2e86ebbe7fe999836bc526ed472703aa63008472358316fa579ec73976070a"; url = "mirror://steamrt/pool/main/h/heimdal/libgssapi3-heimdal_1.6~git20120311.dfsg.1-2+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -527,7 +545,7 @@ } rec { name = "libgstreamer-plugins-base0.10-0_0.10.36-1ubuntu0.2+srt1_amd64"; - sha256 = "186hxj0jvgbxki0v6fx499pp796kcwiz86gqfhkd94k434aaqz1f"; + sha256 = "2e7cac14196492d42674f819f42367d3a4736f4aa43bb3419c7dbd2d81ecd0a0"; url = "mirror://steamrt/pool/main/g/gst-plugins-base0.10/libgstreamer-plugins-base0.10-0_0.10.36-1ubuntu0.2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -536,7 +554,7 @@ } rec { name = "libgstreamer0.10-0_0.10.36-1ubuntu1+srt5_amd64"; - sha256 = "1ddfd661703jgd8xai2ysh2gdvf0pkbvg46iazlg5jqr17i1b1sx"; + sha256 = "5d8715e20919cbf2e857d190b7d7bcc0edf604d45e44d5517b7280138c69aeb5"; url = "mirror://steamrt/pool/main/g/gstreamer0.10/libgstreamer0.10-0_0.10.36-1ubuntu1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -545,7 +563,7 @@ } rec { name = "libgtk2.0-0_2.24.10-0ubuntu6+steamrt1+srt5_amd64"; - sha256 = "1bb7yf3ld99a9amdb6r8slw7g3xnj72za2nbpsrm6cwlw9nyxli0"; + sha256 = "20d2ee6de2943353b3becb0af5c591b68f7738d5289bd5aa4a2aa54687f367ad"; url = "mirror://steamrt/pool/main/g/gtk+2.0/libgtk2.0-0_2.24.10-0ubuntu6+steamrt1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -554,7 +572,7 @@ } rec { name = "libgtk2.0-common_2.24.10-0ubuntu6+steamrt1+srt5_all"; - sha256 = "0rw8iy16ij86abh444jk1xw78b5z81qjxm1292jin3sfhck4bd4x"; + sha256 = "9db44526834e0f1ba54822d42e7140bf2c74780f531242e05206c968828f8867"; url = "mirror://steamrt/pool/main/g/gtk+2.0/libgtk2.0-common_2.24.10-0ubuntu6+steamrt1+srt5_all.deb"; source = fetchurl { inherit url sha256; @@ -563,7 +581,7 @@ } rec { name = "libgudev-1.0-0_175-0ubuntu9.10+srt1_amd64"; - sha256 = "1i8k7y464zj3vb17kwim69kh57y9hnlx40xfs0hrfvjjlj3ih30w"; + sha256 = "1c0c1887a4526e9721d0ae03d2a985c99f02673235f279c2da437e62883f13c5"; url = "mirror://steamrt/pool/main/u/udev/libgudev-1.0-0_175-0ubuntu9.10+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -572,7 +590,7 @@ } rec { name = "libhcrypto4-heimdal_1.6~git20120311.dfsg.1-2+srt5_amd64"; - sha256 = "1ihzd3ihylrbrwxqx8sam6lwkhkk2c9ys7vxah2yvj43fl8piiz8"; + sha256 = "e8c778117583c8ed05547d1fed131373c2c9a9a94aa38e3bcf2b530fe3681fc6"; url = "mirror://steamrt/pool/main/h/heimdal/libhcrypto4-heimdal_1.6~git20120311.dfsg.1-2+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -581,7 +599,7 @@ } rec { name = "libheimbase1-heimdal_1.6~git20120311.dfsg.1-2+srt5_amd64"; - sha256 = "1z4h6zdh5h9yvq4dfizy8ndskny94c86m5b4g9lpypfpzj259kpi"; + sha256 = "f1ce5484fcd75d7f697a64956a1023c9dba99b45fe47d708de3ec102db3790fc"; url = "mirror://steamrt/pool/main/h/heimdal/libheimbase1-heimdal_1.6~git20120311.dfsg.1-2+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -590,7 +608,7 @@ } rec { name = "libheimntlm0-heimdal_1.6~git20120311.dfsg.1-2+srt5_amd64"; - sha256 = "13fgm887ycb1l5w1hxvlf906wr4k834drykg36ink6g9fi1smn79"; + sha256 = "e9d8aa4374e99969a3196ffadcc84093646e407274771878a161317f10aacf8d"; url = "mirror://steamrt/pool/main/h/heimdal/libheimntlm0-heimdal_1.6~git20120311.dfsg.1-2+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -599,7 +617,7 @@ } rec { name = "libhogweed4_3.2-1ubuntu0.16.04.1+srt1_amd64"; - sha256 = "1d9746bfwajafd28292q0fl6mwj51in9qg7mbd583y459jyb0ffd"; + sha256 = "cd39b0bc4c85f8814a5bf53c9c6c0c45f26aa80358248144734a2aee962127b5"; url = "mirror://steamrt/pool/main/n/nettle/libhogweed4_3.2-1ubuntu0.16.04.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -608,7 +626,7 @@ } rec { name = "libhx509-5-heimdal_1.6~git20120311.dfsg.1-2+srt5_amd64"; - sha256 = "0alagksf7h181r86iiddvb9sil9zwf7d8lhnikq2x1i1ncxnwbj9"; + sha256 = "492e6e3bb321862ef08c1652d48ee33fd1a8d3daadc568500e28c0e3f47c8a2a"; url = "mirror://steamrt/pool/main/h/heimdal/libhx509-5-heimdal_1.6~git20120311.dfsg.1-2+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -617,7 +635,7 @@ } rec { name = "libice6_1.0.7-2build1+srt5_amd64"; - sha256 = "0v1a4dbs6by8n3yi23xqs4qqvjjdhh1qqmacc2bybjd2qi8dps8y"; + sha256 = "1ee9db50c4a2c9e597604c558c03844dca8d31d1b80f11fdb0c82fa357232a6c"; url = "mirror://steamrt/pool/main/libi/libice/libice6_1.0.7-2build1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -626,7 +644,7 @@ } rec { name = "libidn11_1.32-3ubuntu1.2+steamrt1.1+srt1_amd64"; - sha256 = "1qlpfhwvx4m72541rlk934h72hczb0gwiyiav8sd4kcwwkcacqml"; + sha256 = "b462a6d8e49c4dd234da2afac81f589f4171201969d21c4811a792be397497e2"; url = "mirror://steamrt/pool/main/libi/libidn/libidn11_1.32-3ubuntu1.2+steamrt1.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -635,7 +653,7 @@ } rec { name = "libindicator7_0.5.0-0ubuntu1+steamrt1+srt1_amd64"; - sha256 = "0fcj1fmk70y8j1jcbr9dian2zrwf5m7yis4zpq8cc77838cdx0pg"; + sha256 = "ef82de181ae81cc610be9fe8e84f2d8ee72fac8a2de5c56490c88333ab0b9239"; url = "mirror://steamrt/pool/main/libi/libindicator/libindicator7_0.5.0-0ubuntu1+steamrt1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -644,7 +662,7 @@ } rec { name = "libjack-jackd2-0_1.9.8~dfsg.1-1ubuntu2+srt4_amd64"; - sha256 = "0qpipr1anfy4y6m1syznmarsh3ni6db9dc7a1w0n11zfhp8lpihg"; + sha256 = "0fc64bd185ee8760010feab0965633d10ea8b3aaf67b1daaf1c43bab42bef162"; url = "mirror://steamrt/pool/main/j/jackd2/libjack-jackd2-0_1.9.8~dfsg.1-1ubuntu2+srt4_amd64.deb"; source = fetchurl { inherit url sha256; @@ -653,7 +671,7 @@ } rec { name = "libjpeg-turbo8_1.1.90+svn733-0ubuntu4.3+srt5_amd64"; - sha256 = "10r393m5xxqjd6hpvlnfvnba081crhs88x1knhi3s6mhg2xhg35y"; + sha256 = "be8c07bb78b01a3d22b433748434cc2c20a096ddced27da16912f75eea482383"; url = "mirror://steamrt/pool/main/libj/libjpeg-turbo/libjpeg-turbo8_1.1.90+svn733-0ubuntu4.3+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -662,7 +680,7 @@ } rec { name = "libjpeg62_6b1-2ubuntu1.1+srt5_amd64"; - sha256 = "0wn9lgqc95gvck5k8qds9908j5ip3s3bz85a8l2bdprgg1q4rsrl"; + sha256 = "34eb4c70782fdfb60445aaa0bf861e371689404aba6134cb64fb95c4f0a3c972"; url = "mirror://steamrt/pool/main/libj/libjpeg6b/libjpeg62_6b1-2ubuntu1.1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -671,7 +689,7 @@ } rec { name = "libjson0_0.9-1ubuntu1.1+srt3_amd64"; - sha256 = "1pqncc63z9w3jg41xqklappyirajfrchdjp81bldrnmbpbj69xha"; + sha256 = "0af664e4baabdadce80ae8ca06597652e5e8ef5574e21ec89383a73f0c6316df"; url = "mirror://steamrt/pool/main/j/json-c/libjson0_0.9-1ubuntu1.1+srt3_amd64.deb"; source = fetchurl { inherit url sha256; @@ -680,7 +698,7 @@ } rec { name = "libk5crypto3_1.10+dfsg~beta1-2ubuntu0.7+srt2_amd64"; - sha256 = "18xfi5c04s3z9brj1rrmvl9s67apd4d6ax7vfwwjzwh7ygnkp8h8"; + sha256 = "08a23bedf307f22f3977fb74651a69571da313dd35e720f34a7f68025889aea3"; url = "mirror://steamrt/pool/main/k/krb5/libk5crypto3_1.10+dfsg~beta1-2ubuntu0.7+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -689,7 +707,7 @@ } rec { name = "libkeyutils1_1.5.2-2+srt5_amd64"; - sha256 = "14mv4r05r0fzkbl3r4jaa7wwmmaprv3q5w36pyf3xqc3iynxnj2p"; + sha256 = "5748dbad8f83e13e9cbf66f082c7ce57d5caf9514a923ce89adf815c4026bb92"; url = "mirror://steamrt/pool/main/k/keyutils/libkeyutils1_1.5.2-2+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -698,7 +716,7 @@ } rec { name = "libkrb5-26-heimdal_1.6~git20120311.dfsg.1-2+srt5_amd64"; - sha256 = "0xhz42f7lnsc69sfnj2w0917jwm82nbc9i56qq3s1g2sw7z6kb3q"; + sha256 = "78ac69fee15abca007c6a6c4c49615a8727942025c48eb74324c5b7a9c201f76"; url = "mirror://steamrt/pool/main/h/heimdal/libkrb5-26-heimdal_1.6~git20120311.dfsg.1-2+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -707,7 +725,7 @@ } rec { name = "libkrb5-3_1.10+dfsg~beta1-2ubuntu0.7+srt2_amd64"; - sha256 = "1k3d1775ran10y79s7n5lmdlqlr7kscvxmj1887bnn4yxbwlgy73"; + sha256 = "e3f847f9ea9e58bb0e4241d6be999e27534c5ba5c51e9d8e07c1aa5cce096dcc"; url = "mirror://steamrt/pool/main/k/krb5/libkrb5-3_1.10+dfsg~beta1-2ubuntu0.7+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -716,7 +734,7 @@ } rec { name = "libkrb5support0_1.10+dfsg~beta1-2ubuntu0.7+srt2_amd64"; - sha256 = "1xml3gfn253lydqaqk27209sr1x0vvrbbkmb63z5cyy4i1yfpvmr"; + sha256 = "b9eeeb7c88c47b56fe30abceb5f2dea087ac1310474cac70f3741461dd1bb4f6"; url = "mirror://steamrt/pool/main/k/krb5/libkrb5support0_1.10+dfsg~beta1-2ubuntu0.7+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -725,7 +743,7 @@ } rec { name = "liblcms2-2_2.2+git20110628-2ubuntu3.1+srt5_amd64"; - sha256 = "0wj24yi2ws12lfs0pi0bq9rbr1gyk2nv30rf46bav41mpn2fj9mg"; + sha256 = "af26e984bd3590ad96212e83b1ad98fe85bc72c20bc40bb4a322682ea2274272"; url = "mirror://steamrt/pool/main/l/lcms2/liblcms2-2_2.2+git20110628-2ubuntu3.1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -734,7 +752,7 @@ } rec { name = "libldap-2.4-2_2.4.28-1.1ubuntu4.6+steamrt1.2+srt3_amd64"; - sha256 = "02w5h7hbapwwsya468v6wcizm9nra5c9nbbf86666mlkgb6y6z20"; + sha256 = "407ce3cd7a9356638c416e2d9b5851d9a6fa23e366234394d79c5fb5e081850b"; url = "mirror://steamrt/pool/main/o/openldap/libldap-2.4-2_2.4.28-1.1ubuntu4.6+steamrt1.2+srt3_amd64.deb"; source = fetchurl { inherit url sha256; @@ -743,7 +761,7 @@ } rec { name = "libltdl7_2.4.2-1ubuntu1+srt5_amd64"; - sha256 = "0ly9p5xilsf0d214mrx96nc3gn1xm1b68dzizsimhqa3bfpckzbb"; + sha256 = "6bfdc9ae5b436158a3fef1376456a83dd8379835a9e74a8268c0691a7bb9c953"; url = "mirror://steamrt/pool/main/libt/libtool/libltdl7_2.4.2-1ubuntu1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -751,9 +769,9 @@ }; } rec { - name = "libmikmod2_3.1.12-2+srt5_amd64"; - sha256 = "1i6kwzy1dz0a78jm0vpa0hjqahkwnj8yab8aky2srsf07y8bz2r8"; - url = "mirror://steamrt/pool/main/libm/libmikmod/libmikmod2_3.1.12-2+srt5_amd64.deb"; + name = "libmikmod2_3.1.12-5+srt1_amd64"; + sha256 = "7535ce4399ceb6e8c54cdab7221805ea2e03ff5c2e994a215c57fff71b8fb04e"; + url = "mirror://steamrt/pool/main/libm/libmikmod/libmikmod2_3.1.12-5+srt1_amd64.deb"; source = fetchurl { inherit url sha256; name = "libmikmod2.deb"; @@ -761,7 +779,7 @@ } rec { name = "libmpg123-0_1.25.10-1+steamrt1+srt1_amd64"; - sha256 = "1szlchrl7vmclk80jpjj799in0fnx5263dqlh896qprl63zjnnx3"; + sha256 = "a35b2bff30345f6c128214b76144e9d6011b533a525e09d0a4acee433364f4eb"; url = "mirror://steamrt/pool/main/m/mpg123/libmpg123-0_1.25.10-1+steamrt1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -770,7 +788,7 @@ } rec { name = "libncurses5_5.9-4+srt5_amd64"; - sha256 = "1b4j17npqdhj6afcw7cj37k6dr2kmbgpjyv7c0viq82165bjacfp"; + sha256 = "d73125573141201c3760677b79dfaa53e466e619921dce9c3212367ced0992ac"; url = "mirror://steamrt/pool/main/n/ncurses/libncurses5_5.9-4+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -779,7 +797,7 @@ } rec { name = "libncursesw5_5.9-4+srt5_amd64"; - sha256 = "0gavz5rh8hz6ir3x09p57balxl8zprjsglgf2craq340qpgqw4s6"; + sha256 = "46138edfc5800cac3213eed1a765be1fd14ed53ae526d0478ee6430473f95b3d"; url = "mirror://steamrt/pool/main/n/ncurses/libncursesw5_5.9-4+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -788,7 +806,7 @@ } rec { name = "libnettle6_3.2-1ubuntu0.16.04.1+srt1_amd64"; - sha256 = "18f9ymqkmnnx2hxfms2ph7hajl0ghk8ywav5vaihaii47wfzkdww"; + sha256 = "9cb7f91d3f244605a3da652beed1840f50a9e08157e8ea3a14ddda3a71f5c9a1"; url = "mirror://steamrt/pool/main/n/nettle/libnettle6_3.2-1ubuntu0.16.04.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -797,7 +815,7 @@ } rec { name = "libnm-glib4_0.9.4.0-0ubuntu4.2+steamrt2+srt1_amd64"; - sha256 = "0dw0mfwia3z6icj01558xgdjmi7g6g4nig4vrjbmlz05f9l2rck3"; + sha256 = "63b22c6872057c5a97cc9bbc68c933efc42adbeba89400248be60f15b9ab8037"; url = "mirror://steamrt/pool/main/n/network-manager/libnm-glib4_0.9.4.0-0ubuntu4.2+steamrt2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -806,7 +824,7 @@ } rec { name = "libnm-util2_0.9.4.0-0ubuntu4.2+steamrt2+srt1_amd64"; - sha256 = "1r9qw0mx38zisj6lr9bk8yv9f3yf2l80y4rvgxq6k28ccz5sahvs"; + sha256 = "7a43a5cb670c8969707f3b130f1015ce0f97b64773a54c8dd4f1a3d12be038e5"; url = "mirror://steamrt/pool/main/n/network-manager/libnm-util2_0.9.4.0-0ubuntu4.2+steamrt2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -815,7 +833,7 @@ } rec { name = "libnotify4_0.7.5-1+srt5_amd64"; - sha256 = "15ny5m925wrn0m394gn88288zgdjf99m5jg9pxyfirhvjmpp8pmf"; + sha256 = "ae5e746f951be6e87cbfe9c9525372b2bd8f9040c83e92460536f322522dde96"; url = "mirror://steamrt/pool/main/libn/libnotify/libnotify4_0.7.5-1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -824,7 +842,7 @@ } rec { name = "libnspr4_4.12-0ubuntu0.12.04.1+srt2_amd64"; - sha256 = "11y0nj7pc94gs3ndxph50whs1yx8lys48c44vj1207m2mkw3xdq7"; + sha256 = "07b73ef8aca21e2082dc843044b4a7a8fba0210705dedeecd08f24768fb4c087"; url = "mirror://steamrt/pool/main/n/nspr/libnspr4_4.12-0ubuntu0.12.04.1+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -833,7 +851,7 @@ } rec { name = "libnss3_3.26.2-0ubuntu0.12.04.1+srt1_amd64"; - sha256 = "0a5mqk6fry96jgpn27fv66mzg7s7mn00jhiayh73q4ddlv52p1r6"; + sha256 = "26872bcaa6ad113c0ef42a420980ad479ff7ab31db1d61ef9326f9ecccc4b528"; url = "mirror://steamrt/pool/main/n/nss/libnss3_3.26.2-0ubuntu0.12.04.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -842,7 +860,7 @@ } rec { name = "libogg0_1.3.2-1+srt1_amd64"; - sha256 = "1x8nrq4gm3n996zqgdy8msbgpflpl2gaqsm68nbfv703gxbzfi5p"; + sha256 = "b744f7577f039ced9645a66aac9ea097bafb96aec8b787bf49c98efa08ce16f5"; url = "mirror://steamrt/pool/main/libo/libogg/libogg0_1.3.2-1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -851,7 +869,7 @@ } rec { name = "libopenal1_1.16.0-3+srt1_amd64"; - sha256 = "02dc0xfwh1jmhm0l4wig3ybs2yy48hdarnbfjv69m9aryjpbh6gj"; + sha256 = "f219b8aef459a59acc966ed9ac1a44c47ba1971f2f724241855506c85d07ac09"; url = "mirror://steamrt/pool/main/o/openal-soft/libopenal1_1.16.0-3+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -860,7 +878,7 @@ } rec { name = "libopus0_1.3-0+steamrt1.1+srt2_amd64"; - sha256 = "1hjff7lm9vjs3rkjmqwchnrli4bwpbx46jy4ngahiywcw3n94959"; + sha256 = "a92492ece08cfb08d5b3c44b43faba7c9148b3858ce32a671e5aee54e9714ec2"; url = "mirror://steamrt/pool/main/o/opus/libopus0_1.3-0+steamrt1.1+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -869,7 +887,7 @@ } rec { name = "libopusfile0_0.11-0+steamrt1.2+srt1_amd64"; - sha256 = "1q5n474br6k0l5xhr1b7bclrmdqpcd98dq4yd92rsybjnf9xiqgq"; + sha256 = "f8e1d893b372799d456a9ee086526317b79a295b67850c7ba1609abcc821b6e0"; url = "mirror://steamrt/pool/main/o/opusfile/libopusfile0_0.11-0+steamrt1.2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -878,7 +896,7 @@ } rec { name = "liborc-0.4-0_0.4.16-1ubuntu2+srt5_amd64"; - sha256 = "13s7ni24igdkvmcs3d13ncll36clfmm14ggjqd23dsgyzmip5n18"; + sha256 = "28d87263fdfee93644c3f23d126a7594994129b323b4a159ddb3bd4844b4478f"; url = "mirror://steamrt/pool/main/o/orc/liborc-0.4-0_0.4.16-1ubuntu2+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -887,7 +905,7 @@ } rec { name = "libp11-kit0_0.23.2-5~ubuntu16.04.1~steamrt1.1+srt1_amd64"; - sha256 = "1hm1lqphdp0vk1zyyyxz78k15lqw7w6vmv64pp27cwm8zkqmvj4q"; + sha256 = "98c85df1fca87276c4bdc4ecba0d3f1cd312263abf7bef7f981bdc062fa6a1c2"; url = "mirror://steamrt/pool/main/p/p11-kit/libp11-kit0_0.23.2-5~ubuntu16.04.1~steamrt1.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -896,7 +914,7 @@ } rec { name = "libpango1.0-0_1.30.0-0ubuntu3.1+steamrt1+srt5_amd64"; - sha256 = "0dcimf6vsiv51lkavwbw980fll3vpki86i3rqm8vr37iz59798zf"; + sha256 = "eea37452f9f18cbc51c5794483e2bc7b50ea004a7cf1ad260d6547bd8dab9135"; url = "mirror://steamrt/pool/main/p/pango1.0/libpango1.0-0_1.30.0-0ubuntu3.1+steamrt1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -905,7 +923,7 @@ } rec { name = "libpci3_3.1.8-2ubuntu6+srt1_amd64"; - sha256 = "167a5rgzyss746s07fkal7vy89i0m5syjm2vdwfiln3ncil4s86y"; + sha256 = "de204d686476581a1d6f5b54e975a92026e4f7a16aba03b421476bff5f2eea98"; url = "mirror://steamrt/pool/main/p/pciutils/libpci3_3.1.8-2ubuntu6+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -914,7 +932,7 @@ } rec { name = "libpcre3_8.12-4+steamrt1.1ubuntu0.2+srt1_amd64"; - sha256 = "0x3yzmxvlg2xyy2sm03vvr4c46r7ywbns7gqbshzgn656zqdid00"; + sha256 = "00b4d8f037c5d8f7a15ef81d6d17f7271bc248de7b80aa85f75d3cba7bfd7e74"; url = "mirror://steamrt/pool/main/p/pcre3/libpcre3_8.12-4+steamrt1.1ubuntu0.2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -923,7 +941,7 @@ } rec { name = "libpcrecpp0_8.12-4+steamrt1.1ubuntu0.2+srt1_amd64"; - sha256 = "1rlkj982w99x0mz008snkyqr1v13h165yj2z4jwdczpd1bsrra9h"; + sha256 = "30a99cf50aed7ed6b8245f485f4c8023ec90b19f5623007e053d252e509293e6"; url = "mirror://steamrt/pool/main/p/pcre3/libpcrecpp0_8.12-4+steamrt1.1ubuntu0.2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -932,7 +950,7 @@ } rec { name = "libpixman-1-0_0.30.2-1ubuntu0.0.0.0.3+srt2_amd64"; - sha256 = "15sa863fia26lclp821j0c747dv8a8igz5l86n5ff1m3dmlb5hp3"; + sha256 = "e3c2b2686da306e78a358896ff225268b7430e0332087429a346a8e886414a97"; url = "mirror://steamrt/pool/main/p/pixman/libpixman-1-0_0.30.2-1ubuntu0.0.0.0.3+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -941,7 +959,7 @@ } rec { name = "libpng12-0_1.2.46-3ubuntu4.2+srt2_amd64"; - sha256 = "0gnjsh72c9w1229fk5fx753lpmcqzizx7dizrdh3wk1qmivhddl1"; + sha256 = "81b60677ac384c3e60cb3fb6d37ffc98d54b4739dd95e992108127260ed4d23e"; url = "mirror://steamrt/pool/main/libp/libpng/libpng12-0_1.2.46-3ubuntu4.2+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -950,7 +968,7 @@ } rec { name = "libpulse0_1.1-0ubuntu15.2+steamrt1+srt5_amd64"; - sha256 = "17d3f6gwbdyn058bbd8f0hj4ywp8rmhy9wsf2pnfkh219r5b98h2"; + sha256 = "02a2b44a4e41c0e9ec154ef3e461cde8724f24040eb5b55001d6b7c59f71a39d"; url = "mirror://steamrt/pool/main/p/pulseaudio/libpulse0_1.1-0ubuntu15.2+steamrt1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -959,7 +977,7 @@ } rec { name = "libroken18-heimdal_1.6~git20120311.dfsg.1-2+srt5_amd64"; - sha256 = "16j666k8l85icbgy9ds9hdmcm60axsp8nxjc1n11jd64d9slb792"; + sha256 = "229d45756ac43419820d4c768baeee0a98ca6a8349b7e4df62b1208aa631469a"; url = "mirror://steamrt/pool/main/h/heimdal/libroken18-heimdal_1.6~git20120311.dfsg.1-2+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -968,7 +986,7 @@ } rec { name = "librtmp0_2.4~20110711.gitc28f1bab-1+steamrt1.1+srt3_amd64"; - sha256 = "05wm9ddpy2axf0a7vsrfc7prhaqf7npbcfrsy57f66aachpj778r"; + sha256 = "199d232f644a19e34ef13a3bb6ae3d0e2b98ef612eeb7d14705d097f5b4b9517"; url = "mirror://steamrt/pool/main/r/rtmpdump/librtmp0_2.4~20110711.gitc28f1bab-1+steamrt1.1+srt3_amd64.deb"; source = fetchurl { inherit url sha256; @@ -977,7 +995,7 @@ } rec { name = "libsamplerate0_0.1.8-4+srt5_amd64"; - sha256 = "1xr5k6zyfw8lc5s6sbg57d7v33045hg9l6a1rh5f46v99hi8k1rr"; + sha256 = "398789224c691be20acc41199a1e2c048cb14f3be52d6d74611471e7bf9925f7"; url = "mirror://steamrt/pool/main/libs/libsamplerate/libsamplerate0_0.1.8-4+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -986,7 +1004,7 @@ } rec { name = "libsasl2-2_2.1.25.dfsg1-3ubuntu0.1+srt5_amd64"; - sha256 = "1i7hh43fiadg1y35dmjm03s8mcbanv8jfnnjrcccabahsk8fpdj0"; + sha256 = "40b6ebd0d4502dc518cbd25a27d1b66ab18af40055d656860fafa9e80681f0c4"; url = "mirror://steamrt/pool/main/c/cyrus-sasl2/libsasl2-2_2.1.25.dfsg1-3ubuntu0.1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -995,7 +1013,7 @@ } rec { name = "libsdl-image1.2_1.2.10-3+srt5_amd64"; - sha256 = "180sia6zc48y2f3l1sywp8am0gqzc9swm711fq8b2kdd4rn3wicm"; + sha256 = "95453e6c26ad4db11076219cca75621f3f5015badceb4087131e11f68d8a1aa0"; url = "mirror://steamrt/pool/main/s/sdl-image1.2/libsdl-image1.2_1.2.10-3+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1004,7 +1022,7 @@ } rec { name = "libsdl-mixer1.2_1.2.11-7+steamrt1+srt5_amd64"; - sha256 = "0z3zxvsvlnvzsvprvy5q4y99irjkzm4ilv0znaic5x967k3zpjp0"; + sha256 = "e0cafbc73c26f5c2a2b21f6c1a49fd53e6989227b8f89defd67f5bbaf5ee7f7c"; url = "mirror://steamrt/pool/main/s/sdl-mixer1.2/libsdl-mixer1.2_1.2.11-7+steamrt1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1013,7 +1031,7 @@ } rec { name = "libsdl-ttf2.0-0_2.0.9-1.1ubuntu1+srt5_amd64"; - sha256 = "11ks71izg46k2pjnd5jdk03xkzz7jmxm5k3mkwq8b42grdiadd6a"; + sha256 = "cab4a662cb4f9085309f75cc527b95e7ffd907984d9666e515d390f763387a86"; url = "mirror://steamrt/pool/main/s/sdl-ttf2.0/libsdl-ttf2.0-0_2.0.9-1.1ubuntu1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1022,7 +1040,7 @@ } rec { name = "libsdl1.2debian_1.2.15-5+steamrt1+srt5_amd64"; - sha256 = "1i2072wzjmrz97pqxm9mfdqqvx6q6x6w8xqa5f4jxmhm9sb7x7wb"; + sha256 = "8b9f7e964e15d62e892b0a77c44d37d8f48d717335d58eef493f57f9b93840c4"; url = "mirror://steamrt/pool/main/libs/libsdl1.2/libsdl1.2debian_1.2.15-5+steamrt1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1031,7 +1049,7 @@ } rec { name = "libsdl2_2.0.9.~reimport-0+steamrt1.1+srt1_amd64"; - sha256 = "0iahnd0z5qpdgznq1w88m9b7ham3yr0rcidxm9459qpyan7ny2yc"; + sha256 = "cc0b6f8f55fee25448aabd459641f6a32a7856aa08f180ed7fede2f241b35045"; url = "mirror://steamrt/pool/main/libs/libsdl2/libsdl2_2.0.9.~reimport-0+steamrt1.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1040,7 +1058,7 @@ } rec { name = "libsdl2-image_2.0.4.~reimport-0+steamrt1.1+srt1_amd64"; - sha256 = "1xy9l0jzrxr0vz0kgys2g055vdzw3hxkj1xmmzd57bn8dq0yxwfx"; + sha256 = "ddf1ee016ec8ae53daafb507393b1cfcb75d0a7842fb37c1df20f7fc25a0c9f7"; url = "mirror://steamrt/pool/main/libs/libsdl2-image/libsdl2-image_2.0.4.~reimport-0+steamrt1.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1049,7 +1067,7 @@ } rec { name = "libsdl2-mixer_2.0.4.~reimport-0+steamrt1.1+srt1_amd64"; - sha256 = "06i3g17rqm729mpgkl24rlbkx4ya4mmqjlsndsznymabk5ly5pz5"; + sha256 = "e5dfe269994b556fbf6e5653896b25ca933e17cd44d0f96e4de2549c4f78231a"; url = "mirror://steamrt/pool/main/libs/libsdl2-mixer/libsdl2-mixer_2.0.4.~reimport-0+steamrt1.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1058,7 +1076,7 @@ } rec { name = "libsdl2-net_2.0.1+srt2_amd64"; - sha256 = "1850n1zv9cpbnv5n3nk5knk30pm0f4g8x2cx70kyxbprfkq7894v"; + sha256 = "9b2474f074f9aeee27389d898e1e71a05e30a69d65da61cbb6ebb2b47fb0a0a0"; url = "mirror://steamrt/pool/main/libs/libsdl2-net/libsdl2-net_2.0.1+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1067,7 +1085,7 @@ } rec { name = "libsdl2-ttf_2.0.14+srt2_amd64"; - sha256 = "1bwhm58zqh452szjjj3m3ny2samx19qw3x6q7f18h7164d5vvm4a"; + sha256 = "8ad4bd4b23261c88823bd8f4c1710abd2a2dbc1d754829bf168540fc51a990af"; url = "mirror://steamrt/pool/main/libs/libsdl2-ttf/libsdl2-ttf_2.0.14+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1076,7 +1094,7 @@ } rec { name = "libselinux1_2.1.0-4.1ubuntu1+srt5_amd64"; - sha256 = "001wfrryd549gh1qd4v8fz3m78vpjwdx1vh34cw0dgi3kxmjxp0q"; + sha256 = "18dc2e6b9f23be06382303eed01b9777a353c777689386037c8994e673763c00"; url = "mirror://steamrt/pool/main/libs/libselinux/libselinux1_2.1.0-4.1ubuntu1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1085,7 +1103,7 @@ } rec { name = "libsm6_1.2.0-2build1+srt5_amd64"; - sha256 = "0k0hyh6b36wvcn8ss24f7lqp2pb0wdvb5zh57y7zfxglgr9vj083"; + sha256 = "0301b9537ef475f78f3f05feb276e3605d71313d8e08ad91659b9bb10cf4104c"; url = "mirror://steamrt/pool/main/libs/libsm/libsm6_1.2.0-2build1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1094,7 +1112,7 @@ } rec { name = "libsndfile1_1.0.25-4+steamrt1.1ubuntu0.1+srt1_amd64"; - sha256 = "17sds50cyvpfrm6x8hddb0ir5xsch79k8gyghkzi59dav2j4j2f4"; + sha256 = "c40949a4d8aaa512ff84cf3f34d3814cf7922358ad41d44dcdee6ecf40d14d9f"; url = "mirror://steamrt/pool/main/libs/libsndfile/libsndfile1_1.0.25-4+steamrt1.1ubuntu0.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1103,7 +1121,7 @@ } rec { name = "libspeex1_1.2~rc1-3ubuntu2+srt5_amd64"; - sha256 = "0b0lrg7arrnszc1hzya04ch3j4lbcrpxqf5hxxz2wm5k5qb9f6rv"; + sha256 = "3b1b97162eb3542e7eefb038dc6f668b1239202340f90f03fbdae6accecb142c"; url = "mirror://steamrt/pool/main/s/speex/libspeex1_1.2~rc1-3ubuntu2+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1112,7 +1130,7 @@ } rec { name = "libspeexdsp1_1.2~rc1-3ubuntu2+srt5_amd64"; - sha256 = "1vks6i3ipggcd2b4ayhkpzj70rdwvlmgh20z7ac8hcnhfnsmvmbc"; + sha256 = "6cd55db575d03288983a1f08f82addbc6570e4bf137a459668ecbd1b47347aee"; url = "mirror://steamrt/pool/main/s/speex/libspeexdsp1_1.2~rc1-3ubuntu2+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1121,7 +1139,7 @@ } rec { name = "libsqlite3-0_3.7.9-2ubuntu1.2+srt2_amd64"; - sha256 = "0yavzpx56kf27pfjif6s86bg9wmr9078d7p34dpvbzp0aibjifs8"; + sha256 = "48bb285754e0feb56f23e39e860e48b9f2f49641dab828dd3dc24d53fafd5b79"; url = "mirror://steamrt/pool/main/s/sqlite3/libsqlite3-0_3.7.9-2ubuntu1.2+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1130,7 +1148,7 @@ } rec { name = "libssl1.0.0_1.0.1-4ubuntu5.39+steamrt1.1+srt1_amd64"; - sha256 = "1s82s5ylmsbbx567bdjv9dh53nzzwqskfpry3a61naw8nsbxg5kb"; + sha256 = "6b96d797b6882b1b8c1a3e5f3735e6ffdb51604b5bb6754ce96be94a7dd102e9"; url = "mirror://steamrt/pool/main/o/openssl/libssl1.0.0_1.0.1-4ubuntu5.39+steamrt1.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1138,9 +1156,9 @@ }; } rec { - name = "libstdc++6_5.4.0-7.really.6+steamrt1.1+srt2_amd64"; - sha256 = "09gn89qdjpwhh4yzydrpjbpwgbfhc0xmy56113an0rk9hs43ym1i"; - url = "mirror://steamrt/pool/main/g/gcc-5/libstdc++6_5.4.0-7.really.6+steamrt1.1+srt2_amd64.deb"; + name = "libstdc++6_5.4.0-7.really.6+steamrt1.2+srt1_amd64"; + sha256 = "11f083de451efdda7a41385edcc110d4155e85233bd3d873e2d773b1e3caf655"; + url = "mirror://steamrt/pool/main/g/gcc-5/libstdc++6_5.4.0-7.really.6+steamrt1.2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; name = "libstdc++6.deb"; @@ -1148,7 +1166,7 @@ } rec { name = "libstdc++6-4.6-pic_4.6.3-1ubuntu5+steamrt1.2+srt1_amd64"; - sha256 = "175qkx9blsjidsbg7dkp6anyhfdpv1njqs2hzhjnfnsdz0hsy5pp"; + sha256 = "f716af21f84d5b6725fc50682c6dd8b739e8ad3277b6f3966e516aba529fb89c"; url = "mirror://steamrt/pool/main/g/gcc-4.6/libstdc++6-4.6-pic_4.6.3-1ubuntu5+steamrt1.2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1157,7 +1175,7 @@ } rec { name = "libswscale2_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_amd64"; - sha256 = "1shni0sdcv1jqkfsqy5j3kkr73lifb3ip9lqz8cxx23dlvbllly9"; + sha256 = "c9534ad7a66d88de19fa98a61bc772918e93e71cb278acddc4326cd6348816ea"; url = "mirror://steamrt/pool/main/liba/libav/libswscale2_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1166,7 +1184,7 @@ } rec { name = "libtasn1-3_2.10-1ubuntu1.5+srt2_amd64"; - sha256 = "1sjklhs977mrvs7qci0hc3w6x3gjrq5c6lfxjma7bjg731xwdivp"; + sha256 = "77c7c67b18e7c9755495dd51c30acef28d6ef8601044868fdeb99e9334a453ea"; url = "mirror://steamrt/pool/main/libt/libtasn1-3/libtasn1-3_2.10-1ubuntu1.5+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1175,7 +1193,7 @@ } rec { name = "libtasn1-6_4.7-3ubuntu0.16.04.3~steamrt1.1+srt1_amd64"; - sha256 = "0s6rljgvgcawws574ka2kn944w64fwjan1lri1anszg0m274n5na"; + sha256 = "ca164b8ea8e07d6d55889906ab2477c47042929d424d728ae65cb1b79fa4d968"; url = "mirror://steamrt/pool/main/libt/libtasn1-6/libtasn1-6_4.7-3ubuntu0.16.04.3~steamrt1.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1184,7 +1202,7 @@ } rec { name = "libtbb2_4.0+r233-1+steamrt2+srt1_amd64"; - sha256 = "18rc6jhkpqnqvrw29zffahmjihrdrwmh4ydnx3433j6wc29m8p4v"; + sha256 = "9b5c549360dcc831c8e8b679022bcf2dc3282b54cefd2478ded8e23ba1342ca3"; url = "mirror://steamrt/pool/main/t/tbb/libtbb2_4.0+r233-1+steamrt2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1193,7 +1211,7 @@ } rec { name = "libtdb1_1.2.9-4+srt5_amd64"; - sha256 = "1wq2956jmq9zhd7gcpgvqq7d1rdjk954hgcx540zwgxs57brzkrw"; + sha256 = "3ccf9fd729ba3ffe01299d3d484a9ab2e5d00ec6fb5df64e833fe12a4d4902f3"; url = "mirror://steamrt/pool/main/t/tdb/libtdb1_1.2.9-4+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1202,7 +1220,7 @@ } rec { name = "libtheora0_1.1.1+dfsg.1-3ubuntu2+srt5_amd64"; - sha256 = "15b6sg3dhamlgaq28w3zlwif5aps0ybi0hc29k3vv2s48f6vqfi8"; + sha256 = "283abc8d43448bbdc74c8241109707faaae222a77f7024b07ab42ad8c6d36695"; url = "mirror://steamrt/pool/main/libt/libtheora/libtheora0_1.1.1+dfsg.1-3ubuntu2+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1211,7 +1229,7 @@ } rec { name = "libtiff4_3.9.5-2ubuntu1.9+srt2_amd64"; - sha256 = "038wcp777pj2y3scwkqfdnb6yjsag5dnqajrdiv7pxmvkgn3bc2l"; + sha256 = "54b035ec9bbbf67b766c592a6c5b794a4b6f966d0e4fcef4f042de73ce651c0d"; url = "mirror://steamrt/pool/main/t/tiff/libtiff4_3.9.5-2ubuntu1.9+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1220,7 +1238,7 @@ } rec { name = "libtinfo5_5.9-4+srt5_amd64"; - sha256 = "1w22aqm9zla5cfcvkridgzwnkhj3lyjqf61dl8ppnnbk8rhj07x4"; + sha256 = "a41f20614673597b2fa22d1887a5a743c269f97f2de6b9996345d19f2a5642f0"; url = "mirror://steamrt/pool/main/n/ncurses/libtinfo5_5.9-4+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1228,8 +1246,17 @@ }; } rec { + name = "libtxc-dxtn-s2tc0_0~git20131104-1.1+srt1_amd64"; + sha256 = "55b812f82eef7eed9cefb1e42e6f2c8da112f9fe909a4d8ecc7b90901c29b01f"; + url = "mirror://steamrt/pool/main/s/s2tc/libtxc-dxtn-s2tc0_0~git20131104-1.1+srt1_amd64.deb"; + source = fetchurl { + inherit url sha256; + name = "libtxc-dxtn-s2tc0.deb"; + }; + } + rec { name = "libudev0_175-0ubuntu9.10+srt1_amd64"; - sha256 = "146kdj719rkgj5v2g0lzs7qqb59a2xgplkqrrafpkssqangvfmq1"; + sha256 = "0157b79f5558eb799dca194f7a5f172a9585f1d19f822776916fe6148e6cd390"; url = "mirror://steamrt/pool/main/u/udev/libudev0_175-0ubuntu9.10+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1238,7 +1265,7 @@ } rec { name = "libusb-1.0-0_1.0.19-1+srt2_amd64"; - sha256 = "0vnsr4syd7alas356hv1radm5rb8vlh69h5ljlh24sqs923vgg28"; + sha256 = "48bcb787481a6b222095b4c06420dd68e5529bca6143538656549de635c9da6e"; url = "mirror://steamrt/pool/main/libu/libusb-1.0/libusb-1.0-0_1.0.19-1+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1247,7 +1274,7 @@ } rec { name = "libuuid1_2.20.1-1ubuntu3.1+steamrt1.2+srt1_amd64"; - sha256 = "17f8cqf20fm9yak1i3d25x4a7pznhsc6xj3949zk2pbn4jiz1q3h"; + sha256 = "70e0f0a324765d317f2269c86e9886f6dfa3482fa28d18a6f2a93a201c66c89d"; url = "mirror://steamrt/pool/main/u/util-linux/libuuid1_2.20.1-1ubuntu3.1+steamrt1.2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1256,7 +1283,7 @@ } rec { name = "libva-glx1_1.7.0-1+steamos1+srt1_amd64"; - sha256 = "15scnb989xybb4l8gspbjvs058kjfw0r7ajqak3gxrx7vgqb3i92"; + sha256 = "22c5b1f0dba7e7fec65458aa93017772a202f496ebea872859cbf784d2b24c97"; url = "mirror://steamrt/pool/main/libv/libva/libva-glx1_1.7.0-1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1265,7 +1292,7 @@ } rec { name = "libva-x11-1_1.7.0-1+steamos1+srt1_amd64"; - sha256 = "0f1hmz4218isrwx8823sm7v08dlvyjwphvbjqsgg9qgim0f13j8a"; + sha256 = "0ac9111ca8f1e1f49ec6726d78b9f49b3604f6a97a08843acf3aa220c8af3038"; url = "mirror://steamrt/pool/main/libv/libva/libva-x11-1_1.7.0-1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1274,7 +1301,7 @@ } rec { name = "libva1_1.7.0-1+steamos1+srt1_amd64"; - sha256 = "0p3548fnf47vj5kxzrqqpdxvip35xyydkxj7d5iiw9f26fz06drd"; + sha256 = "2d3703be33c2251e636947f6d9bcef65dcb87bbb18e7df6791fb10671d22655c"; url = "mirror://steamrt/pool/main/libv/libva/libva1_1.7.0-1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1283,7 +1310,7 @@ } rec { name = "libvdpau1_0.4.1-3ubuntu1.2+srt2_amd64"; - sha256 = "1f1h77y6mf7xs8biaz77kfimp98v9cpjrbfg0qyi4wafwn7jmnsr"; + sha256 = "59db2a8fe54e71123d06cfad2c2f4b1ba55ba39be77c1517d2fdb86afc3930b8"; url = "mirror://steamrt/pool/main/libv/libvdpau/libvdpau1_0.4.1-3ubuntu1.2+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1292,7 +1319,7 @@ } rec { name = "libvorbis0a_1.3.5-4.2+srt1_amd64"; - sha256 = "1dxl9k7x3vm9zagd03z73k6v86ybp94zilsdg29nsx86g77x87gz"; + sha256 = "ff1dd4cf7906756d93784dd3f849bacb1bb4cd1ce70fd09efaa9eed1cf4cb4b7"; url = "mirror://steamrt/pool/main/libv/libvorbis/libvorbis0a_1.3.5-4.2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1301,7 +1328,7 @@ } rec { name = "libvorbisenc2_1.3.5-4.2+srt1_amd64"; - sha256 = "1b49vbmc7b7113h555s4lrx8j4x2kn90x8g25744z13fbq3ac6qz"; + sha256 = "1f1ba6065e6e844fc829e2a10e929da213897aa6449752e008e1acc3eada89ac"; url = "mirror://steamrt/pool/main/libv/libvorbis/libvorbisenc2_1.3.5-4.2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1310,7 +1337,7 @@ } rec { name = "libvorbisfile3_1.3.5-4.2+srt1_amd64"; - sha256 = "1gws44n84b3rj5pmzc58b3bfmm38nrcij39krnx2x8d10627c3cv"; + sha256 = "9b0d768401a1a12ebacd330d1959b668d4ead658a8b05f6f91792c822c219abf"; url = "mirror://steamrt/pool/main/libv/libvorbis/libvorbisfile3_1.3.5-4.2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1319,7 +1346,7 @@ } rec { name = "libvpx1_1.0.0-2+srt1_amd64"; - sha256 = "02r8yklax0nd9z9s0c2iv1pdnwaq7h3ys46vf0wcwfc8n1p6jj9j"; + sha256 = "3249696eb08839ce3870db10ed073c5871db6ed85130a0d34fcd82aee8f4280b"; url = "mirror://steamrt/pool/main/libv/libvpx/libvpx1_1.0.0-2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1328,7 +1355,7 @@ } rec { name = "libvulkan1_1.1.73+dfsg-1+steamosc2+srt1_amd64"; - sha256 = "1f58xi83frcxpq62yfyqjhxzg2ali4hafv8zinial4ixh5nk2a07"; + sha256 = "0728316d813d12aaa28d1f6da720895489f73b94d83b2f0cbe9d653750eca8b8"; url = "mirror://steamrt/pool/main/v/vulkan/libvulkan1_1.1.73+dfsg-1+steamosc2+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1337,7 +1364,7 @@ } rec { name = "libwind0-heimdal_1.6~git20120311.dfsg.1-2+srt5_amd64"; - sha256 = "0wfs33djnx2k5clhwn6rq17pmqv7d2xyr5mihhylc4fs7q179pg0"; + sha256 = "e0dd74023eda11463d84b196ecbb6867e37a4fc0d9580e292b53742bdb18da71"; url = "mirror://steamrt/pool/main/h/heimdal/libwind0-heimdal_1.6~git20120311.dfsg.1-2+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1346,7 +1373,7 @@ } rec { name = "libwrap0_7.6.q-21+srt5_amd64"; - sha256 = "1rvgmwh2m633mmz78lccs97sr489bc2qv1vvwl1gf6rd01cmgd99"; + sha256 = "29b55759002d1bf702e57b878d055b0991ac4fd28c51747ead63982a20af6fe7"; url = "mirror://steamrt/pool/main/t/tcp-wrappers/libwrap0_7.6.q-21+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1355,7 +1382,7 @@ } rec { name = "libx11-6_1.6.3-1ubuntu2.1+srt1_amd64"; - sha256 = "0lf1k902i59f3v9jgjav9gzsiq7pnrfnlzmlmyxkcfwkd8pvkign"; + sha256 = "f6c5b92f6a933b36bbafb47e6a5db6f7e0a8ff4b5bc927d31e2e9528409ac151"; url = "mirror://steamrt/pool/main/libx/libx11/libx11-6_1.6.3-1ubuntu2.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1363,17 +1390,8 @@ }; } rec { - name = "libx11-data_1.6.3-1ubuntu2.1+srt1_all"; - sha256 = "1imsbk4mg6n1083gjjv6kssm5g842xlbg40vsc4dw97myxycpncw"; - url = "mirror://steamrt/pool/main/libx/libx11/libx11-data_1.6.3-1ubuntu2.1+srt1_all.deb"; - source = fetchurl { - inherit url sha256; - name = "libx11-data.deb"; - }; - } - rec { name = "libx11-xcb1_1.6.3-1ubuntu2.1+srt1_amd64"; - sha256 = "0l9zprciyrgn4aq91ddmmfhffvms8p9258vv109l62bar4mmg9sz"; + sha256 = "5fa7572bc96a094313087ba322d245ba6ee7a0abb5b590b022f6651f59be3f51"; url = "mirror://steamrt/pool/main/libx/libx11/libx11-xcb1_1.6.3-1ubuntu2.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1382,7 +1400,7 @@ } rec { name = "libxau6_1.0.6-4+srt5_amd64"; - sha256 = "1l4ij8c6gmv54yfv24dw9903zjczasd6z9lvzd07c5zj4rj7gx62"; + sha256 = "c2f4776426f2177640fb9ba66f9a569fc93f404abc11b19d2765d767189291d0"; url = "mirror://steamrt/pool/main/libx/libxau/libxau6_1.0.6-4+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1391,7 +1409,7 @@ } rec { name = "libxaw7_1.0.9-3ubuntu1+srt5_amd64"; - sha256 = "1a15brx602gg6jq708ymngyr3rcbapmk5620wdx094vdclc665g9"; + sha256 = "e9156318656d93047ae3409832eb558be591fdb3d52370b034ef09607a5e25a8"; url = "mirror://steamrt/pool/main/libx/libxaw/libxaw7_1.0.9-3ubuntu1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1400,7 +1418,7 @@ } rec { name = "libxcb-composite0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "0h0yfv4ana590x038lwbz4cxfb3smcqbd394ggir0kv9m1przp78"; + sha256 = "e8dc9f6fa8694f90e37b248db630ab7a2cd719f98b53344007a928abc8761e40"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-composite0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1409,7 +1427,7 @@ } rec { name = "libxcb-damage0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "1431qrr9kg3mdbag9sfdcqy0g84w074k01r2nyr3bq0w5q8px15l"; + sha256 = "b4847e112e1ce035b2b7220730c9019ca0073c66cde9f4d46a75bc9972c66190"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-damage0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1418,7 +1436,7 @@ } rec { name = "libxcb-dpms0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "1p2hd14zb1zvqkvgs0apc6m2dwh7kwbxv12py10nr6rzmkr75z1k"; + sha256 = "33fc72f2ac3f9b6c41f05784dd179f07f226aa615701fdf6c4fb87f5496850dc"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-dpms0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1427,7 +1445,7 @@ } rec { name = "libxcb-dri2-0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "1ixndxxr7ff48p4sp6rim5bjjvncyc2qcld13az56hjybyq90ka1"; + sha256 = "414d90b05f5e4253be1aa1518605f3cc6e2957a9319babc945c4b9937b6fb6c7"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-dri2-0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1436,7 +1454,7 @@ } rec { name = "libxcb-dri3-0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "0l4j5ydzfnzl5wmabwh8jv2qcdgi5blks8ys06cjri8dq4fqq3gg"; + sha256 = "ef0d8c1dc10dc52c9901da233de92af13586c59608f2a52a2ff45bf79b2f9250"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-dri3-0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1445,7 +1463,7 @@ } rec { name = "libxcb-glx0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "1shd1g43pq5hqpmxkfp8lykpqzzdn0a0w53m20bkz133jgjwqq1i"; + sha256 = "3160cce59363843f171075140e14b0ed7f7ca7a7e8bad9ebc5b0e03bc80b0dea"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-glx0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1454,7 +1472,7 @@ } rec { name = "libxcb-present0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "1m3xf4vkbk2iwy64y8ak51b8wrd5g8hyfbankvfaf123dyyk8z7x"; + sha256 = "fd7c34bd6f4304a7dc9e562de7217aa5658e562853214f8ce751cc3537717dd4"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-present0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1463,7 +1481,7 @@ } rec { name = "libxcb-randr0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "047j08nl310s4m8d2gk555bhf1q0s78pq882dqqla2skhfslg645"; + sha256 = "859847b583530b45316e02217cd1d10007075729653ed150251a84412d02f210"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-randr0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1472,7 +1490,7 @@ } rec { name = "libxcb-record0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "0qfh1wnd11z8r9a7zyrvsa4banisvmi3vj5zvihppz2698ln53rv"; + sha256 = "3b8f62294a46fc7b61dcbfc83d62dd3a5ab588d23bfb7f54cae887d02c0fd061"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-record0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1481,7 +1499,7 @@ } rec { name = "libxcb-render0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "1yj9hxmdjqqinkx8a1gm7nwyxpj6adxphl2f3i863m0gp679bvag"; + sha256 = "4fed958eb90fd461501c4e50787b5346deeeb93df50585fab41163d96a8749fa"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-render0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1490,7 +1508,7 @@ } rec { name = "libxcb-res0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "1v8ipbhabg72mc3rf378l0c8x5apndkgjqbvg5qzp4s591w251np"; + sha256 = "d7862278484593fb71797b61f966b357958e18a0e80c9707abe2bca5e0ba11ed"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-res0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1499,7 +1517,7 @@ } rec { name = "libxcb-screensaver0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "04cm77may727miynsqw0937cvs5kjbh85csrdr86yyayvlqygs02"; + sha256 = "02e8e731dd5e796f506e59b382e092b3e8cdce4880636d7dac471cafea399511"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-screensaver0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1508,7 +1526,7 @@ } rec { name = "libxcb-shape0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "1z0jlvv25ya5v4rn7cmpwpdbafjmhrb9b7hp6x9nlwrhbpwjh6q2"; + sha256 = "021b28f95d30736a5337179e955686553ab5dae5b7b26333d945f922f6a612fc"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-shape0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1517,7 +1535,7 @@ } rec { name = "libxcb-shm0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "0sdajn0sxkvqpy69ccl3l7f7ky4yhj4z304b19wplqfy0qal2gn7"; + sha256 = "c73e411506de617a790a8b80f189849ef879dca18332968cbf78cfae8195aa69"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-shm0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1526,7 +1544,7 @@ } rec { name = "libxcb-sync1_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "1dvq7n1jrsj07hikqvbbbc4wmmk5i9vsvgfcg39vaywmx5fdmfw8"; + sha256 = "88bbda5ce9957bb5d378ccbdad778a65d6ca095b6b6d3c233c40ea2c833d78b7"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-sync1_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1535,7 +1553,7 @@ } rec { name = "libxcb-xevie0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "07qsfdyym47shm3n6m7i9flb339xannxlch2h0i5p9ca2z1a34g2"; + sha256 = "e291a1c2178aa55b22800232daad553d8db1a84bf154634785fa90ea7d731a1f"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-xevie0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1544,7 +1562,7 @@ } rec { name = "libxcb-xf86dri0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "06ha588as5j1njqga4xamfnw79z6y13i596syl0h34pdmsqd2jll"; + sha256 = "944ad1b0aeed920101f5daa41247f0e6a7c3adabaa13f5b0b44116ad102a0a1a"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-xf86dri0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1553,7 +1571,7 @@ } rec { name = "libxcb-xfixes0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "1npk19k2qhqm89hk2jhf49acig330myvksamd3xw2gjxa99xz7lz"; + sha256 = "9f9edf53525d3ec1fb6855e9b97d0563bcc854220e4a31614215432c660af3da"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-xfixes0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1562,7 +1580,7 @@ } rec { name = "libxcb-xinerama0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "0s117w3a3ql7d6k2bp88hzni3k9cg24qcmbqz50z8b1gqhpw9y6b"; + sha256 = "cbf8c42fc42f2cf441f978558689782ccd11ed8708dd25a66987e2a1063f2168"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-xinerama0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1571,7 +1589,7 @@ } rec { name = "libxcb-xkb1_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "0kximpl89ks2simxnz10z836rnvaysys7c2jddhsy1z7z30gpb9h"; + sha256 = "30adfbc0f8e707af616b52b0a3bdf66adb6c06fa207cdb6bd442cf84e8adb14f"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-xkb1_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1580,7 +1598,7 @@ } rec { name = "libxcb-xprint0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "1l2lxnwp129sa268n3xbrifq8yyfn292nz9arqp95za091zj2rri"; + sha256 = "3167217f4840fd922ece2a7d2b92b0ce7b845dccab0f8b8c503a8970b9ed54d0"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-xprint0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1589,7 +1607,7 @@ } rec { name = "libxcb-xtest0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "1pybcn6rwwg6b4l7a1sn0nxkp2lb5ar9vzwn6ggd8vwrwnppjvq4"; + sha256 = "046f79afe5996fd4de3396ff9db22a8b8a3bbb055607752859e6719e8d65cbdf"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-xtest0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1598,7 +1616,7 @@ } rec { name = "libxcb-xv0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "13jyd5jkz8wmbz6n7azxdnbw48c9i5zkwysza50d1c3rlxalpq82"; + sha256 = "02e14b55a779b0d040515f7b3e7f898921c2976dfdab63cd5f95a33f65695e8e"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-xv0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1607,7 +1625,7 @@ } rec { name = "libxcb-xvmc0_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "0l4wagp619qar8g9yji1sf4schlclyl1zvdmp3w2cp9lnnwx1p1w"; + sha256 = "3cdcd0b9b5345d26f8b8b5ed1fa8a78c42a689d3214a9f1eca0aa760ee539c50"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-xvmc0_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1616,7 +1634,7 @@ } rec { name = "libxcb1_1.11.1-1ubuntu1+steamos1+srt1_amd64"; - sha256 = "1a8x128bgbz9gy2wsmhijg4di99k18ybs9mwvv37hkpw6mlfaxdx"; + sha256 = "bd75e56835fc4e78c6debc26bd3c0a33a5d8c8931156cd857fe9afb790081da9"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb1_1.11.1-1ubuntu1+steamos1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1625,7 +1643,7 @@ } rec { name = "libxcomposite1_0.4.3-2build1+srt5_amd64"; - sha256 = "01kkvnndzkzqx9pacrlirn435z7dky7lkm0clv7yya3xaw5fslv4"; + sha256 = "6453ed0a577d28efcfa60cd4498f9fedfc3288cd9166a66eeaf8cfdfacdd7306"; url = "mirror://steamrt/pool/main/libx/libxcomposite/libxcomposite1_0.4.3-2build1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1634,7 +1652,7 @@ } rec { name = "libxcursor1_1.1.12-1ubuntu0.1+srt5_amd64"; - sha256 = "0n9dgdi8hb1w5dpasi2pmm5ysrckfch930yvx9grs026dgz3s2g7"; + sha256 = "e7093dfe6b46009d5feadb839120739365ed4bad5744ad6e2b3c2c88627b2d59"; url = "mirror://steamrt/pool/main/libx/libxcursor/libxcursor1_1.1.12-1ubuntu0.1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1643,7 +1661,7 @@ } rec { name = "libxdamage1_1.1.3-2build1+srt5_amd64"; - sha256 = "0l088wzpyn15nmy8c6nx3p97qhklxhyaxm8bhafv9sddpr407p4k"; + sha256 = "93dc0348beade9b49d820bd5ae3cec74427cd21ddd1a867cb525587f3f470850"; url = "mirror://steamrt/pool/main/libx/libxdamage/libxdamage1_1.1.3-2build1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1652,7 +1670,7 @@ } rec { name = "libxdmcp6_1.1.0-4+srt5_amd64"; - sha256 = "1jx1i0i6vrm6h2sq99f61dix0v8j1f6nq4d2a1hqaw5f1bsra4q7"; + sha256 = "071395f50aae70856150a2116c8d0b126dd0630bc6a584b580a6e66d2288a1cb"; url = "mirror://steamrt/pool/main/libx/libxdmcp/libxdmcp6_1.1.0-4+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1661,7 +1679,7 @@ } rec { name = "libxext6_1.3.0-3ubuntu0.2+steamrt1+srt2_amd64"; - sha256 = "0ip72ys964g5569rbgsrcv8b1afmwm1mrskgrgiqjs93bhr5i4c4"; + sha256 = "849158325c236989e3cb6fea5c43e5d5a9b0d06659bf959329e51193b417e746"; url = "mirror://steamrt/pool/main/libx/libxext/libxext6_1.3.0-3ubuntu0.2+steamrt1+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1670,7 +1688,7 @@ } rec { name = "libxfixes3_5.0-4ubuntu4.4+srt2_amd64"; - sha256 = "1x2255fch7g2skiz1x94vrm63ahcwf258w2qjkadnbqwivyf8crz"; + sha256 = "3f33e4fc8e1c2fdbd49458705484e30caa616ade24f5f0e3d4e21dc85c2942f4"; url = "mirror://steamrt/pool/main/libx/libxfixes/libxfixes3_5.0-4ubuntu4.4+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1679,7 +1697,7 @@ } rec { name = "libxft2_2.2.0-3ubuntu2+srt5_amd64"; - sha256 = "0ylsvvd28qwz442scylrp9gmmp1y6lvyj1bcjd5d4g0fc9iwm37d"; + sha256 = "ed8cca63620e3cd24a936c05e937353edc5a5fba997aa605219f6324dade9a7a"; url = "mirror://steamrt/pool/main/x/xft/libxft2_2.2.0-3ubuntu2+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1688,7 +1706,7 @@ } rec { name = "libxi6_1.7.1.901-1ubuntu1~precise3+srt2_amd64"; - sha256 = "0g3nazw6w77q91c0dsn040y79d1rmg4njg2n3cv871630zghz6rs"; + sha256 = "3a9b0fdf07c38483361b563c69c9ab39b4743c20c0ea065848f81c6ef857763c"; url = "mirror://steamrt/pool/main/libx/libxi/libxi6_1.7.1.901-1ubuntu1~precise3+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1697,7 +1715,7 @@ } rec { name = "libxinerama1_1.1.1-3ubuntu0.1+srt5_amd64"; - sha256 = "1icp7syxhfgwpiabl7503ylw66m7z3sq5pn7wkxb2yriqxfj3nmp"; + sha256 = "b7da215dc7317bb1fae4c7de82f5f8a71ac3a91fa01cba54bcfc39d8bd3e97c5"; url = "mirror://steamrt/pool/main/libx/libxinerama/libxinerama1_1.1.1-3ubuntu0.1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1706,7 +1724,7 @@ } rec { name = "libxml2_2.7.8.dfsg-5.1ubuntu4.17+srt1_amd64"; - sha256 = "0k5wagjkf60ys0cg639758c1h0cjmziyxvp5597vavbds32sl2hm"; + sha256 = "150aaac5d06d6db54f2ae5eeeee3af920118182a270df318d01e1837e553bc4c"; url = "mirror://steamrt/pool/main/libx/libxml2/libxml2_2.7.8.dfsg-5.1ubuntu4.17+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1715,7 +1733,7 @@ } rec { name = "libxmu6_1.1.0-3+srt5_amd64"; - sha256 = "0pabx6pyazssqfs775k4l19frqv20225n7jmr1ncvwfikiw48gsl"; + sha256 = "543f44789cd1f1cd6cc8551e5b840062e3ec52a0649673b4c35a7fe5afe94b5d"; url = "mirror://steamrt/pool/main/libx/libxmu/libxmu6_1.1.0-3+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1724,7 +1742,7 @@ } rec { name = "libxpm4_3.5.9-4+steamrt1.1ubuntu0.1+srt1_amd64"; - sha256 = "0nv7v30mh3j53h7lcvqm2ixnsbn8328vcfbd2ysx2r2fac9waw7j"; + sha256 = "f270c513534e64d1b5176d39b69118c82e6d7b14156f460f1c450e58c1d8675b"; url = "mirror://steamrt/pool/main/libx/libxpm/libxpm4_3.5.9-4+steamrt1.1ubuntu0.1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1733,7 +1751,7 @@ } rec { name = "libxrandr2_1.5.0-1+srt1_amd64"; - sha256 = "1i55vzgaalxlm0mgi7c52nmpmksyffbf93isg3i2syg5nzain8v2"; + sha256 = "62231bd5b7e5792de2783a8ee496735ecf7aab15859df82aa8b453a5dedfa5c4"; url = "mirror://steamrt/pool/main/libx/libxrandr/libxrandr2_1.5.0-1+srt1_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1742,7 +1760,7 @@ } rec { name = "libxrender1_0.9.6-2ubuntu0.2+srt2_amd64"; - sha256 = "0zd4kd5235vn575msfgl48j4czfp4fc44y439gcclpadkhvciviz"; + sha256 = "3feec8369c4d5dcad84b8378429823d77d462422f4395dcb297697214a9ba47d"; url = "mirror://steamrt/pool/main/libx/libxrender/libxrender1_0.9.6-2ubuntu0.2+srt2_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1751,7 +1769,7 @@ } rec { name = "libxss1_1.2.1-2+srt5_amd64"; - sha256 = "1k7248hb63ml79nqzij65rmg8x0ayi41zpl9ls6my87a6gzswyhr"; + sha256 = "197aaeff33ea205f8da689de1f48f40a74f46a2e46c68f6d3ab40eb32022e2cc"; url = "mirror://steamrt/pool/main/libx/libxss/libxss1_1.2.1-2+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1760,7 +1778,7 @@ } rec { name = "libxt6_1.1.1-2ubuntu0.1+srt5_amd64"; - sha256 = "0gd81vk2bzjc9zcdbgj57hwhs6v9dmzajrmngmaxavyzv2fgamka"; + sha256 = "6a56f59cd8df6fd5557db666a97e6d691b0d393c45bed5d84f4cfe25e60ea83d"; url = "mirror://steamrt/pool/main/libx/libxt/libxt6_1.1.1-2ubuntu0.1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1769,7 +1787,7 @@ } rec { name = "libxtst6_1.2.0-4ubuntu0.1+srt5_amd64"; - sha256 = "1l1l2073b9cd76ckn5f2d4qb4w1k48s0dlki93bfikph8ms6px8d"; + sha256 = "0df56b7445f0cee8d64871d20634223370b23069c2153b99398da5350e1034d0"; url = "mirror://steamrt/pool/main/libx/libxtst/libxtst6_1.2.0-4ubuntu0.1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1778,7 +1796,7 @@ } rec { name = "libxxf86vm1_1.1.1-2ubuntu0.1+srt5_amd64"; - sha256 = "1diqhhj91knlz02b6ha940091yjris46d5swy3zi3r389w2b2crm"; + sha256 = "3533b1044f68e411fff05c9766888e59fa9000204941b304f8d4ce90248438b6"; url = "mirror://steamrt/pool/main/libx/libxxf86vm/libxxf86vm1_1.1.1-2ubuntu0.1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1787,7 +1805,7 @@ } rec { name = "nvidia-cg-toolkit_3.0.0016-0ubuntu1+srt5_amd64"; - sha256 = "0cr24gybxz7h8s9rijr75dayahy1kx4i6gn5q2q6h4z29v046nc4"; + sha256 = "845943c04ee21368b0c0c53e13499fc143e5552b27cb989346f0fcbefc232233"; url = "mirror://steamrt/pool/main/n/nvidia-cg-toolkit/nvidia-cg-toolkit_3.0.0016-0ubuntu1+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1795,8 +1813,26 @@ }; } rec { + name = "steamrt-legacy_1.20190320.0+srt1_amd64"; + sha256 = "35ec5e34502ceb6b6bedbd22db8d3e1ab3bc3e3298d227a89a8edb8128bb86eb"; + url = "mirror://steamrt/pool/main/s/steamrt/steamrt-legacy_1.20190320.0+srt1_amd64.deb"; + source = fetchurl { + inherit url sha256; + name = "steamrt-legacy.deb"; + }; + } + rec { + name = "steamrt-libs_1.20190320.0+srt1_amd64"; + sha256 = "bdc4ea575b1227e830707ae5caddcf8ea10df6955e5591459607548e1bb9460d"; + url = "mirror://steamrt/pool/main/s/steamrt/steamrt-libs_1.20190320.0+srt1_amd64.deb"; + source = fetchurl { + inherit url sha256; + name = "steamrt-libs.deb"; + }; + } + rec { name = "zenity_3.4.0-0ubuntu4+steamrt2+srt5_amd64"; - sha256 = "0ajisfqvlajw0m6kq3yv8j6f38dfhlavrmmfdq5yqmb70wmmkk71"; + sha256 = "e1cc592b076755ec0b6eaed6bc1585aea1e18c44db0f3c4d055c2abab1d3512a"; url = "mirror://steamrt/pool/main/z/zenity/zenity_3.4.0-0ubuntu4+steamrt2+srt5_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1804,8 +1840,17 @@ }; } rec { + name = "zenity-common_3.4.0-0ubuntu4+steamrt2+srt5_all"; + sha256 = "27c90bd85c4ff3c9593088d62a19537f7250910dcdd28c278bd6c71157e8be88"; + url = "mirror://steamrt/pool/main/z/zenity/zenity-common_3.4.0-0ubuntu4+steamrt2+srt5_all.deb"; + source = fetchurl { + inherit url sha256; + name = "zenity-common.deb"; + }; + } + rec { name = "zlib1g_1.2.3.4.dfsg-3ubuntu4+srt6_amd64"; - sha256 = "0962hhdg3knxnjinkv7m3h510fq8vghjyaxnsnsyijqzfawi4rfj"; + sha256 = "d26512b9721fcbe8b5d5b62b2fe1db083b100a1cf5ec69a3b4ddcef11a84c224"; url = "mirror://steamrt/pool/main/z/zlib/zlib1g_1.2.3.4.dfsg-3ubuntu4+srt6_amd64.deb"; source = fetchurl { inherit url sha256; @@ -1816,7 +1861,7 @@ i386 = [ rec { name = "dconf-gsettings-backend_0.12.0-0ubuntu1.1+steamrt1.1+srt1_i386"; - sha256 = "134fv1d73hnlfn14asgmi36pwbs3mlri290jbl0621mih1iq8b7i"; + sha256 = "f12c846380b10661005d12241133ad432f7ecd88f569458275d4c2715ad88e8c"; url = "mirror://steamrt/pool/main/d/d-conf/dconf-gsettings-backend_0.12.0-0ubuntu1.1+steamrt1.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -1825,7 +1870,7 @@ } rec { name = "freeglut3_2.6.0-1ubuntu3+srt5_i386"; - sha256 = "0jdn9nvjmhphv9iw1h589cy5q1iq0gp9q4ibm0m69lkazi2v1blb"; + sha256 = "8baeb045fc6ad2642aa82b129cee0338065c3c4ba8c0c063daf0c22ab74db649"; url = "mirror://steamrt/pool/main/f/freeglut/freeglut3_2.6.0-1ubuntu3+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -1834,7 +1879,7 @@ } rec { name = "gcc-4.6-base_4.6.3-1ubuntu5+steamrt1.2+srt1_i386"; - sha256 = "0lq2bm237vwjkclxjqldw4cy1mirbw6lx6ryqmjwzwq1ya5490sr"; + sha256 = "5983448af201f3cf65c53e9b4e0d5f39d6e019e18d62d9299b92ef33445d0253"; url = "mirror://steamrt/pool/main/g/gcc-4.6/gcc-4.6-base_4.6.3-1ubuntu5+steamrt1.2+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -1842,9 +1887,9 @@ }; } rec { - name = "gcc-5-base_5.4.0-7.really.6+steamrt1.1+srt2_i386"; - sha256 = "1jlyq99j7rgw6m4rvrxcrpk5l386sps36isld18bg7hb67qm5gzy"; - url = "mirror://steamrt/pool/main/g/gcc-5/gcc-5-base_5.4.0-7.really.6+steamrt1.1+srt2_i386.deb"; + name = "gcc-5-base_5.4.0-7.really.6+steamrt1.2+srt1_i386"; + sha256 = "f99dfc360aa9b1cd0dde113b8b81167b78dcb527fa3c5b7c10eb628720a2525e"; + url = "mirror://steamrt/pool/main/g/gcc-5/gcc-5-base_5.4.0-7.really.6+steamrt1.2+srt1_i386.deb"; source = fetchurl { inherit url sha256; name = "gcc-5-base.deb"; @@ -1852,7 +1897,7 @@ } rec { name = "gtk2-engines_2.20.2-1ubuntu1+srt5_i386"; - sha256 = "06h5229y2md6d73imgy5wg4msv6s9vl056p97jq2hrf4di0q6a76"; + sha256 = "e62883416cc46528b03ce99a02e84eda6c5dc9e3c5bf1ac769a655e19310051a"; url = "mirror://steamrt/pool/main/g/gtk2-engines/gtk2-engines_2.20.2-1ubuntu1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -1861,7 +1906,7 @@ } rec { name = "gtk2-engines-murrine_0.98.2-0ubuntu1+srt5_i386"; - sha256 = "1fyha082h0jr26gjnsxzj1c6rl63c9xc2kgf6cfb86dmsmbvpqqg"; + sha256 = "0fe3bb57d5b519b41c33ee4dc17a62c3d06c5890bf6b2b9f115902281050d0bb"; url = "mirror://steamrt/pool/main/g/gtk2-engines-murrine/gtk2-engines-murrine_0.98.2-0ubuntu1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -1870,7 +1915,7 @@ } rec { name = "gtk2-engines-pixbuf_2.24.10-0ubuntu6+steamrt1+srt5_i386"; - sha256 = "0ix60pix5cnzd807v8q9mqhyhhksqndd7vc6brs1daknhxn5dsi4"; + sha256 = "24ea566c8776aa16745e86edd39ac57a42e821ae09a37d006adfb2d2e305a647"; url = "mirror://steamrt/pool/main/g/gtk+2.0/gtk2-engines-pixbuf_2.24.10-0ubuntu6+steamrt1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -1879,7 +1924,7 @@ } rec { name = "libacl1_2.2.51-5ubuntu1+steamrt1.1+srt2_i386"; - sha256 = "01cmbrlgzx7djy0xgf4950936s596rp8cn51qadbfz0sad5w7sd9"; + sha256 = "a9e9c34b531a7cb79ac2a158866e36a96833122889b8d78197edf4ff685e9505"; url = "mirror://steamrt/pool/main/a/acl/libacl1_2.2.51-5ubuntu1+steamrt1.1+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -1888,7 +1933,7 @@ } rec { name = "libappindicator1_0.4.92-0ubuntu1.1+steamrt1+srt1_i386"; - sha256 = "1bbf9k50d3df4sjn2idizk2bcpkcxkg0wz8mczgzkh634753pkld"; + sha256 = "8dce3bca21c3c0f9df67157d0edeec6c5eb6c4fcb14561a526ae8d06ca4c6ead"; url = "mirror://steamrt/pool/main/liba/libappindicator/libappindicator1_0.4.92-0ubuntu1.1+steamrt1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -1897,7 +1942,7 @@ } rec { name = "libasn1-8-heimdal_1.6~git20120311.dfsg.1-2+srt5_i386"; - sha256 = "1qgap0ha5vlpz1fnf2fppprzh4lyrvy7k9sj0whhb3f48ass8mh5"; + sha256 = "0556a4b542c48d05210752a779fcce9e12f8f3bdd709675df897eea220b8eae1"; url = "mirror://steamrt/pool/main/h/heimdal/libasn1-8-heimdal_1.6~git20120311.dfsg.1-2+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -1906,7 +1951,7 @@ } rec { name = "libasound2_1.1.0-0ubuntu1+steamos1+srt2_i386"; - sha256 = "0wa019012di6slf29ambq249fn6w9ldmyxx80k564j9s1k510ivc"; + sha256 = "6c4710ca0c3a4962ca04a8775f1b4ddc589788c0abaa241cd5263611400a4071"; url = "mirror://steamrt/pool/main/a/alsa-lib/libasound2_1.1.0-0ubuntu1+steamos1+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -1915,7 +1960,7 @@ } rec { name = "libasound2-plugins_1.1.0-0ubuntu1+srt2_i386"; - sha256 = "0hrrpjp4wg13vfam863hwkhzv7ngcydx0iysmfkxjzhmpf3j4ghd"; + sha256 = "0d3e2287bb157ed9a7abda47d09b67cf9efde1e470185495db233c4eaebc3943"; url = "mirror://steamrt/pool/main/a/alsa-plugins/libasound2-plugins_1.1.0-0ubuntu1+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -1924,7 +1969,7 @@ } rec { name = "libasyncns0_0.8-4+srt5_i386"; - sha256 = "0k8rngiq74b574fc1jqfwf77f57qcflimbs63pa2jx1jqg7gxjx2"; + sha256 = "a2cbfecec3327429d41d46af1aa963f814778ee30ecbc01c39659183e3b3194d"; url = "mirror://steamrt/pool/main/liba/libasyncns/libasyncns0_0.8-4+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -1933,7 +1978,7 @@ } rec { name = "libatk1.0-0_2.18.0-1+steamrt1+srt1_i386"; - sha256 = "0ldl3jsmf16mbf1bs94zkmaa1b1p9d9rvajlyp7iqkbqw6qkszlz"; + sha256 = "9f7e3db1e1784d1ccff554aa9d534b37aca0549d9f24bd825bd50457b51cb451"; url = "mirror://steamrt/pool/main/a/atk1.0/libatk1.0-0_2.18.0-1+steamrt1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -1942,7 +1987,7 @@ } rec { name = "libattr1_2.4.46-5ubuntu1+steamrt1.1+srt1_i386"; - sha256 = "0crgd6ww9z1b69g9x1acxnlxaw3nyymq1fhjpxzs1x0vrlhj8wsg"; + sha256 = "4f732421cd1bf4a07fbf12ba80abf77670d5a9ed4c859e5e322bfcc4b9692f33"; url = "mirror://steamrt/pool/main/a/attr/libattr1_2.4.46-5ubuntu1+steamrt1.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -1951,7 +1996,7 @@ } rec { name = "libavahi-client3_0.6.30-5ubuntu2.2+srt1_i386"; - sha256 = "1yyq436zsx8hbfhhv4l8ji85narl6ws8flhfcbqvxldaxlzv4ls7"; + sha256 = "4753b23fedaad1bef1620e52873437342b5b509488920da15b1075fdcd20d8fb"; url = "mirror://steamrt/pool/main/a/avahi/libavahi-client3_0.6.30-5ubuntu2.2+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -1960,7 +2005,7 @@ } rec { name = "libavahi-common3_0.6.30-5ubuntu2.2+srt1_i386"; - sha256 = "0lhvj64i4dqy0lh73kyk32992fqkhxxr7k1cr97a03hdpaa06ycq"; + sha256 = "98790394ba0d0ea04eca2ccc937b87133b919218d3cf7120051e371289911b52"; url = "mirror://steamrt/pool/main/a/avahi/libavahi-common3_0.6.30-5ubuntu2.2+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -1969,7 +2014,7 @@ } rec { name = "libavcodec53_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_i386"; - sha256 = "129g8jgy4va5gszrq9pxd4p51zja9svcm0hqx8g12ryx5lfkjjc5"; + sha256 = "8549391d2ddd67111eea1882cab64e4afe502e69fd269cbf7e456de29f442f89"; url = "mirror://steamrt/pool/main/liba/libav/libavcodec53_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -1978,7 +2023,7 @@ } rec { name = "libavfilter2_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_i386"; - sha256 = "1vnj97sl686wlkkxbhvn5s3ck60nzrqr60y4wxg9a2hxxf7ba1gf"; + sha256 = "ee05b58eeb1d0a955ee7c4039371fe1698c9862e76c3d5e7a4dc2043f549d2ee"; url = "mirror://steamrt/pool/main/liba/libav/libavfilter2_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -1987,7 +2032,7 @@ } rec { name = "libavformat53_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_i386"; - sha256 = "12zikl29fcq5vc0k5n4l8db8q0f95h13hlqgka3cy13nf31kbk06"; + sha256 = "06cc35c3707604cf869a0f5338022cc9018c564394d83201db053397049df18b"; url = "mirror://steamrt/pool/main/liba/libav/libavformat53_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -1996,7 +2041,7 @@ } rec { name = "libavutil51_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_i386"; - sha256 = "1brfvbqwga1hmmyarbwksbrj6kabnfnrk8hv9xrvd99jak3wsipl"; + sha256 = "f446cdc75432a5b6734f1ba299adb34b4d23f3d293afac7cad30a8c7f1da2eaf"; url = "mirror://steamrt/pool/main/liba/libav/libavutil51_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -2005,7 +2050,7 @@ } rec { name = "libbz2-1.0_1.0.6-1+srt5_i386"; - sha256 = "1nngc07hwr96n1k56bi3i2yffad8dhf1zfblqlz8pffi1yl92vjz"; + sha256 = "5f6e91a80fd1b98b3ec574b91f1c6ca829e7bc88232e5366b026650e0f60cfda"; url = "mirror://steamrt/pool/main/b/bzip2/libbz2-1.0_1.0.6-1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2014,7 +2059,7 @@ } rec { name = "libcairo2_1.10.2-6.1ubuntu3+srt5_i386"; - sha256 = "0vnz2d66q9pkn8ry2ixbmqcyz0kpqqmimaxnrfq42g1lz8k44cs6"; + sha256 = "46334226fa343c41b0cbb6ab1a2bc67782ef19aeab47e133b2f3266c4c13df6e"; url = "mirror://steamrt/pool/main/c/cairo/libcairo2_1.10.2-6.1ubuntu3+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2023,7 +2068,7 @@ } rec { name = "libcanberra-gtk-module_0.28-3ubuntu3+steamrt1.1+srt1_i386"; - sha256 = "1lg98x7cr66nq48k3klah9apskvk2cqdpj3g52z5yffkrrd1sqci"; + sha256 = "91611d5aced3395fbe286fc8db3013734f7d55828ace3111c1d698cc4e47e9d1"; url = "mirror://steamrt/pool/main/libc/libcanberra/libcanberra-gtk-module_0.28-3ubuntu3+steamrt1.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2032,7 +2077,7 @@ } rec { name = "libcanberra-gtk0_0.28-3ubuntu3+steamrt1.1+srt1_i386"; - sha256 = "0jnqzfshd1f16f3gcq3fw955i6w9pfizli0l0zxl6fl58ad6g9as"; + sha256 = "5aa5679a42853a43fb071444faa3bb899b584ae26e60f68633c18506b5fbd84a"; url = "mirror://steamrt/pool/main/libc/libcanberra/libcanberra-gtk0_0.28-3ubuntu3+steamrt1.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2041,7 +2086,7 @@ } rec { name = "libcanberra0_0.28-3ubuntu3+steamrt1.1+srt1_i386"; - sha256 = "0kfw2ghb47ysww9wrp9gjq449j82a22f5w5xn1vk17bq0kk2vg8c"; + sha256 = "0cbd2de604789d3077b0bdf0e2845002c94408962fddcc13e7da1fb2e013dc4d"; url = "mirror://steamrt/pool/main/libc/libcanberra/libcanberra0_0.28-3ubuntu3+steamrt1.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2050,7 +2095,7 @@ } rec { name = "libcap2_2.22-1ubuntu3+srt5_i386"; - sha256 = "1qy9ggswl8cbp0zbaryc7cd4bcq17qb4llv8v3zcj08wxr6v2ram"; + sha256 = "5565b14dee1c01c9fed868534a163e01b3451a3bcc67b53eb88b21caf57bc9e3"; url = "mirror://steamrt/pool/main/libc/libcap2/libcap2_2.22-1ubuntu3+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2059,7 +2104,7 @@ } rec { name = "libcg_3.0.0016-0ubuntu1+srt5_i386"; - sha256 = "0gs2q5ql7wq28y2qrs9v9gs75r6ycq7c6xghf4s6g5s8q4cq205k"; + sha256 = "b3008119c14897673471f075c30e66dee472f44b3be98c854702f34371c1423f"; url = "mirror://steamrt/pool/main/n/nvidia-cg-toolkit/libcg_3.0.0016-0ubuntu1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2067,9 +2112,9 @@ }; } rec { - name = "libcomerr2_1.42-1ubuntu2.3+srt1_i386"; - sha256 = "12c8gxi0l0qysbiw81hbysf5hn1kgv956csvrn71yf52zwqwr388"; - url = "mirror://steamrt/pool/main/e/e2fsprogs/libcomerr2_1.42-1ubuntu2.3+srt1_i386.deb"; + name = "libcomerr2_1.42-1ubuntu2.3+steamrt1.1+srt1_i386"; + sha256 = "b971589adbdefc969637f8c9bb9dc76b196cb89dd663181a7a7d7a4bd22f7046"; + url = "mirror://steamrt/pool/main/e/e2fsprogs/libcomerr2_1.42-1ubuntu2.3+steamrt1.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; name = "libcomerr2.deb"; @@ -2077,7 +2122,7 @@ } rec { name = "libcups2_1.5.3-0ubuntu8.7+steamrt1.2+srt2_i386"; - sha256 = "02p2svhf5zr2q5pga2qbnk5v6v6isjymli8arfarqcawgw8x8abg"; + sha256 = "6f29d4117f5c319c95cb0a455abdd4d16cb3cbb40b0bf56ec122ffe2e0d6e20a"; url = "mirror://steamrt/pool/main/c/cups/libcups2_1.5.3-0ubuntu8.7+steamrt1.2+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -2086,7 +2131,7 @@ } rec { name = "libcurl3_7.22.0-3ubuntu4.17+steamrt1.1+srt4_i386"; - sha256 = "18kysm6nj8jfankkarphpzk03cab126pnili4p3kfglw2z7dxci0"; + sha256 = "20b2dece179c3e37c72591467b8d084bb101e6bff06635a7554e22694dd57ea2"; url = "mirror://steamrt/pool/main/c/curl/libcurl3_7.22.0-3ubuntu4.17+steamrt1.1+srt4_i386.deb"; source = fetchurl { inherit url sha256; @@ -2095,7 +2140,7 @@ } rec { name = "libcurl3-gnutls_7.22.0-3ubuntu4.17+steamrt1.1+srt4_i386"; - sha256 = "166mcqrwh86qx2dpsi5qx9nczzwyyih51d0ssrbnxzabshkyzmsx"; + sha256 = "5dd7ef27d44bfd6e57d61ab45060f49effcf6ceab8447d9be8d820c83366d598"; url = "mirror://steamrt/pool/main/c/curl/libcurl3-gnutls_7.22.0-3ubuntu4.17+steamrt1.1+srt4_i386.deb"; source = fetchurl { inherit url sha256; @@ -2104,7 +2149,7 @@ } rec { name = "libdbus-1-3_1.4.18-1ubuntu1.8+srt1_i386"; - sha256 = "1m8aqb9hvprl0hbswha0raz6pp3gs84cmqg40fblbfqya8nibdlk"; + sha256 = "93b6152d521ebb459703e4e1ca08d26fdc6bbeca4041ae170434df0dd3c20ad5"; url = "mirror://steamrt/pool/main/d/dbus/libdbus-1-3_1.4.18-1ubuntu1.8+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2113,7 +2158,7 @@ } rec { name = "libdbus-glib-1-2_0.98-1ubuntu1.1+srt5_i386"; - sha256 = "0slsk7cqdrbnm35zqir21ms0vv1hv44i184x8ip1lg936vrvbxka"; + sha256 = "6af6b5f336233d1a6e449da01009d930ec0d740d2247fccba876e586d9999a6a"; url = "mirror://steamrt/pool/main/d/dbus-glib/libdbus-glib-1-2_0.98-1ubuntu1.1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2122,7 +2167,7 @@ } rec { name = "libdbusmenu-glib4_0.6.2-0ubuntu0.1+srt5_i386"; - sha256 = "0g4zmrwpifl4kxv311spwyia1xrd76m0aizb3jzv6q8cc4hla3ii"; + sha256 = "310e4521610c61b3bf1ceb4705aa392df7a0a2e7578730769f84ba7879ae9f3c"; url = "mirror://steamrt/pool/main/libd/libdbusmenu/libdbusmenu-glib4_0.6.2-0ubuntu0.1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2131,7 +2176,7 @@ } rec { name = "libdbusmenu-gtk4_0.6.2-0ubuntu0.1+srt5_i386"; - sha256 = "0kj6pfpgybkkb5bjfr9qgczwddx5lkbwhknlcymhhi94jcfbcnbc"; + sha256 = "6c59b61c93244508ab67d44ec8d7a4a5b7c63f7b3865275759732effaebb464e"; url = "mirror://steamrt/pool/main/libd/libdbusmenu/libdbusmenu-gtk4_0.6.2-0ubuntu0.1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2140,7 +2185,7 @@ } rec { name = "libexif12_0.6.20-2ubuntu0.1+srt5_i386"; - sha256 = "06br49sn30hrxlq479xi3vxw3blkr558kz4d7gym3fxnwfa6im9w"; + sha256 = "3cd56894e3b6bb51fd3b8dfc894ac993aec1fb1eb1a74330ed19826175227919"; url = "mirror://steamrt/pool/main/libe/libexif/libexif12_0.6.20-2ubuntu0.1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2149,7 +2194,7 @@ } rec { name = "libexpat1_2.0.1-7.2ubuntu1.4+srt2_i386"; - sha256 = "0av7wcy104jz6pci7lgwpmvb528v9idx660qrrabdqhpd1m4hv8s"; + sha256 = "1a6d486a6817e2b654ce1818d35b4c1b89b276bdfcd113d9355f12103ce3672b"; url = "mirror://steamrt/pool/main/e/expat/libexpat1_2.0.1-7.2ubuntu1.4+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -2158,7 +2203,7 @@ } rec { name = "libffi6_3.0.11~rc1-5+srt5_i386"; - sha256 = "0gvhndr5y4zl097h105fr4q60sg38mhkhlw8ha51ypzcm9f8na6d"; + sha256 = "cd288b5caaec5f1f8a828853386145e3696030c9ae80004f02f4135f72b3703f"; url = "mirror://steamrt/pool/main/libf/libffi/libffi6_3.0.11~rc1-5+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2167,7 +2212,7 @@ } rec { name = "libflac8_1.2.1-6+steamrt1.1ubuntu0.1+srt1_i386"; - sha256 = "1rdfykm113vps893xdkcrpphb06winv2566yb9myg9m3aybcldrx"; + sha256 = "3d37ca9657a3a6e76b5ade9822b68ddc8005efcd6cb63e12d2778f10eaf4aee5"; url = "mirror://steamrt/pool/main/f/flac/libflac8_1.2.1-6+steamrt1.1ubuntu0.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2176,7 +2221,7 @@ } rec { name = "libfltk1.1_1.1.10-10+srt5_i386"; - sha256 = "1j0bhpgsaw6rphy1wqp40wn8yr6qzrxdmwarc2amryqw7bqslmy5"; + sha256 = "c557aaf13a1cfb5c956059f1da7afed8648f2c07e4621e3cbcd970a5df850bc8"; url = "mirror://steamrt/pool/main/f/fltk1.1/libfltk1.1_1.1.10-10+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2185,7 +2230,7 @@ } rec { name = "libfontconfig1_2.8.0-3ubuntu9.2+steamrt1.1+srt1_i386"; - sha256 = "19mqzn0p0x282wvh4njykiar10rc5zd43cl7pji9hkrq4xlv0s3j"; + sha256 = "7268b06927384f98a2bc87b241da2f2c8390559c5e5a02371748747081fdb8a6"; url = "mirror://steamrt/pool/main/f/fontconfig/libfontconfig1_2.8.0-3ubuntu9.2+steamrt1.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2194,7 +2239,7 @@ } rec { name = "libfreetype6_2.4.8-1ubuntu2.6+srt1_i386"; - sha256 = "1zahvr5h833mppcpg648jv28dywx9jiqdv58wlv1vpsk6d9599bh"; + sha256 = "70a554523353df1d36e5a8ec86a34c9dfb86c496889877d9bd750c044bde50fd"; url = "mirror://steamrt/pool/main/f/freetype/libfreetype6_2.4.8-1ubuntu2.6+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2202,9 +2247,9 @@ }; } rec { - name = "libgcc1_5.4.0-7.really.6+steamrt1.1+srt2_i386"; - sha256 = "0x9sssiqkdpbya6v5slw6lv3ad0w7k4j25bxh0zqxgjfpf3np8m7"; - url = "mirror://steamrt/pool/main/g/gcc-5/libgcc1_5.4.0-7.really.6+steamrt1.1+srt2_i386.deb"; + name = "libgcc1_5.4.0-7.really.6+steamrt1.2+srt1_i386"; + sha256 = "c406f08ae1033994424617cd9d07e8f13fc61e0728ecbb314325a540f9f21b3f"; + url = "mirror://steamrt/pool/main/g/gcc-5/libgcc1_5.4.0-7.really.6+steamrt1.2+srt1_i386.deb"; source = fetchurl { inherit url sha256; name = "libgcc1.deb"; @@ -2212,7 +2257,7 @@ } rec { name = "libgconf-2-4_3.2.5-0ubuntu2+steamrt1.1+srt1_i386"; - sha256 = "1rf64kxpbjp0dvd0l5ip8qlrla69zj4h4wsx5hjhbrx2qhf9h31z"; + sha256 = "3f0c981cc4a2e705252c5d730289fcc9289a294637160ada6ee0ca75fb24c6e5"; url = "mirror://steamrt/pool/main/g/gconf/libgconf-2-4_3.2.5-0ubuntu2+steamrt1.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2221,7 +2266,7 @@ } rec { name = "libgcrypt11_1.5.0-3ubuntu0.6+srt2_i386"; - sha256 = "1wbaml6cjdijcaq6c9m0lcdlh350fk9a2prh6z4k7fjhsms60ppc"; + sha256 = "ec5e6074d550ba33c937305fa1d274a00c481ba3a02666b0623236c90cad6af1"; url = "mirror://steamrt/pool/main/libg/libgcrypt11/libgcrypt11_1.5.0-3ubuntu0.6+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -2230,7 +2275,7 @@ } rec { name = "libgdk-pixbuf2.0-0_2.26.1-1+steamrt3+srt5_i386"; - sha256 = "0fis055pnc01rb5v9vdqc17w4ijdb6sksf2qjysc4q2j33gvh6d5"; + sha256 = "a519b8df185260c2b49758383db5594d46c24f60b8edb4cbca01307b4b013a3a"; url = "mirror://steamrt/pool/main/g/gdk-pixbuf/libgdk-pixbuf2.0-0_2.26.1-1+steamrt3+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2238,8 +2283,26 @@ }; } rec { + name = "libgl1-mesa-dri_8.0.4-0ubuntu0.7+srt5_i386"; + sha256 = "632514d7d5295fa89185050a3b6628f14bccea5602f5ebfcaec98d3581ec8cfd"; + url = "mirror://steamrt/pool/main/m/mesa/libgl1-mesa-dri_8.0.4-0ubuntu0.7+srt5_i386.deb"; + source = fetchurl { + inherit url sha256; + name = "libgl1-mesa-dri.deb"; + }; + } + rec { + name = "libgl1-mesa-glx_8.0.4-0ubuntu0.7+srt5_i386"; + sha256 = "abfe42d2d9a41397571b8b04391e3b41b9ef75f41ff990e965de2c617dfb4fe7"; + url = "mirror://steamrt/pool/main/m/mesa/libgl1-mesa-glx_8.0.4-0ubuntu0.7+srt5_i386.deb"; + source = fetchurl { + inherit url sha256; + name = "libgl1-mesa-glx.deb"; + }; + } + rec { name = "libglew1.10_1.10.0-3+srt6_i386"; - sha256 = "17ki8vb4nbg140fg7vdfyb092lcr3hpsjx0z1gz13bvmzxcff3lf"; + sha256 = "8e0ee758ff75af11fe0b1f74a92f1c995191c0f2aeedf31c20e12d4bd646719e"; url = "mirror://steamrt/pool/main/g/glew/libglew1.10_1.10.0-3+srt6_i386.deb"; source = fetchurl { inherit url sha256; @@ -2248,7 +2311,7 @@ } rec { name = "libglew1.6_1.6.0-4+steamrt1.1+srt1_i386"; - sha256 = "1rpxqc5a0ys26ckzgsk7725wdvq2warcfyjabl1a4r1vikqj2nsz"; + sha256 = "5f5b21f18c3b64a2025d4a7ac7b2e202efc68b3867eaf72733427ba00ac3fde6"; url = "mirror://steamrt/pool/main/g/glew1.6/libglew1.6_1.6.0-4+steamrt1.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2257,7 +2320,7 @@ } rec { name = "libglib2.0-0_2.32.3-0ubuntu1+steamrt3+srt2_i386"; - sha256 = "0l0vjcff86mw1mv5kizg3pcjr8rk9bn2gjf0d22jgljisq47f607"; + sha256 = "07187708d651d2278568c0c927ec4a33a32cd91defc759760dbc1ae41c931b50"; url = "mirror://steamrt/pool/main/g/glib2.0/libglib2.0-0_2.32.3-0ubuntu1+steamrt3+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -2266,7 +2329,7 @@ } rec { name = "libglu1-mesa_8.0.4-0ubuntu0.7+srt5_i386"; - sha256 = "1bc2hb2cb05j4iw9lrskrqkdh8iy7wv3d1sknqn1ya847w98h9hr"; + sha256 = "192688123f04291f2cb6538736363f3e22d826ce53679a7824b280c5c48282ad"; url = "mirror://steamrt/pool/main/m/mesa/libglu1-mesa_8.0.4-0ubuntu0.7+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2275,7 +2338,7 @@ } rec { name = "libgmp10_6.1.0+dfsg-2+srt1_i386"; - sha256 = "0x0ipagi7z9s4cf5wsp1h5vbgx1czsnv3z9rvcz289fb88b7vn2f"; + sha256 = "4ed87d1642cb25243edb39fdb1adfe2cf4b77681e16a5e1c233afd139fba1174"; url = "mirror://steamrt/pool/main/g/gmp/libgmp10_6.1.0+dfsg-2+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2284,7 +2347,7 @@ } rec { name = "libgnutls26_2.12.14-5ubuntu3.14+srt1_i386"; - sha256 = "1f5dv4bk2g9ldqfjm7a2a6mx2ignzgxy42ghl9yny6x9xz39g56h"; + sha256 = "d09497c6efa91b6f7da2f009e2fbfbf645d1ab51429d2a1d6e343d3117d9adb8"; url = "mirror://steamrt/pool/main/g/gnutls26/libgnutls26_2.12.14-5ubuntu3.14+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2293,7 +2356,7 @@ } rec { name = "libgnutls30_3.4.10-4ubuntu1.4+steamrt1.1+srt3_i386"; - sha256 = "12xzkh2fzlnqdpyf18a13dc92pk9fmakcsm89zs1lfq68ad7zlb6"; + sha256 = "66d17f9a42063b1af44fa86a365575695e91581b41a1e0fc6dd8d2ef049cbf8b"; url = "mirror://steamrt/pool/main/g/gnutls28/libgnutls30_3.4.10-4ubuntu1.4+steamrt1.1+srt3_i386.deb"; source = fetchurl { inherit url sha256; @@ -2301,9 +2364,9 @@ }; } rec { - name = "libgomp1_5.4.0-7.really.6+steamrt1.1+srt2_i386"; - sha256 = "0z73ay26wi49hg74lwn13gyraqvdim7q79rpckvk7p7cimb65ina"; - url = "mirror://steamrt/pool/main/g/gcc-5/libgomp1_5.4.0-7.really.6+steamrt1.1+srt2_i386.deb"; + name = "libgomp1_5.4.0-7.really.6+steamrt1.2+srt1_i386"; + sha256 = "21d5c39c52a6d544ce3d8093858bbbbd960b37748ce004dd83e18c1bcd138fc9"; + url = "mirror://steamrt/pool/main/g/gcc-5/libgomp1_5.4.0-7.really.6+steamrt1.2+srt1_i386.deb"; source = fetchurl { inherit url sha256; name = "libgomp1.deb"; @@ -2311,7 +2374,7 @@ } rec { name = "libgpg-error0_1.10-2ubuntu1+srt5_i386"; - sha256 = "19wh8hdp5lcf68w2hwmj8z55j2bcp61ydf37cs796rvzsxbsbamr"; + sha256 = "b9aaa557d77f67938e6667b8e683b96c0959ca47b2722838328ed1721b4490a7"; url = "mirror://steamrt/pool/main/libg/libgpg-error/libgpg-error0_1.10-2ubuntu1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2320,7 +2383,7 @@ } rec { name = "libgssapi-krb5-2_1.10+dfsg~beta1-2ubuntu0.7+srt2_i386"; - sha256 = "1zkvqbm3i297b6pj0japgs3jcvps8my6icsh2n1ka6nvflvdr87h"; + sha256 = "f0a0dc3675db1a35831550b3687c45fa6e26877e574920af59278938eac27bfe"; url = "mirror://steamrt/pool/main/k/krb5/libgssapi-krb5-2_1.10+dfsg~beta1-2ubuntu0.7+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -2329,7 +2392,7 @@ } rec { name = "libgssapi3-heimdal_1.6~git20120311.dfsg.1-2+srt5_i386"; - sha256 = "0q2r4fmpql34hpd061289ijl40y66wp8rlh78b2ysg8dkvycni0k"; + sha256 = "1344cbfc9e0d3dedc54207d28c2e37c60342654c480403da8564507cab235960"; url = "mirror://steamrt/pool/main/h/heimdal/libgssapi3-heimdal_1.6~git20120311.dfsg.1-2+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2338,7 +2401,7 @@ } rec { name = "libgstreamer-plugins-base0.10-0_0.10.36-1ubuntu0.2+srt1_i386"; - sha256 = "0nq6hvv00b3s5fmay2nm4s869y6lws8v2w9lrqlpgs08z6fh6mjp"; + sha256 = "5756039df908e87729ce3471b191e6d4f8649026d50aafaa2b7a2c00f686065b"; url = "mirror://steamrt/pool/main/g/gst-plugins-base0.10/libgstreamer-plugins-base0.10-0_0.10.36-1ubuntu0.2+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2347,7 +2410,7 @@ } rec { name = "libgstreamer0.10-0_0.10.36-1ubuntu1+srt5_i386"; - sha256 = "0arypn4k1wvaiqqxc271r5w7bkdk0f4mh88l4np3ngfyzn2w6z61"; + sha256 = "c17cc385fdde3d3bae251421588903b3cd7578c9e108d6318e6af33089bd3e2b"; url = "mirror://steamrt/pool/main/g/gstreamer0.10/libgstreamer0.10-0_0.10.36-1ubuntu1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2356,7 +2419,7 @@ } rec { name = "libgtk2.0-0_2.24.10-0ubuntu6+steamrt1+srt5_i386"; - sha256 = "0swmpdf7872z0nb3rsfixspg11nvf0k7sm4xcdgvcliaqjhyv570"; + sha256 = "e094eda1c42a52b65f639d547d2670db86f0aeeed1e93c96055f1c745cbb956b"; url = "mirror://steamrt/pool/main/g/gtk+2.0/libgtk2.0-0_2.24.10-0ubuntu6+steamrt1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2365,7 +2428,7 @@ } rec { name = "libgtk2.0-common_2.24.10-0ubuntu6+steamrt1+srt5_all"; - sha256 = "0rw8iy16ij86abh444jk1xw78b5z81qjxm1292jin3sfhck4bd4x"; + sha256 = "9db44526834e0f1ba54822d42e7140bf2c74780f531242e05206c968828f8867"; url = "mirror://steamrt/pool/main/g/gtk+2.0/libgtk2.0-common_2.24.10-0ubuntu6+steamrt1+srt5_all.deb"; source = fetchurl { inherit url sha256; @@ -2374,7 +2437,7 @@ } rec { name = "libgudev-1.0-0_175-0ubuntu9.10+srt1_i386"; - sha256 = "09gjla6gsx8whbvhzwvgjnm19md7bgpvb76kjfmxzm54hakcjxla"; + sha256 = "8a76c9a682a4d4dfab93d39cb5ef5ba7d514aa956ff30ff7821c75fd8ca2f225"; url = "mirror://steamrt/pool/main/u/udev/libgudev-1.0-0_175-0ubuntu9.10+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2383,7 +2446,7 @@ } rec { name = "libhcrypto4-heimdal_1.6~git20120311.dfsg.1-2+srt5_i386"; - sha256 = "18v3rqaclhdmbh25p48sa6qidi3birnymdfzg2c8z5bpg1giis83"; + sha256 = "03e9185f7877958f9878dfb5ea6d8e6bc416b1511a915b045cb541ca14ce63a3"; url = "mirror://steamrt/pool/main/h/heimdal/libhcrypto4-heimdal_1.6~git20120311.dfsg.1-2+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2392,7 +2455,7 @@ } rec { name = "libheimbase1-heimdal_1.6~git20120311.dfsg.1-2+srt5_i386"; - sha256 = "1546i5h5137ysvcgvyi6hplfx54wqya8sr233vgn838xd2bz024r"; + sha256 = "9908f097681d0d64df1e43648d94c79c94eee88526fafdd8d6fe8c5060898694"; url = "mirror://steamrt/pool/main/h/heimdal/libheimbase1-heimdal_1.6~git20120311.dfsg.1-2+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2401,7 +2464,7 @@ } rec { name = "libheimntlm0-heimdal_1.6~git20120311.dfsg.1-2+srt5_i386"; - sha256 = "1yk0ap8wsi5k2wz5i9vcdw332kbhnlbf5hb8la9pckfrxy6bca82"; + sha256 = "0229b68cefd94d7693a268c1e216b5704d31066f6ca7583e17b344cdd15560fa"; url = "mirror://steamrt/pool/main/h/heimdal/libheimntlm0-heimdal_1.6~git20120311.dfsg.1-2+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2410,7 +2473,7 @@ } rec { name = "libhogweed4_3.2-1ubuntu0.16.04.1+srt1_i386"; - sha256 = "1d3p11al48d1ig6kn74wkx298kizvw9i0s25rs1433k9cx5aissp"; + sha256 = "57eba84a67698e4182ce45681013df3f4e94449f9c1c3bcd8ba12142550877b4"; url = "mirror://steamrt/pool/main/n/nettle/libhogweed4_3.2-1ubuntu0.16.04.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2419,7 +2482,7 @@ } rec { name = "libhx509-5-heimdal_1.6~git20120311.dfsg.1-2+srt5_i386"; - sha256 = "0y6awbyl4w56qa8vkn9dzsnb3162x4p5nr1cf7ir119nl05987cp"; + sha256 = "971d940aa0368590e3712c645b2ee9c284b1acfe2dd9b991c2a67042fde2ca78"; url = "mirror://steamrt/pool/main/h/heimdal/libhx509-5-heimdal_1.6~git20120311.dfsg.1-2+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2428,7 +2491,7 @@ } rec { name = "libice6_1.0.7-2build1+srt5_i386"; - sha256 = "02nygw4q330jgrfgbp46fjpa97bmgzk7sg9ikh97k4c743lshija"; + sha256 = "4a46a8e920879179129c313d7de67f759da4ae7486dcf55c7e128c81097fde0a"; url = "mirror://steamrt/pool/main/libi/libice/libice6_1.0.7-2build1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2437,7 +2500,7 @@ } rec { name = "libidn11_1.32-3ubuntu1.2+steamrt1.1+srt1_i386"; - sha256 = "0zx078d5ycbmy56lcl3j71r9ygfj1b18msrik36ya5qkqm9335rj"; + sha256 = "32973152c51317e5cd9831eb8ac20ad23d9f72387250464df175315f1a3aa07f"; url = "mirror://steamrt/pool/main/libi/libidn/libidn11_1.32-3ubuntu1.2+steamrt1.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2446,7 +2509,7 @@ } rec { name = "libindicator7_0.5.0-0ubuntu1+steamrt1+srt1_i386"; - sha256 = "1qiyw54y8yra871vzvdlj2cqhf1yvg68h9zckyyv87s753x98kkq"; + sha256 = "784e94fa28471fb4bd9fec2788ccdb3e38889990b4edbfc3412a7be449e13ee2"; url = "mirror://steamrt/pool/main/libi/libindicator/libindicator7_0.5.0-0ubuntu1+steamrt1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2455,7 +2518,7 @@ } rec { name = "libjack-jackd2-0_1.9.8~dfsg.1-1ubuntu2+srt4_i386"; - sha256 = "02c4fj82svlkh9whsasclshjlvgbcabsz64ac47hvs2ccaap0gis"; + sha256 = "3a3e7095624ce80d0f618a98af9762eb6d2aa1a64c2b0d7982936e2d90748409"; url = "mirror://steamrt/pool/main/j/jackd2/libjack-jackd2-0_1.9.8~dfsg.1-1ubuntu2+srt4_i386.deb"; source = fetchurl { inherit url sha256; @@ -2464,7 +2527,7 @@ } rec { name = "libjpeg-turbo8_1.1.90+svn733-0ubuntu4.3+srt5_i386"; - sha256 = "0bcp6bxrgdbcgwrg2qgrax111w6xfwyf3rwrpf8j9mwd91hzm0c8"; + sha256 = "8881fa61488dd72491bb99e7e13c77ddf0104257f961f1327f6cb597fb32972d"; url = "mirror://steamrt/pool/main/libj/libjpeg-turbo/libjpeg-turbo8_1.1.90+svn733-0ubuntu4.3+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2473,7 +2536,7 @@ } rec { name = "libjpeg62_6b1-2ubuntu1.1+srt5_i386"; - sha256 = "1l3hm9p30w2kwbjyri452h9v0nprznsl9jh32l9hg4php8kjfidw"; + sha256 = "bc452727baf09207131503ca44b5fdf95ab0131485c4ece5e25370306eaa70d0"; url = "mirror://steamrt/pool/main/libj/libjpeg6b/libjpeg62_6b1-2ubuntu1.1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2482,7 +2545,7 @@ } rec { name = "libjson0_0.9-1ubuntu1.1+srt3_i386"; - sha256 = "0jnbwf7j7fmrylav1dck17kajxdyc67gfmpn09wrrqy0zgdbg3zi"; + sha256 = "f18fb7dafbc0e39c7902f656f78e61be75a9e60993b5b015f5b9ba238fe3cb4a"; url = "mirror://steamrt/pool/main/j/json-c/libjson0_0.9-1ubuntu1.1+srt3_i386.deb"; source = fetchurl { inherit url sha256; @@ -2491,7 +2554,7 @@ } rec { name = "libk5crypto3_1.10+dfsg~beta1-2ubuntu0.7+srt2_i386"; - sha256 = "0czc7vf1q84k252wsx9v9na2jn8vqym62dnb100992cpzj2956c1"; + sha256 = "81999284fc9789940008cb3661aac71b5929944d3b75cd451193201cdc3eec33"; url = "mirror://steamrt/pool/main/k/krb5/libk5crypto3_1.10+dfsg~beta1-2ubuntu0.7+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -2500,7 +2563,7 @@ } rec { name = "libkeyutils1_1.5.2-2+srt5_i386"; - sha256 = "0vmd87b8kk579fi99di79p94nkl0584bsdhgw2gw03czbainq9rx"; + sha256 = "3d276ca35a9f0dc09fe00f36bd082a804e4bd24d27b694a24ba7cc89d641ad6e"; url = "mirror://steamrt/pool/main/k/keyutils/libkeyutils1_1.5.2-2+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2509,7 +2572,7 @@ } rec { name = "libkrb5-26-heimdal_1.6~git20120311.dfsg.1-2+srt5_i386"; - sha256 = "1hjdfsvqzvssxiamjq9dddlzjjz54grzva2a7rsipbmmvnw254yd"; + sha256 = "cd9322b8ddb5ae1b753e4aa8fdf323e54bf9696b2d615955ec5aef8fb7764dc2"; url = "mirror://steamrt/pool/main/h/heimdal/libkrb5-26-heimdal_1.6~git20120311.dfsg.1-2+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2518,7 +2581,7 @@ } rec { name = "libkrb5-3_1.10+dfsg~beta1-2ubuntu0.7+srt2_i386"; - sha256 = "1cr6z0jv6mchs82p6iyskdq6ppbfpyixanxwdbjdg76cpb5as3ii"; + sha256 = "310eadcabacc9cd7e46abc5bd5a3bf6edd6b709bda477305d29055b325f826b3"; url = "mirror://steamrt/pool/main/k/krb5/libkrb5-3_1.10+dfsg~beta1-2ubuntu0.7+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -2527,7 +2590,7 @@ } rec { name = "libkrb5support0_1.10+dfsg~beta1-2ubuntu0.7+srt2_i386"; - sha256 = "11v68jp8f24abx7i6b69jimlmv0lvpb5hnlxnj3f281zsz2smpyw"; + sha256 = "dcdfaac5d73f20e186b49d5a58d6dd14ec4a6b94c92c134f5f8a0887ae446687"; url = "mirror://steamrt/pool/main/k/krb5/libkrb5support0_1.10+dfsg~beta1-2ubuntu0.7+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -2536,7 +2599,7 @@ } rec { name = "liblcms2-2_2.2+git20110628-2ubuntu3.1+srt5_i386"; - sha256 = "1bpb8gfach74nibzzd2mk7mkdhlw1j27yrgckpl9pgzq8jd0ch70"; + sha256 = "e040069a44f8bf9be89dec657f840c9cc236eb9955b4ff57b4e440a6dc43ebae"; url = "mirror://steamrt/pool/main/l/lcms2/liblcms2-2_2.2+git20110628-2ubuntu3.1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2545,7 +2608,7 @@ } rec { name = "libldap-2.4-2_2.4.28-1.1ubuntu4.6+steamrt1.2+srt3_i386"; - sha256 = "072vkbk177xxr0g50vban143m1r67ana3abkv4ha0wk4z8wvvw13"; + sha256 = "23f0bd39fa6472a020d973a9a1ac3a26873a48b06a6d501ec8bd9f13e69a5b1c"; url = "mirror://steamrt/pool/main/o/openldap/libldap-2.4-2_2.4.28-1.1ubuntu4.6+steamrt1.2+srt3_i386.deb"; source = fetchurl { inherit url sha256; @@ -2554,7 +2617,7 @@ } rec { name = "libltdl7_2.4.2-1ubuntu1+srt5_i386"; - sha256 = "1jv01xk4bf0sbq8jawa0zm1q0imc7nmzdwcmkhgscld02rzwwffs"; + sha256 = "da39ce7f16a051a61f9c95f1f6ab3dac468043fd407125115e1ab845660f60cb"; url = "mirror://steamrt/pool/main/libt/libtool/libltdl7_2.4.2-1ubuntu1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2562,9 +2625,9 @@ }; } rec { - name = "libmikmod2_3.1.12-2+srt5_i386"; - sha256 = "12dmbmkv3gmi1cz0bs3fsbhgnhzvf8cjp0b6zj2a2dgplw1h6qdr"; - url = "mirror://steamrt/pool/main/libm/libmikmod/libmikmod2_3.1.12-2+srt5_i386.deb"; + name = "libmikmod2_3.1.12-5+srt1_i386"; + sha256 = "4e0baef8a32d0688d9e45945ae140b42fe7974385c9e8817f95bd15399112ba2"; + url = "mirror://steamrt/pool/main/libm/libmikmod/libmikmod2_3.1.12-5+srt1_i386.deb"; source = fetchurl { inherit url sha256; name = "libmikmod2.deb"; @@ -2572,7 +2635,7 @@ } rec { name = "libmpg123-0_1.25.10-1+steamrt1+srt1_i386"; - sha256 = "0rk7z6ps3gy6jl4v0apz8sdbfpb6xbwf9fniqii559wkhrr2hfn5"; + sha256 = "c53a28728693a75262c4d1bae4f8ea665db79a46ff2ab00995c6bfa1aff96766"; url = "mirror://steamrt/pool/main/m/mpg123/libmpg123-0_1.25.10-1+steamrt1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2581,7 +2644,7 @@ } rec { name = "libncurses5_5.9-4+srt5_i386"; - sha256 = "1jsi7qvwy4h1z1mf9qlhp5pizbn84qgnpgi2y1qjlgym8428k0ga"; + sha256 = "ea81890441d53f2a71f022be6b1f26c8ae1f6fb990e2e46af80112cf373e51cb"; url = "mirror://steamrt/pool/main/n/ncurses/libncurses5_5.9-4+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2590,7 +2653,7 @@ } rec { name = "libncursesw5_5.9-4+srt5_i386"; - sha256 = "17hfrf97qcrw1n7p4nwwwiw3jpqpjphqwnq73pb7p8bsp53qa4h4"; + sha256 = "04128547b97aa17bd61d075b8ee195175f3978e49c5b728f0d3c337c92cb0e9e"; url = "mirror://steamrt/pool/main/n/ncurses/libncursesw5_5.9-4+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2599,7 +2662,7 @@ } rec { name = "libnettle6_3.2-1ubuntu0.16.04.1+srt1_i386"; - sha256 = "0rpw9fg2xfccdvbq0ji66a52rb401r05cs16z9py9r6b0brrxvsf"; + sha256 = "4eef9ef302cbe4e46ffa266856400e80ac2c8a32264a80d76e8cb92e9e4bfc66"; url = "mirror://steamrt/pool/main/n/nettle/libnettle6_3.2-1ubuntu0.16.04.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2608,7 +2671,7 @@ } rec { name = "libnm-glib4_0.9.4.0-0ubuntu4.2+steamrt2+srt1_i386"; - sha256 = "0vsd00x0wvqw84zqlqrbmdxfmzshrvwbr2az3ynkaz8azkxj1d6d"; + sha256 = "cdb420fbfc0a7d35ad1f5f89bcf8ce50ffea7aab2b638a3f411c6f0e3a004d6f"; url = "mirror://steamrt/pool/main/n/network-manager/libnm-glib4_0.9.4.0-0ubuntu4.2+steamrt2+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2617,7 +2680,7 @@ } rec { name = "libnm-util2_0.9.4.0-0ubuntu4.2+steamrt2+srt1_i386"; - sha256 = "1vaqqgxzvixvh8cvdspyr7azfn8dmknvsypz628h2xvbldf0p156"; + sha256 = "a6840b5ca36b77019130ff7abdedac0d59f7d5c9feeab61982bbc7fdfbc358ed"; url = "mirror://steamrt/pool/main/n/network-manager/libnm-util2_0.9.4.0-0ubuntu4.2+steamrt2+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2626,7 +2689,7 @@ } rec { name = "libnotify4_0.7.5-1+srt5_i386"; - sha256 = "0420awfss4r2j8ncrvnapdj9gbh68z7qlp1vbq9wiaqraqy7zccw"; + sha256 = "9cb17f3c5619abc8135e3b5c8acf4706ae9764bbcaeecc2c922213ad1d574010"; url = "mirror://steamrt/pool/main/libn/libnotify/libnotify4_0.7.5-1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2635,7 +2698,7 @@ } rec { name = "libnspr4_4.12-0ubuntu0.12.04.1+srt2_i386"; - sha256 = "1kfs3afaqrfhajbp6dlwzcbzvl8xsg2c04c6b5l1bby1l4ynmqv2"; + sha256 = "62e36a3da1c1af1568598611c0c4d31dd1fd17fb9c36739754d065ac9c1adacd"; url = "mirror://steamrt/pool/main/n/nspr/libnspr4_4.12-0ubuntu0.12.04.1+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -2644,7 +2707,7 @@ } rec { name = "libnss3_3.26.2-0ubuntu0.12.04.1+srt1_i386"; - sha256 = "00m55f14ih9xyri197hnslw2mnzgl0dxwqvwiba0hnhfl3qvqi99"; + sha256 = "2945bcf1a00e5a08d48a7c63de1ba0efdb2a38d5169e1462f63dc148822ba502"; url = "mirror://steamrt/pool/main/n/nss/libnss3_3.26.2-0ubuntu0.12.04.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2653,7 +2716,7 @@ } rec { name = "libogg0_1.3.2-1+srt1_i386"; - sha256 = "09acap6ghv0rf6cavrnqbfphz69sqs1nqacv8flh8sqnxjbrryr1"; + sha256 = "21fb9c97ec166b04a9439b296c83c63a990faf5bd8e6ad9871196cf8cc554c25"; url = "mirror://steamrt/pool/main/libo/libogg/libogg0_1.3.2-1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2662,7 +2725,7 @@ } rec { name = "libopenal1_1.16.0-3+srt1_i386"; - sha256 = "1barqvh4klpdabc1v9kb2q4l8pnmdx97h00hln32zca5z4y5ff9i"; + sha256 = "3139573cf945b12f86a5100078526fd55e4409166ba61dd852edd249e0c659ad"; url = "mirror://steamrt/pool/main/o/openal-soft/libopenal1_1.16.0-3+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2671,7 +2734,7 @@ } rec { name = "libopus0_1.3-0+steamrt1.1+srt2_i386"; - sha256 = "1s4n86hjka5cxkn4znm5jvic89rqsky05bs8nlbyv3a1z4sqh83c"; + sha256 = "6c208835f9418ded17b548af02fcd43827c4e296a5da4fececaca829a14196e8"; url = "mirror://steamrt/pool/main/o/opus/libopus0_1.3-0+steamrt1.1+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -2680,7 +2743,7 @@ } rec { name = "libopusfile0_0.11-0+steamrt1.2+srt1_i386"; - sha256 = "19hsl0h0pgx6qc4sizpgj4mcipq2wk6w316mahv05iskkshwb2il"; + sha256 = "348ac5a19e53c7023654d584c1cde402dfc82a91effea809c3a6bf0b20a01aa6"; url = "mirror://steamrt/pool/main/o/opusfile/libopusfile0_0.11-0+steamrt1.2+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2689,7 +2752,7 @@ } rec { name = "liborc-0.4-0_0.4.16-1ubuntu2+srt5_i386"; - sha256 = "0n9fkxs3qkd4mwhm4cwlnljww1yalwqjcgia420ls3h7i4p7ss4v"; + sha256 = "9b687d2e89070e4d81202a3e2631a7ca07ce25b594335221afa44d3c749f2e59"; url = "mirror://steamrt/pool/main/o/orc/liborc-0.4-0_0.4.16-1ubuntu2+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2698,7 +2761,7 @@ } rec { name = "libp11-kit0_0.23.2-5~ubuntu16.04.1~steamrt1.1+srt1_i386"; - sha256 = "0bvp8xslxfag2kpfyhhgsldb7687q11bj365z2m5xmwlk5ihf0bk"; + sha256 = "730107639994d75eaaf8c50cb942c00799b31ad50f42efee144fb94e7547772f"; url = "mirror://steamrt/pool/main/p/p11-kit/libp11-kit0_0.23.2-5~ubuntu16.04.1~steamrt1.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2707,7 +2770,7 @@ } rec { name = "libpango1.0-0_1.30.0-0ubuntu3.1+steamrt1+srt5_i386"; - sha256 = "0w6m9ycpmlir2wvb7jj0w1p6sh84bjng9i0549bn0afq58f05biw"; + sha256 = "3cae021c2ad82960572205c4f4ac5c04416d6ee040cab3361739d27a994fd570"; url = "mirror://steamrt/pool/main/p/pango1.0/libpango1.0-0_1.30.0-0ubuntu3.1+steamrt1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2716,7 +2779,7 @@ } rec { name = "libpci3_3.1.8-2ubuntu6+srt1_i386"; - sha256 = "1jdakz038hxpkfh0g10acwlaaiqys7c546srdjhmhl9jxizw9ck8"; + sha256 = "68b2c47fec325158a16c591b52d8d11e47a528670a8407a09bb74334c09faac9"; url = "mirror://steamrt/pool/main/p/pciutils/libpci3_3.1.8-2ubuntu6+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2725,7 +2788,7 @@ } rec { name = "libpcre3_8.12-4+steamrt1.1ubuntu0.2+srt1_i386"; - sha256 = "12ycdj8pwxlhhqbg89h6smgvnik2pvy6bqyx9szqmpdcjzddagp5"; + sha256 = "e53ed5da97acdd8abf4edde365fcbe6246bb5fd50626f4168690767e916ccc8b"; url = "mirror://steamrt/pool/main/p/pcre3/libpcre3_8.12-4+steamrt1.1ubuntu0.2+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2734,7 +2797,7 @@ } rec { name = "libpcrecpp0_8.12-4+steamrt1.1ubuntu0.2+srt1_i386"; - sha256 = "0ml6bh7banikn75hzy68s2yx6y6924if4zar10lra1akl63by80l"; + sha256 = "1420bf86a15305952908597de22211c978d3bdd0c8f80fcbb1335ab50e5c8656"; url = "mirror://steamrt/pool/main/p/pcre3/libpcrecpp0_8.12-4+steamrt1.1ubuntu0.2+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2743,7 +2806,7 @@ } rec { name = "libpixman-1-0_0.30.2-1ubuntu0.0.0.0.3+srt2_i386"; - sha256 = "06ch7dsjmjx2c67f932930rawn1cil6vxfnc0xcx937iwd3sq8i7"; + sha256 = "2722ac47e3f18cd45907ccbabe0d8d2c58ae3218498ce48e61a2cb2a753b9019"; url = "mirror://steamrt/pool/main/p/pixman/libpixman-1-0_0.30.2-1ubuntu0.0.0.0.3+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -2752,7 +2815,7 @@ } rec { name = "libpng12-0_1.2.46-3ubuntu4.2+srt2_i386"; - sha256 = "0vv10bzxqxnfmp1p4wl9c19kravqa7pcpcc6xar5przgwfv75fd8"; + sha256 = "a8b972b6e3efe75bb2ea86b1cbee5178ab3c5360897272c3adce76dcff02616f"; url = "mirror://steamrt/pool/main/libp/libpng/libpng12-0_1.2.46-3ubuntu4.2+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -2761,7 +2824,7 @@ } rec { name = "libpulse0_1.1-0ubuntu15.2+steamrt1+srt5_i386"; - sha256 = "0frhw8cw2ima5j40grmdk0hjf6hg6b029wr3f2g2252wzbhzx6ac"; + sha256 = "4c99fee1fa5c14219e7023f324c0320f1a272198ade607882caa46c119e2303b"; url = "mirror://steamrt/pool/main/p/pulseaudio/libpulse0_1.1-0ubuntu15.2+steamrt1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2770,7 +2833,7 @@ } rec { name = "libroken18-heimdal_1.6~git20120311.dfsg.1-2+srt5_i386"; - sha256 = "1284xglgq9j0dqrgggs6455p0qidq5dxd7axgvi22zhzq3h5adfd"; + sha256 = "cd3555e0c01f7e21e27e5d9dd65bc12d62704b2146bff7326e4026fce8eb0489"; url = "mirror://steamrt/pool/main/h/heimdal/libroken18-heimdal_1.6~git20120311.dfsg.1-2+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2779,7 +2842,7 @@ } rec { name = "librtmp0_2.4~20110711.gitc28f1bab-1+steamrt1.1+srt3_i386"; - sha256 = "0c9y8m7ynnchs7mj9nmp7y681mkphqy4p7vca7i5vw2cacd7bbv7"; + sha256 = "67af751a534cf05de2516c9f4b3c8677d6808c3fb7da24ebd19059eb4f453e31"; url = "mirror://steamrt/pool/main/r/rtmpdump/librtmp0_2.4~20110711.gitc28f1bab-1+steamrt1.1+srt3_i386.deb"; source = fetchurl { inherit url sha256; @@ -2788,7 +2851,7 @@ } rec { name = "libsamplerate0_0.1.8-4+srt5_i386"; - sha256 = "070cz870znj9584a9y9npp7zzkkcb049ar2g6m3v1dzf8ijha38x"; + sha256 = "1d0d056544eeb7b047354f649508586cceffcfbd36f9a4082a49da0f0efa0c1c"; url = "mirror://steamrt/pool/main/libs/libsamplerate/libsamplerate0_0.1.8-4+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2797,7 +2860,7 @@ } rec { name = "libsasl2-2_2.1.25.dfsg1-3ubuntu0.1+srt5_i386"; - sha256 = "10hnrhzfxbg2ka3wlhbvg1qd15k5vdbpfxhdi3ip6yynr00zb9zs"; + sha256 = "faa7f501c8d67b73e3880d767757db6596d070787b41ca879ae2adee3ecc1682"; url = "mirror://steamrt/pool/main/c/cyrus-sasl2/libsasl2-2_2.1.25.dfsg1-3ubuntu0.1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2806,7 +2869,7 @@ } rec { name = "libsdl-image1.2_1.2.10-3+srt5_i386"; - sha256 = "0iam5axnl4is7avn37jc95c3qxb5zacl5kl2zg5mbnm4wgcywr08"; + sha256 = "0864eed9e3a4da55cbfb82ce4299fa65753c58494c9e61b73a3a126abb2a5545"; url = "mirror://steamrt/pool/main/s/sdl-image1.2/libsdl-image1.2_1.2.10-3+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2815,7 +2878,7 @@ } rec { name = "libsdl-mixer1.2_1.2.11-7+steamrt1+srt5_i386"; - sha256 = "1zv4iq7743y2g0zmycq1aj48s06lcmv60f0xig5s9zj8kj5nbg36"; + sha256 = "66bc658b9c48fea4cb8b1d38607665d4008d885401335f3f78c20f720e8e64ff"; url = "mirror://steamrt/pool/main/s/sdl-mixer1.2/libsdl-mixer1.2_1.2.11-7+steamrt1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2824,7 +2887,7 @@ } rec { name = "libsdl-ttf2.0-0_2.0.9-1.1ubuntu1+srt5_i386"; - sha256 = "15rbl3zbmg053ach4d25c988m3kj4gjndj5bz1v1spv46798lya8"; + sha256 = "48798ad231645f1d76f8abc866e523728e8a5062453402991a05bcbafea02b97"; url = "mirror://steamrt/pool/main/s/sdl-ttf2.0/libsdl-ttf2.0-0_2.0.9-1.1ubuntu1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2833,7 +2896,7 @@ } rec { name = "libsdl1.2debian_1.2.15-5+steamrt1+srt5_i386"; - sha256 = "1da6gg64n9x86ldxns4dfnixxa4sc6bci34sgy08fa3r05aqh84a"; + sha256 = "8a20885501792887807f9a8cc896619aa8dea3758d68db1b35a8274bcc7b46b5"; url = "mirror://steamrt/pool/main/libs/libsdl1.2/libsdl1.2debian_1.2.15-5+steamrt1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2842,7 +2905,7 @@ } rec { name = "libsdl2_2.0.9.~reimport-0+steamrt1.1+srt1_i386"; - sha256 = "1y5qnxyrsvzqklhdvk6wihmfsxvfd6c93r8fw192zr3f5awvksg3"; + sha256 = "e3e9b9b92a6ee42f52e00ee59198696e77ed2a8cdcccdd209df86f9d7db7b8f8"; url = "mirror://steamrt/pool/main/libs/libsdl2/libsdl2_2.0.9.~reimport-0+steamrt1.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2851,7 +2914,7 @@ } rec { name = "libsdl2-image_2.0.4.~reimport-0+steamrt1.1+srt1_i386"; - sha256 = "1l3x865fm2jf1lzj2b5n0w24jykw3vsdqhj6xz5nzp49jksffwps"; + sha256 = "fa72e7f49489dc6fcbef4642dcf41e7c7a490407b62c213f0d4e8aea8a417dd0"; url = "mirror://steamrt/pool/main/libs/libsdl2-image/libsdl2-image_2.0.4.~reimport-0+steamrt1.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2860,7 +2923,7 @@ } rec { name = "libsdl2-mixer_2.0.4.~reimport-0+steamrt1.1+srt1_i386"; - sha256 = "0d97ggcbssd07fdan6jzd8j7cp3v6apgysbwgaz5c6bn6g61kj9k"; + sha256 = "33c919cc33761956be7a7c69ffae327b5c76246a5f1aab9a3ba069bdd87b2735"; url = "mirror://steamrt/pool/main/libs/libsdl2-mixer/libsdl2-mixer_2.0.4.~reimport-0+steamrt1.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2869,7 +2932,7 @@ } rec { name = "libsdl2-net_2.0.1+srt2_i386"; - sha256 = "0fk45d366021s31b8vlry93crm1c30fqiq2r4a4yvp9gkhlkww4a"; + sha256 = "8a703e299c2fdded892259e0881d182cd4cc46f2996eb4c2d0410063462b643a"; url = "mirror://steamrt/pool/main/libs/libsdl2-net/libsdl2-net_2.0.1+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -2878,7 +2941,7 @@ } rec { name = "libsdl2-ttf_2.0.14+srt2_i386"; - sha256 = "0v9myv9d04h5rs9x216qjg2lf6pwnngsbyylzc0fs5rlzshwdk9s"; + sha256 = "3acdc6a1fe3417ed00fbd4fba59fb5fc1a47c593d804d193ce0512d0d2f6356d"; url = "mirror://steamrt/pool/main/libs/libsdl2-ttf/libsdl2-ttf_2.0.14+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -2887,7 +2950,7 @@ } rec { name = "libselinux1_2.1.0-4.1ubuntu1+srt5_i386"; - sha256 = "0s5x224hx0q6j49pvb3bflzyzzi4ryl60sx6sf7y6wi7kplvibvg"; + sha256 = "6fafb8e99d2772e38fd3a66b60a8cf24feef3f756bac7d139106830e8910bd68"; url = "mirror://steamrt/pool/main/libs/libselinux/libselinux1_2.1.0-4.1ubuntu1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2896,7 +2959,7 @@ } rec { name = "libsm6_1.2.0-2build1+srt5_i386"; - sha256 = "07vrs3rarjah3q06yfqqpb38j67s7dv2p30izhjzps7xxj2qfw6d"; + sha256 = "cd708785ecfde8fb25fc118c2b763bfa1889c6ba183b6f001e50c9acf2d0791f"; url = "mirror://steamrt/pool/main/libs/libsm/libsm6_1.2.0-2build1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2905,7 +2968,7 @@ } rec { name = "libsndfile1_1.0.25-4+steamrt1.1ubuntu0.1+srt1_i386"; - sha256 = "0y4hlf67cdlgcfsw03sjsvm2vch8gsasid58y77rzix43saay229"; + sha256 = "4908af941ea4c79fcff1a8b4a8957e08b22dead6520fc0b5638f36768ca39078"; url = "mirror://steamrt/pool/main/libs/libsndfile/libsndfile1_1.0.25-4+steamrt1.1ubuntu0.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2914,7 +2977,7 @@ } rec { name = "libspeex1_1.2~rc1-3ubuntu2+srt5_i386"; - sha256 = "0d662dxr5dh6dvnz49pii1mqd8k2xk0jaw07sgl7nnd01926xa9p"; + sha256 = "37a96e440aa0597be8d3077025c1ec62a2866b88f126f2ed6e06b6927b13c634"; url = "mirror://steamrt/pool/main/s/speex/libspeex1_1.2~rc1-3ubuntu2+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2923,7 +2986,7 @@ } rec { name = "libspeexdsp1_1.2~rc1-3ubuntu2+srt5_i386"; - sha256 = "1924dczm2sxlfn9ibmp7yvn0chd3y201zhw0a6dv65fwngmzk725"; + sha256 = "459cf9ebb3dc15b39b5180c31f80f0a34106ecf6e7d6159375b46b513f6b44a4"; url = "mirror://steamrt/pool/main/s/speex/libspeexdsp1_1.2~rc1-3ubuntu2+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -2932,7 +2995,7 @@ } rec { name = "libsqlite3-0_3.7.9-2ubuntu1.2+srt2_i386"; - sha256 = "05cihfj3ap1xwpnlmvl3wdbqrbbm6ic10zbja98c429xxx7y8bgv"; + sha256 = "fb2de44fef3d09c25052727d10583475ad8c57e383ee4aede53d5c35a4839115"; url = "mirror://steamrt/pool/main/s/sqlite3/libsqlite3-0_3.7.9-2ubuntu1.2+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -2941,7 +3004,7 @@ } rec { name = "libssl1.0.0_1.0.1-4ubuntu5.39+steamrt1.1+srt1_i386"; - sha256 = "1kwi96xyjf2gz021zg2hkd6ks9l2087r9ghh8iqgwqivbvcizvr7"; + sha256 = "27ef1fd95e3b62fe704410be940f0282263d4d9b50bc1f04f84f38e9bb4991cf"; url = "mirror://steamrt/pool/main/o/openssl/libssl1.0.0_1.0.1-4ubuntu5.39+steamrt1.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2949,9 +3012,9 @@ }; } rec { - name = "libstdc++6_5.4.0-7.really.6+steamrt1.1+srt2_i386"; - sha256 = "0l60yc1i7p20gaih1mzjk8c62zq9ba4zvmfmrk5w8xr05dpyq9a6"; - url = "mirror://steamrt/pool/main/g/gcc-5/libstdc++6_5.4.0-7.really.6+steamrt1.1+srt2_i386.deb"; + name = "libstdc++6_5.4.0-7.really.6+steamrt1.2+srt1_i386"; + sha256 = "27521064164b077fa43707dedce92a1c07205163e1095cb823d9e848eddcfd7e"; + url = "mirror://steamrt/pool/main/g/gcc-5/libstdc++6_5.4.0-7.really.6+steamrt1.2+srt1_i386.deb"; source = fetchurl { inherit url sha256; name = "libstdc++6.deb"; @@ -2959,7 +3022,7 @@ } rec { name = "libstdc++6-4.6-pic_4.6.3-1ubuntu5+steamrt1.2+srt1_i386"; - sha256 = "1a5hyz5185fhh64hw8kf6mav7jz07l9c9v3m9wwd92xj39sp0vzw"; + sha256 = "fc6f70751ab28bd4384f75ecc4123de0cbb355356e220e8981d01514caf7b0a8"; url = "mirror://steamrt/pool/main/g/gcc-4.6/libstdc++6-4.6-pic_4.6.3-1ubuntu5+steamrt1.2+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2968,7 +3031,7 @@ } rec { name = "libswscale2_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_i386"; - sha256 = "1cj7zfhxrb6mvsd8np6q1s1wja2rdd85h2cs9b2pbnlffbr0b9ad"; + sha256 = "4da505f2728eda75c54a9a0958506b5928c9830ed85c8b9aded5acdca1fb47b2"; url = "mirror://steamrt/pool/main/liba/libav/libswscale2_0.8.13-0ubuntu0.12.04.1+steamrt1+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -2977,7 +3040,7 @@ } rec { name = "libtasn1-3_2.10-1ubuntu1.5+srt2_i386"; - sha256 = "12lfinrk76laq7hiddsvi0gskyfgrf7nk2kflqryxwrpf1y34bcl"; + sha256 = "942d327c7037f3ee33a66e8a698fcbcff9a91f885bb716e1c18a9a33b38d8e8a"; url = "mirror://steamrt/pool/main/libt/libtasn1-3/libtasn1-3_2.10-1ubuntu1.5+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -2986,7 +3049,7 @@ } rec { name = "libtasn1-6_4.7-3ubuntu0.16.04.3~steamrt1.1+srt1_i386"; - sha256 = "11bdwhyzzy5xa4p0rrirh7wqg3q1iwbw460nh4ya5aafysiw71il"; + sha256 = "3486c3a3f64ea9a23c811618c2178f018f87f98139e60c2e51bdf8ff3de46d85"; url = "mirror://steamrt/pool/main/libt/libtasn1-6/libtasn1-6_4.7-3ubuntu0.16.04.3~steamrt1.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -2995,7 +3058,7 @@ } rec { name = "libtbb2_4.0+r233-1+steamrt2+srt1_i386"; - sha256 = "0ap5ar8v4ljv138p6kga1vzy96v5ilicxsk8lhp1scvcrcaqfppz"; + sha256 = "ff5e8715cb6c331d2ea468eace228d659be4ff0eea4d73d1085b52b25156e52a"; url = "mirror://steamrt/pool/main/t/tbb/libtbb2_4.0+r233-1+steamrt2+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3004,7 +3067,7 @@ } rec { name = "libtdb1_1.2.9-4+srt5_i386"; - sha256 = "0snf08x6fsjqxs2gzfa7sdxbznq7h347ndawmras84zi0g0bgj6q"; + sha256 = "d8c8b7c003f113a455ae5c357bc88007dbbf7ad347b9ff84ee586a673a02ce6a"; url = "mirror://steamrt/pool/main/t/tdb/libtdb1_1.2.9-4+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3013,7 +3076,7 @@ } rec { name = "libtheora0_1.1.1+dfsg.1-3ubuntu2+srt5_i386"; - sha256 = "1jjbd6yyyw5v5wnvsdc3s4gywm9q0mq32n2dr444qs030nkfvcrp"; + sha256 = "37b3eda60503684c08c94d583170053855ee1fd18335bd2d2fbb70efbd694bca"; url = "mirror://steamrt/pool/main/libt/libtheora/libtheora0_1.1.1+dfsg.1-3ubuntu2+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3022,7 +3085,7 @@ } rec { name = "libtiff4_3.9.5-2ubuntu1.9+srt2_i386"; - sha256 = "0law24ini5ailgykff6blxqfj6zz0lfx5a2s5fzgf06s4j1n2cjv"; + sha256 = "5b32618324da00f7be2b5aa8d21d05ff1be970a7cb3837fda351956823115c51"; url = "mirror://steamrt/pool/main/t/tiff/libtiff4_3.9.5-2ubuntu1.9+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -3031,7 +3094,7 @@ } rec { name = "libtinfo5_5.9-4+srt5_i386"; - sha256 = "1476jzkd5mcipafc8cfb03z9yzkxvdxnfc0qa34xq5rbjwcximmd"; + sha256 = "add6d819972b17dcc9501830677bdb7d7e9ffe00cb31c49cba91d5d2e697e690"; url = "mirror://steamrt/pool/main/n/ncurses/libtinfo5_5.9-4+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3039,8 +3102,17 @@ }; } rec { + name = "libtxc-dxtn-s2tc0_0~git20131104-1.1+srt1_i386"; + sha256 = "780c81b3238a510da420b7e463b9dce4a8eded30785b02ab313a93dbd57f5b5c"; + url = "mirror://steamrt/pool/main/s/s2tc/libtxc-dxtn-s2tc0_0~git20131104-1.1+srt1_i386.deb"; + source = fetchurl { + inherit url sha256; + name = "libtxc-dxtn-s2tc0.deb"; + }; + } + rec { name = "libudev0_175-0ubuntu9.10+srt1_i386"; - sha256 = "089z7vv229w6gnnzwwagixxwn2qcl8gfim1zbagg266vlwqvcyka"; + sha256 = "6a7ab631a7db18f19e5a3fd4e81ea20c0bcb7b8f4f71fead7d862721f63e3f21"; url = "mirror://steamrt/pool/main/u/udev/libudev0_175-0ubuntu9.10+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3049,7 +3121,7 @@ } rec { name = "libusb-1.0-0_1.0.19-1+srt2_i386"; - sha256 = "1rjnir1gm768xhn4lqb4rdai6wabdfysnlzb47qxxzshpfphycmh"; + sha256 = "b0320fafbb50ffdef121eb53abbd6b4b711355cb64614a2cecc89cfa428e56e6"; url = "mirror://steamrt/pool/main/libu/libusb-1.0/libusb-1.0-0_1.0.19-1+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -3058,7 +3130,7 @@ } rec { name = "libuuid1_2.20.1-1ubuntu3.1+steamrt1.2+srt1_i386"; - sha256 = "1xs58z4431ybrmnks0camc4svqpnd4zd814czchi0cs4h1vfvvb8"; + sha256 = "68eded768044331021fb8c04d43e69f6e2ad09ab8a013d6dcdcb8741c84745f7"; url = "mirror://steamrt/pool/main/u/util-linux/libuuid1_2.20.1-1ubuntu3.1+steamrt1.2+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3067,7 +3139,7 @@ } rec { name = "libva-glx1_1.7.0-1+steamos1+srt1_i386"; - sha256 = "1q1aasyqlllhj0jhkf7mfcs4n83kj7yddxlsldl77v5x2rhn6ksx"; + sha256 = "5d4f636116bdec7368a39af6d6fc9173204b3473f5b809259090528abd562ae0"; url = "mirror://steamrt/pool/main/libv/libva/libva-glx1_1.7.0-1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3076,7 +3148,7 @@ } rec { name = "libva-x11-1_1.7.0-1+steamos1+srt1_i386"; - sha256 = "1pk78g722w8j2zl0hij59p4v3j70am167mdd7f58d179j34v7y8p"; + sha256 = "17f9b3c990e984868a3badd5634255e0c8b1c94d454608e817127121ce4367de"; url = "mirror://steamrt/pool/main/libv/libva/libva-x11-1_1.7.0-1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3085,7 +3157,7 @@ } rec { name = "libva1_1.7.0-1+steamos1+srt1_i386"; - sha256 = "17rlsh8sv8qymd17c8k1mlk5gb8fkjlgi2swxiaqnyzw40k52bi5"; + sha256 = "252e512620fc7b8b55ec5c8bf8a89c0ead5726ad61227642ab1ea3ad11d4349f"; url = "mirror://steamrt/pool/main/libv/libva/libva1_1.7.0-1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3094,7 +3166,7 @@ } rec { name = "libvdpau1_0.4.1-3ubuntu1.2+srt2_i386"; - sha256 = "1qa6bssw4rnyjxw79pfpbhhlwzkxcxg2cx5i8lns5sxg072as5sj"; + sha256 = "5217adc401afeba22d45b174265e677d7e4e215cd7dd747897de66c2b55e46e1"; url = "mirror://steamrt/pool/main/libv/libvdpau/libvdpau1_0.4.1-3ubuntu1.2+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -3103,7 +3175,7 @@ } rec { name = "libvorbis0a_1.3.5-4.2+srt1_i386"; - sha256 = "1xx0w861k72k7ksjcizm2j54wiqyvf59r82hcsii96gpx5zc2my4"; + sha256 = "c457c17ee9f79914a36650a09c8adb1e474e8a14f54726f53c539c190ce2a0f7"; url = "mirror://steamrt/pool/main/libv/libvorbis/libvorbis0a_1.3.5-4.2+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3112,7 +3184,7 @@ } rec { name = "libvorbisenc2_1.3.5-4.2+srt1_i386"; - sha256 = "02zcqm71dnsxdbgcwcs5ay73y9mp0248pvd2ma8h7rb3waiigky1"; + sha256 = "c1cf17a3e263e50391aaa2ed8b8800b7263f8e574533cede6a5ddb164ec5ec0b"; url = "mirror://steamrt/pool/main/libv/libvorbis/libvorbisenc2_1.3.5-4.2+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3121,7 +3193,7 @@ } rec { name = "libvorbisfile3_1.3.5-4.2+srt1_i386"; - sha256 = "0703pgi72lrm46nmzpcn723x5m88ki0drm546ng37whs99ca9cb4"; + sha256 = "64b1a4584a1af2339e35a4d4dc409c08d5d2873896dd5fad21355371e2bb031c"; url = "mirror://steamrt/pool/main/libv/libvorbis/libvorbisfile3_1.3.5-4.2+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3130,7 +3202,7 @@ } rec { name = "libvpx1_1.0.0-2+srt1_i386"; - sha256 = "08yngwr92m787ycpsc798kgpyw6ixks55kmqmadjp8zx43pjzaai"; + sha256 = "51a92fef20fda32b9baab8ce52f4ecd1707fdf44e9307d993fe85491327fd623"; url = "mirror://steamrt/pool/main/libv/libvpx/libvpx1_1.0.0-2+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3139,7 +3211,7 @@ } rec { name = "libvulkan1_1.1.73+dfsg-1+steamosc2+srt1_i386"; - sha256 = "0g9f3nk2bq8knqqs6qplw39p729zxqnxyyhipnziqy8h38bilj86"; + sha256 = "06491a171a10791cbfbd117adf2dee3f8973d3e0f462a331b613e125a61d2e3d"; url = "mirror://steamrt/pool/main/v/vulkan/libvulkan1_1.1.73+dfsg-1+steamosc2+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3148,7 +3220,7 @@ } rec { name = "libwind0-heimdal_1.6~git20120311.dfsg.1-2+srt5_i386"; - sha256 = "1xzj4q5wk1pjflqqd85cx1788sd89fjdjgwv4qlwyn76magxi7kh"; + sha256 = "709ed89faae658cf29269b3fd9a44ba869844ee8aca0863175f286c90b26f2f7"; url = "mirror://steamrt/pool/main/h/heimdal/libwind0-heimdal_1.6~git20120311.dfsg.1-2+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3157,7 +3229,7 @@ } rec { name = "libwrap0_7.6.q-21+srt5_i386"; - sha256 = "1lhp9v9cpi5dgk0wdrivr50b0g3l4fy8cjgnjai25hb65w2hj33n"; + sha256 = "760c09052f66c122a292f64986bc23743cb040c93be6c6c17cadc4cbd24e17d2"; url = "mirror://steamrt/pool/main/t/tcp-wrappers/libwrap0_7.6.q-21+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3166,7 +3238,7 @@ } rec { name = "libx11-6_1.6.3-1ubuntu2.1+srt1_i386"; - sha256 = "0mvg378ja1znf6qf5228bmijrznhhzw3r7lxizk9iwhz5pm6h2pm"; + sha256 = "f50a68ea2d1ff298e68f9d9e3cf887d0fe2c635d4888e2b071f60725d1196f57"; url = "mirror://steamrt/pool/main/libx/libx11/libx11-6_1.6.3-1ubuntu2.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3174,17 +3246,8 @@ }; } rec { - name = "libx11-data_1.6.3-1ubuntu2.1+srt1_all"; - sha256 = "1imsbk4mg6n1083gjjv6kssm5g842xlbg40vsc4dw97myxycpncw"; - url = "mirror://steamrt/pool/main/libx/libx11/libx11-data_1.6.3-1ubuntu2.1+srt1_all.deb"; - source = fetchurl { - inherit url sha256; - name = "libx11-data.deb"; - }; - } - rec { name = "libx11-xcb1_1.6.3-1ubuntu2.1+srt1_i386"; - sha256 = "0vpnnsa4ayvin11c9gl5c8bbaplz1z6pm28k0fhfhla5ggq1kd46"; + sha256 = "86b419f07b4551e8a00313897acd0f9f5eb5166285bec442b0717b4594b6f66e"; url = "mirror://steamrt/pool/main/libx/libx11/libx11-xcb1_1.6.3-1ubuntu2.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3193,7 +3256,7 @@ } rec { name = "libxau6_1.0.6-4+srt5_i386"; - sha256 = "1q5qryha5ng0cjcalz5qxd38djxd5n7kb2fnz9mnp4cy2vk5jhmq"; + sha256 = "b84259e6169e916b6bfad689358f2dadcb8646ebb87caa9864e0d9a2a0cfb8e0"; url = "mirror://steamrt/pool/main/libx/libxau/libxau6_1.0.6-4+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3202,7 +3265,7 @@ } rec { name = "libxaw7_1.0.9-3ubuntu1+srt5_i386"; - sha256 = "17085yj7x9hncbgxw5jsi4inn17f6wzdx2akzv9v3l6hvhy8kmkl"; + sha256 = "74d6893cdcd0d0b1d3fe5389de3e37ee046b23895a16dedf6216a67ea42f089c"; url = "mirror://steamrt/pool/main/libx/libxaw/libxaw7_1.0.9-3ubuntu1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3211,7 +3274,7 @@ } rec { name = "libxcb-composite0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "00zfy06nf78gs7ji222lcy1cwzsqfbng9119cgkw5c1z25x0q6a5"; + sha256 = "45190c7a113fb0c2e7632984f4ec72587fce8267540811e5d10f1d670df0ee03"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-composite0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3220,7 +3283,7 @@ } rec { name = "libxcb-damage0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "09y48s64kp0maldv9bwwpj8ahrssvrik8xmqzjgvxcxpblb1zfb7"; + sha256 = "67b91f165db7b3be9ffcb8763463de5a67a890bc9cafb41b5515dc498c46c427"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-damage0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3229,7 +3292,7 @@ } rec { name = "libxcb-dpms0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "085b0qhf15kaw9msmsk8jxp4rk7fym506jmiaqxh1i6wgr66ys86"; + sha256 = "06696f4c7edcc4003b56b14a034af5eecc4c6e9768eaaa6be26a96e02006ab20"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-dpms0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3238,7 +3301,7 @@ } rec { name = "libxcb-dri2-0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "1nwdr550axxca7p438c3g9jb4y2zf8gxyd3756vav4jp1n57i1rq"; + sha256 = "3887788a0d5792adb6296734df1f725f78b2647a83a141ee51ac77054ac98ddb"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-dri2-0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3247,7 +3310,7 @@ } rec { name = "libxcb-dri3-0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "1vjklblpkdrki48dn890920nx8gcj25sxqp24qkpxja8samvl6gr"; + sha256 = "f919baabd248c97e2726e2e2ae8b90eca16e81482021db108933b779e9a253ee"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-dri3-0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3256,7 +3319,7 @@ } rec { name = "libxcb-glx0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "0zwc8c896bka6wi5jri78q1pq5ppsi5dwih9rwfl5c96p6r4lbr6"; + sha256 = "262f4ab2b926b1421dcf0946de4ad4f7167c034627665922376a2e9310438c7f"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-glx0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3265,7 +3328,7 @@ } rec { name = "libxcb-present0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "0cd4jsyj1r6b7nm8g6342f8dafghzd1dc0k61iw3qncwx949j3gy"; + sha256 = "fe0d9948ea9c593c780c6602d642fbf039d59013649887aa3dcbe420bd96a431"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-present0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3274,7 +3337,7 @@ } rec { name = "libxcb-randr0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "1vfrbkq1qqjp0278j70jcldls2g9rmkh9ifb6pnqznagqsrrhwy7"; + sha256 = "c77398b3c64fd98fed35cbc50467cde9094d1b65121c898e0057621cf05cd9ed"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-randr0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3283,7 +3346,7 @@ } rec { name = "libxcb-record0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "0gy7l161idc59a6q8vhgq1krknwmd1cyh5ss2vhp7qznxwh9bd7j"; + sha256 = "f2b49520eff6e373e1165a17e8596895db9967c00f6e848d4a85b5184ca0c73f"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-record0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3292,7 +3355,7 @@ } rec { name = "libxcb-render0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "0gl7389h5ppfd3p30v8vmwl4kxvsqjhmhazszs7mdgalfjwkf9rf"; + sha256 = "2e2737b97454bd568ffefa2b58a1c47af74928af1b6d30ee68eede02131a873e"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-render0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3301,7 +3364,7 @@ } rec { name = "libxcb-res0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "1ca5lk12qncrfkjakjb7yl5f5x41b3ynn3d9wk6paqrchhfn7yir"; + sha256 = "39fa631d842c6375cde4a90d6bfd5881f4e20af567c9a9e47499592cc2a445b1"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-res0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3310,7 +3373,7 @@ } rec { name = "libxcb-screensaver0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "0sz49j0z7zl2mx7cf6kw4ljzsbik92az35yj9i0426p1zaqzx0vs"; + sha256 = "7a83feb1fae11a41404cd297f19548332efd25257c1ac74eaf82fef3814ce46b"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-screensaver0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3319,7 +3382,7 @@ } rec { name = "libxcb-shape0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "1mycsk8ixdn7c8c4ma4vbrilhcdjxqz92nzv9rwxf96vr0dj4bhd"; + sha256 = "0d2e221bc8db24d7794efb5b913eeeb23148635e9ba84a1862c7b61ed1d4ccd7"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-shape0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3328,7 +3391,7 @@ } rec { name = "libxcb-shm0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "1w0d53d8hgzr5ql9is7x4dap6ny6bfp041qa6hldmg8jbw3x8wc3"; + sha256 = "8371d4075f12bdda28340a0702ae5bc65b735523fde898282ef93f88da280df0"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-shm0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3337,7 +3400,7 @@ } rec { name = "libxcb-sync1_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "1pd0pw5q7h28p0j6h4889dq7fpvkjwclsmg96m6sl7a3459cp6b0"; + sha256 = "6099cb5221431daa4d35e9554d1997735f77704b08116824b848c0830bbfa0dd"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-sync1_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3346,7 +3409,7 @@ } rec { name = "libxcb-xevie0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "0nf3s5jff5psab8mv9avkflgwfj2pmbrvc3pyy743b9cwzv7q85p"; + sha256 = "b7207cf6e72cad418ef777b09d57bd423afea89b5ba55dd152fa16e764d1c359"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-xevie0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3355,7 +3418,7 @@ } rec { name = "libxcb-xf86dri0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "149dgslf6hhngjjkhdvrsl3hjx3l2llvnwv6jgnc8hqvz7ck1i1h"; + sha256 = "30c430d9f91b43c4ec936673bb291574740907d5793738a57c1642e3a87e2d91"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-xf86dri0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3364,7 +3427,7 @@ } rec { name = "libxcb-xfixes0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "1rjynbvfxxzm5x07xxfq40qhs7b5pwassn1f7j02q3685swqmk0z"; + sha256 = "1fcc8ab92ec80c2c803c2e58ad15bf651d0d3120d8f57e402ff5f7eef6b25ee6"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-xfixes0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3373,7 +3436,7 @@ } rec { name = "libxcb-xinerama0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "0m0v4c50104c2khx9vcsf3v3xyl031xv2yladxfp168gchrczyk9"; + sha256 = "69facf32640f99705d6f8a7ab17b1880fa3ef6709aedd4e1148c80000a231b54"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-xinerama0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3382,7 +3445,7 @@ } rec { name = "libxcb-xkb1_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "0d56vivs4ykanc1v3pbn9mzgcyw4ffx7c59ck1758g2vxfvi837w"; + sha256 = "fc0c14b7eb5b3c544e982c1576ba73847bf67e4d76ddb103b36a7aa277dca634"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-xkb1_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3391,7 +3454,7 @@ } rec { name = "libxcb-xprint0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "0gclf7sgd661820bqqj3aabykxp0m38y3wd9m25znqgqqg5bk6im"; + sha256 = "359ab9cac3f861fb8ba8a9f1e1d1a8e0f6e997524362bc8040c198f6f471943d"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-xprint0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3400,7 +3463,7 @@ } rec { name = "libxcb-xtest0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "0swkpx39gd9n553qrc8dhdv4vvnan1byrkvy935zzimzxv8phxfm"; + sha256 = "d57578d1eebfc6ffcb487ecfec57b0caee4d76830db18c472936b59746bf936b"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-xtest0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3409,7 +3472,7 @@ } rec { name = "libxcb-xv0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "0mi49k0xfk34x71ap4q9wy4p7cs31z25pg0p41yr859q4y49461r"; + sha256 = "39189288273815947d2017bc5bc40f43b37389e70993abc2e9644cd7c14c2456"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-xv0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3418,7 +3481,7 @@ } rec { name = "libxcb-xvmc0_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "068y30y9ry4hlfc4dsza47z6lakfz2cy0zkdq5rn3nbs59d7f2nh"; + sha256 = "d00a775a2a7ad96173c16d7ee099f86e2a6afe21eaeb4698a390f89c3c181e19"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb-xvmc0_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3427,7 +3490,7 @@ } rec { name = "libxcb1_1.11.1-1ubuntu1+steamos1+srt1_i386"; - sha256 = "0sga5mjr5bk5w0mdq0y2hxfdhpfpzv9m9anfy2zkaw60gy6n0qk6"; + sha256 = "6662608d7fc07035bff0ceaa54d3fed75dd85c87c203dc2ae065ae92652dea69"; url = "mirror://steamrt/pool/main/libx/libxcb/libxcb1_1.11.1-1ubuntu1+steamos1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3436,7 +3499,7 @@ } rec { name = "libxcomposite1_0.4.3-2build1+srt5_i386"; - sha256 = "1c23iswcyi5axg5hql3kkfmcvxkzjl1db9klrlsqb2c0455pjlsf"; + sha256 = "4e53794b2180898535cd74a6d502957ff6cdaa9b73500ccbebaa44cfb88e43b0"; url = "mirror://steamrt/pool/main/libx/libxcomposite/libxcomposite1_0.4.3-2build1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3445,7 +3508,7 @@ } rec { name = "libxcursor1_1.1.12-1ubuntu0.1+srt5_i386"; - sha256 = "18321skl4h2s3izzaaw1jk2blfq93ygk4b2f2g4mnkipn1i9rybx"; + sha256 = "7df99c62b0374e5bc9134e2c329f1f093bbac494812bf57f1c5a4042a70e62a0"; url = "mirror://steamrt/pool/main/libx/libxcursor/libxcursor1_1.1.12-1ubuntu0.1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3454,7 +3517,7 @@ } rec { name = "libxdamage1_1.1.3-2build1+srt5_i386"; - sha256 = "01pam4srj0d3vyrrhlsnfkznpxgil3p674i0armxqfydzh4bww8q"; + sha256 = "1871be08fccd3bdc6b56209263eea0f1f56bff74565398b3dfa3019935a9ea06"; url = "mirror://steamrt/pool/main/libx/libxdamage/libxdamage1_1.1.3-2build1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3463,7 +3526,7 @@ } rec { name = "libxdmcp6_1.1.0-4+srt5_i386"; - sha256 = "0vz6w11yld3ii0b3i68wwzx7qsgd64yj8709h32db08izc1a2wdh"; + sha256 = "b071a102fb1181d5c480091c243d31ed697cfae71c993816887134ea43e0e66f"; url = "mirror://steamrt/pool/main/libx/libxdmcp/libxdmcp6_1.1.0-4+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3472,7 +3535,7 @@ } rec { name = "libxext6_1.3.0-3ubuntu0.2+steamrt1+srt2_i386"; - sha256 = "11jjds6qk9wvx446rph5n2qsr9b36jlr99ayw98zr89b3y6y8lyf"; + sha256 = "ce53e48d1f2ba1fc51e25ea594a93463a5acb1b005de6c08e99ba7898d6e5286"; url = "mirror://steamrt/pool/main/libx/libxext/libxext6_1.3.0-3ubuntu0.2+steamrt1+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -3481,7 +3544,7 @@ } rec { name = "libxfixes3_5.0-4ubuntu4.4+srt2_i386"; - sha256 = "19rm8s1dq7vysijlksbac04045x5j4g30s377im4ydljjh2vajh6"; + sha256 = "064ab5059492364f6a3c6768301e91a5170208606ae94965d47e1fdc824635a7"; url = "mirror://steamrt/pool/main/libx/libxfixes/libxfixes3_5.0-4ubuntu4.4+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -3490,7 +3553,7 @@ } rec { name = "libxft2_2.2.0-3ubuntu2+srt5_i386"; - sha256 = "0pcd8bvdlh41bixbdkrl0sm6libgns7ndjzyvj86s3qks7k11g97"; + sha256 = "27bd10e6d1130f6d90dcfecb668fb66f456aaa0634cfb67a5c8140daf6428d5d"; url = "mirror://steamrt/pool/main/x/xft/libxft2_2.2.0-3ubuntu2+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3499,7 +3562,7 @@ } rec { name = "libxi6_1.7.1.901-1ubuntu1~precise3+srt2_i386"; - sha256 = "0pykpahh9j7cp91mbkqw22drl5npq3pbd712chbrmkdjdqm14x9p"; + sha256 = "3775122a6eb2cd9a1764229cb6eec0d7169a9b101ccf5543baecc804a1bad35f"; url = "mirror://steamrt/pool/main/libx/libxi/libxi6_1.7.1.901-1ubuntu1~precise3+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -3508,7 +3571,7 @@ } rec { name = "libxinerama1_1.1.1-3ubuntu0.1+srt5_i386"; - sha256 = "0xd0rfr6h25s3h6n8in5kby4fm88s1ykw2y8clymk79znmgby0av"; + sha256 = "5b01bf5eb53f9d593d65c80b3e7dd0085547fc9ac546640d1cba0868b2cba075"; url = "mirror://steamrt/pool/main/libx/libxinerama/libxinerama1_1.1.1-3ubuntu0.1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3517,7 +3580,7 @@ } rec { name = "libxml2_2.7.8.dfsg-5.1ubuntu4.17+srt1_i386"; - sha256 = "1ss7fpdqdgm8hmcgm1d59x5mfr4n7zps8bjg1304nn29a3cck26z"; + sha256 = "df88c9d85049584bc0084f2ea4ef3f9664574b4fa585fa5885a8be86db7547eb"; url = "mirror://steamrt/pool/main/libx/libxml2/libxml2_2.7.8.dfsg-5.1ubuntu4.17+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3526,7 +3589,7 @@ } rec { name = "libxmu6_1.1.0-3+srt5_i386"; - sha256 = "0z39zacqis2cq1gdwam1wk5xmisdhsrly7r1a8g5h8h6hbrri72w"; + sha256 = "5c9c98f3820622581e52211f4fb3864dc7dacbe4a12ade5ec04ce88899fa697c"; url = "mirror://steamrt/pool/main/libx/libxmu/libxmu6_1.1.0-3+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3535,7 +3598,7 @@ } rec { name = "libxpm4_3.5.9-4+steamrt1.1ubuntu0.1+srt1_i386"; - sha256 = "02k70n6v245l0kqf7gjjv4bm12zmxcw8cayjv9xh8cp6svsxdzsq"; + sha256 = "58ffd6f5d6e632047bdad22b8638ebf58b5017d952bee3f004b410b18d05670a"; url = "mirror://steamrt/pool/main/libx/libxpm/libxpm4_3.5.9-4+steamrt1.1ubuntu0.1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3544,7 +3607,7 @@ } rec { name = "libxrandr2_1.5.0-1+srt1_i386"; - sha256 = "10nqrxs2dxs06wah8dy48v7v8vdllpg9wwhcn67i7dkgfli27ykj"; + sha256 = "72fa2322756fb6138fb10c729edea5b46db4cf46c43704153740f72674cfd882"; url = "mirror://steamrt/pool/main/libx/libxrandr/libxrandr2_1.5.0-1+srt1_i386.deb"; source = fetchurl { inherit url sha256; @@ -3553,7 +3616,7 @@ } rec { name = "libxrender1_0.9.6-2ubuntu0.2+srt2_i386"; - sha256 = "0i48bi4zfv90dgc190mr8wd8hymbhdldrk1q2qag0l9fmn5hkwqv"; + sha256 = "1bf3098bad2e51f0141638ccdc6883ab7a881a47b98214d86b206df7495c8844"; url = "mirror://steamrt/pool/main/libx/libxrender/libxrender1_0.9.6-2ubuntu0.2+srt2_i386.deb"; source = fetchurl { inherit url sha256; @@ -3562,7 +3625,7 @@ } rec { name = "libxss1_1.2.1-2+srt5_i386"; - sha256 = "015n1l1hl4vvgb53zx6gisl44p2pjj339adabqlfy1fqwjf0ff4z"; + sha256 = "9f38079ce4d805ef285eaaa9348694575c42a88ecff43fca7a7b130a030db604"; url = "mirror://steamrt/pool/main/libx/libxss/libxss1_1.2.1-2+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3571,7 +3634,7 @@ } rec { name = "libxt6_1.1.1-2ubuntu0.1+srt5_i386"; - sha256 = "0yqb6j6rh9y65bfbqv1hrggl1719lsm6yzydbmpnilz15p3f4sa9"; + sha256 = "4969e2c62de1d3686f5dcd7f6faaa6299c40dfcb306cbcdc2ac627988d340b7b"; url = "mirror://steamrt/pool/main/libx/libxt/libxt6_1.1.1-2ubuntu0.1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3580,7 +3643,7 @@ } rec { name = "libxtst6_1.2.0-4ubuntu0.1+srt5_i386"; - sha256 = "1h21nyc2bigm3brpzmdaafvry4wszmykbs13vagmibwhb6rls7xd"; + sha256 = "ad1f4db35990af589fda23e8357dfd9a139fb753aad57ff31af5c52598b741c0"; url = "mirror://steamrt/pool/main/libx/libxtst/libxtst6_1.2.0-4ubuntu0.1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3589,7 +3652,7 @@ } rec { name = "libxxf86vm1_1.1.1-2ubuntu0.1+srt5_i386"; - sha256 = "133xi181g1pcd08px9yz7wqz93axy0cq9x5y76qp34dj7i9pscsg"; + sha256 = "4f337d533cb29171b139bef48419f05d8df4313fdfa77e1168ec861750887d8c"; url = "mirror://steamrt/pool/main/libx/libxxf86vm/libxxf86vm1_1.1.1-2ubuntu0.1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3598,7 +3661,7 @@ } rec { name = "nvidia-cg-toolkit_3.0.0016-0ubuntu1+srt5_i386"; - sha256 = "0nvhk0xyi1cc12j2j97x763irk2d9l8n0p3fjyg7s3jgm4va3yjj"; + sha256 = "52faa136a94f0e7d9e976e5c60114d4dcc1c8739fd2429a4088c85e83b98705b"; url = "mirror://steamrt/pool/main/n/nvidia-cg-toolkit/nvidia-cg-toolkit_3.0.0016-0ubuntu1+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3606,8 +3669,26 @@ }; } rec { + name = "steamrt-legacy_1.20190320.0+srt1_i386"; + sha256 = "d66cb2c0dd90b32198adf6700e22e2b2da7d5bfc2e7e6f25fdd1beeaac5f8caf"; + url = "mirror://steamrt/pool/main/s/steamrt/steamrt-legacy_1.20190320.0+srt1_i386.deb"; + source = fetchurl { + inherit url sha256; + name = "steamrt-legacy.deb"; + }; + } + rec { + name = "steamrt-libs_1.20190320.0+srt1_i386"; + sha256 = "abe0f70b7b165e6d9a0aa4d8238921a5a9f32beb94c4a4bbce7a15c8c40a9d11"; + url = "mirror://steamrt/pool/main/s/steamrt/steamrt-libs_1.20190320.0+srt1_i386.deb"; + source = fetchurl { + inherit url sha256; + name = "steamrt-libs.deb"; + }; + } + rec { name = "zenity_3.4.0-0ubuntu4+steamrt2+srt5_i386"; - sha256 = "04j0mbdcv8891apw3lak161fbvpyl8qykvqvn6ljl3mimf3qabcd"; + sha256 = "8d2d8587abb10e2aa9b11befe931a2feeee5820953d1c1af0a09a1cddaaa4012"; url = "mirror://steamrt/pool/main/z/zenity/zenity_3.4.0-0ubuntu4+steamrt2+srt5_i386.deb"; source = fetchurl { inherit url sha256; @@ -3615,8 +3696,17 @@ }; } rec { + name = "zenity-common_3.4.0-0ubuntu4+steamrt2+srt5_all"; + sha256 = "27c90bd85c4ff3c9593088d62a19537f7250910dcdd28c278bd6c71157e8be88"; + url = "mirror://steamrt/pool/main/z/zenity/zenity-common_3.4.0-0ubuntu4+steamrt2+srt5_all.deb"; + source = fetchurl { + inherit url sha256; + name = "zenity-common.deb"; + }; + } + rec { name = "zlib1g_1.2.3.4.dfsg-3ubuntu4+srt6_i386"; - sha256 = "1qbqclnhhwfxj5ajsb05c3pg5iq5imf4pl6yisx5hw5jndgmzch7"; + sha256 = "07b25f5fb3b27058ba8eded04b5c8d05c7f2ee60052c2d5591dd71082d6578e1"; url = "mirror://steamrt/pool/main/z/zlib/zlib1g_1.2.3.4.dfsg-3ubuntu4+srt6_i386.deb"; source = fetchurl { inherit url sha256; diff --git a/nixpkgs/pkgs/games/steam/update-runtime.py b/nixpkgs/pkgs/games/steam/update-runtime.py index aed42cdd5668..d33165b309da 100755 --- a/nixpkgs/pkgs/games/steam/update-runtime.py +++ b/nixpkgs/pkgs/games/steam/update-runtime.py @@ -35,12 +35,11 @@ def parse_args(): parser.add_argument("--repo", help="source repository", default=REPO) return parser.parse_args() -def download_file(file_base, file_name, file_url): +def download_file(file_base, file_name, file_url, sha256): file_shortname = file_base + ".deb" - sha256 = subprocess.check_output(["nix-prefetch-url", "--type", "sha256", "--name", file_shortname, file_url]) out.write(" rec {\n") out.write(" name = \"%s\";\n" % file_name) - out.write(" sha256 = \"%s\";\n" % sha256.strip()) + out.write(" sha256 = \"%s\";\n" % sha256) out.write(" url = \"%s\";\n" % file_url.replace(REPO, "mirror://steamrt", 1)) out.write(" source = fetchurl {\n") out.write(" inherit url sha256;\n") @@ -49,8 +48,20 @@ def download_file(file_base, file_name, file_url): out.write(" }\n") +def parse_dependencies (arch, binarylist): + packages_url = "%s/dists/%s/%s/binary-%s/Packages" % (REPO, DIST, COMPONENT, arch) + for stanza in deb822.Packages.iter_paragraphs(urllib.urlopen(packages_url)): + p = stanza['Package'] + if p in binarylist: + for deps in stanza.relations['depends']: + for dep in deps: + binarylist.add(dep['name']) + return binarylist + def install_binaries (arch, binarylist): - installset = binarylist.copy() + installset = parse_dependencies(arch, binarylist.copy()) + # Steam doesn't start if we include their libc + installset.remove("libc6") # # Load the Packages file so we can find the location of each binary package @@ -66,7 +77,7 @@ def install_binaries (arch, binarylist): # Download the package and install it # file_url="%s/%s" % (REPO,stanza['Filename']) - download_file(p, os.path.splitext(os.path.basename(stanza['Filename']))[0], file_url) + download_file(p, os.path.splitext(os.path.basename(stanza['Filename']))[0], file_url, stanza["SHA256"]) installset.remove(p) for p in installset: @@ -108,22 +119,13 @@ if args.debug: COMPONENT = "debug" # Process packages.txt to get the list of source and binary packages -source_pkgs = set() binary_pkgs = set() print ("Creating runtime-generated.nix") -pkgs_list = urllib.urlopen("https://raw.githubusercontent.com/ValveSoftware/steam-runtime/master/packages.txt").readlines() -for line in pkgs_list: - if line[0] != '#': - toks = line.split() - if len(toks) > 1: - source_pkgs.add(toks[0]) - binary_pkgs.update(toks[1:]) - -# remove development packages for end-user runtime -if not args.debug: - binary_pkgs -= {x for x in binary_pkgs if re.search('-dbg$|-dev$|-multidev$',x)} +# https://github.com/ValveSoftware/steam-runtime/blob/173ef028fb6b84e804f4e1b0ef11c12ffd4f3a8e/build-runtime.py#L264 +binary_pkgs.add("steamrt-libs") +binary_pkgs.add("steamrt-legacy") for arch in arches: out.write(" %s = [\n" % arch) diff --git a/nixpkgs/pkgs/games/super-tux-kart/default.nix b/nixpkgs/pkgs/games/super-tux-kart/default.nix index a81d7f33d43f..351c24f5f790 100644 --- a/nixpkgs/pkgs/games/super-tux-kart/default.nix +++ b/nixpkgs/pkgs/games/super-tux-kart/default.nix @@ -1,27 +1,26 @@ { stdenv, fetchFromGitHub, fetchsvn, fetchpatch, cmake, pkgconfig , openal, freealut, libGLU_combined, libvorbis, libogg, gettext, curl, freetype -, fribidi, libtool, bluez, libjpeg, libpng, zlib, libX11, libXrandr }: +, fribidi, libtool, bluez, libjpeg, libpng, zlib, libX11, libXrandr, enet }: let dir = "stk-code"; in stdenv.mkDerivation rec { - name = "supertuxkart-${version}"; - - version = "0.9.3"; + pname = "supertuxkart"; + version = "1.0"; srcs = [ (fetchFromGitHub { owner = "supertuxkart"; repo = "stk-code"; rev = version; - sha256 = "1smnanjjaj4yq2ywikv0l6xysh6n2h1cm549plbg5xdk9mx2sfia"; + sha256 = "03mrnzrvfdgjc687n718f5zsray6vbdlv4irzy2mfi78bz3bkjll"; name = dir; }) (fetchsvn { url = "https://svn.code.sf.net/p/supertuxkart/code/stk-assets"; - rev = "17448"; - sha256 = "0lxbb4k57gv4gj12l5hnvhwdycpzcxjwg7qdfwglj2bdvaxf9f21"; + rev = "18212"; + sha256 = "1dyj8r5rfifhnhayga8w8irkpa99vw57xjmy74cp8xz8g7zvdzqf"; name = "stk-assets"; }) ]; @@ -31,15 +30,7 @@ in stdenv.mkDerivation rec { buildInputs = [ libX11 libXrandr openal freealut libGLU_combined libvorbis libogg zlib freetype - curl fribidi bluez libjpeg libpng - ]; - - # https://github.com/supertuxkart/stk-code/issues/3557#issuecomment-440794379 - patches = [ - (fetchpatch { - url = "https://github.com/supertuxkart/stk-code/commit/3a3953f38c3555e87f3608d0291dbfccf34e9775.patch"; - sha256 = "13mr5pwf45g7frpxzlxiyiq39qi3z9spd7l6gi8i3dr3li9wrb1k"; - }) + curl fribidi bluez libjpeg libpng enet ]; enableParallelBuilding = true; diff --git a/nixpkgs/pkgs/games/teeworlds/default.nix b/nixpkgs/pkgs/games/teeworlds/default.nix index 846054765e00..43f9848d88d8 100644 --- a/nixpkgs/pkgs/games/teeworlds/default.nix +++ b/nixpkgs/pkgs/games/teeworlds/default.nix @@ -3,13 +3,14 @@ }: stdenv.mkDerivation rec { - name = "teeworlds-0.7.2"; + pname = "teeworlds"; + version = "0.7.3.1"; src = fetchFromGitHub { owner = "teeworlds"; repo = "teeworlds"; - rev = "0.7.2"; - sha256 = "15l988qcsqgb6rjais0qd5sd2rjanm2708jmzvkariqzz0d6pb93"; + rev = version; + sha256 = "05qj6gdw167pan1gwq82ffz03nmlhhzrv1sslpfm8w81nq4b5c72"; }; postPatch = '' diff --git a/nixpkgs/pkgs/games/torcs/default.nix b/nixpkgs/pkgs/games/torcs/default.nix index 7497084f8d02..67d7f82457f9 100644 --- a/nixpkgs/pkgs/games/torcs/default.nix +++ b/nixpkgs/pkgs/games/torcs/default.nix @@ -12,25 +12,30 @@ stdenv.mkDerivation rec { patches = [ (fetchpatch { - url = "https://salsa.debian.org/games-team/torcs/raw/master/debian/patches/gcc6-isnan.patch"; + url = "https://salsa.debian.org/games-team/torcs/raw/fb0711c171b38c4648dc7c048249ec20f79eb8e2/debian/patches/gcc6-isnan.patch"; sha256 = "16scmq30vwb8429ah9d4ws0v1w6ai59lvn7hcgnvfzyap42ry876"; }) (fetchpatch { - url = "https://salsa.debian.org/games-team/torcs/raw/master/debian/patches/format-argument.patch"; + url = "https://salsa.debian.org/games-team/torcs/raw/fb0711c171b38c4648dc7c048249ec20f79eb8e2/debian/patches/format-argument.patch"; sha256 = "04advcx88yh23ww767iysydzhp370x7cqp2wf9hk2y1qvw7mxsja"; }) (fetchpatch { - url = "https://salsa.debian.org/games-team/torcs/raw/master/debian/patches/glibc-default-source.patch"; + url = "https://salsa.debian.org/games-team/torcs/raw/fb0711c171b38c4648dc7c048249ec20f79eb8e2/debian/patches/glibc-default-source.patch"; sha256 = "0k4hgpddnhv68mdc9ics7ah8q54j60g394d7zmcmzg6l3bjd9pyp"; }) + (fetchpatch { + url = "https://salsa.debian.org/games-team/torcs/raw/32bbe77c68b4de07b28c34497f3c0ad666ee618d/debian/patches/gcc7.patch"; + sha256 = "09iilnvdv8h7b4nb1372arszkbz9hbzsck4rimzz1xjdh9ydniw9"; + }) ]; postPatch = '' sed -i -e s,/bin/bash,`type -P bash`, src/linux/torcs.in ''; + nativeBuildInputs = [ makeWrapper ]; buildInputs = [ libGLU freeglut libX11 plib openal freealut libXrandr xorgproto - libXext libSM libICE libXi libXt libXrender libXxf86vm libpng zlib libvorbis makeWrapper ]; + libXext libSM libICE libXi libXt libXrender libXxf86vm libpng zlib libvorbis ]; installTargets = "install datainstall"; diff --git a/nixpkgs/pkgs/games/wesnoth/default.nix b/nixpkgs/pkgs/games/wesnoth/default.nix index 137b0e0b6081..bf9e1cdd6354 100644 --- a/nixpkgs/pkgs/games/wesnoth/default.nix +++ b/nixpkgs/pkgs/games/wesnoth/default.nix @@ -6,13 +6,13 @@ stdenv.mkDerivation rec { pname = "wesnoth"; - version = "1.14.6"; + version = "1.14.7"; name = "${pname}-${version}"; src = fetchurl { url = "mirror://sourceforge/sourceforge/${pname}/${name}.tar.bz2"; - sha256 = "0aw3czw3nq8ffakhw2libhvrhnllj61xc5lxpjqv0ig1419s1lj5"; + sha256 = "0j2yvkcggj5k0r2cqk8ndnj77m37a00srfd9qg7pdpqffbinqpj7"; }; nativeBuildInputs = [ cmake pkgconfig ]; diff --git a/nixpkgs/pkgs/misc/base16-shell-preview/default.nix b/nixpkgs/pkgs/misc/base16-shell-preview/default.nix new file mode 100644 index 000000000000..72b7de3b9a27 --- /dev/null +++ b/nixpkgs/pkgs/misc/base16-shell-preview/default.nix @@ -0,0 +1,23 @@ +{ lib, python3Packages }: + +python3Packages.buildPythonApplication rec { + pname = "base16-shell-preview"; + version = "0.3.0"; + + src = python3Packages.fetchPypi { + inherit version; + pname = "base16_shell_preview"; + sha256 = "0x2fbicrcqgf2dl7dqzm14dz08vjjziabaaw33wah3v9wv4rw7jq"; + }; + + # No tests + # If enabled, will attempt to run '__init__.py, and will fail with "/homeless-shelter" as HOME + doCheck = false; + + meta = with lib; { + description = "Browse and preview Base16 Shell themes in your terminal"; + homepage = https://github.com/nvllsvm/base16-shell-preview; + license = licenses.mit; + maintainers = [ maintainers.rencire ]; + }; +} diff --git a/nixpkgs/pkgs/misc/cups/default.nix b/nixpkgs/pkgs/misc/cups/default.nix index 3089fe93deaf..ef39e9f2fb55 100644 --- a/nixpkgs/pkgs/misc/cups/default.nix +++ b/nixpkgs/pkgs/misc/cups/default.nix @@ -12,7 +12,7 @@ stdenv.mkDerivation rec { name = "cups-${version}"; # After 2.2.6, CUPS requires headers only available in macOS 10.12+ - version = if stdenv.isDarwin then "2.2.6" else "2.2.10"; + version = if stdenv.isDarwin then "2.2.6" else "2.2.11"; passthru = { inherit version; }; @@ -20,7 +20,7 @@ stdenv.mkDerivation rec { url = "https://github.com/apple/cups/releases/download/v${version}/cups-${version}-source.tar.gz"; sha256 = if version == "2.2.6" then "16qn41b84xz6khrr2pa2wdwlqxr29rrrkjfi618gbgdkq9w5ff20" - else "1fq52aw1mini3ld2czv5gg37wbbvh4n7yc7wzzxvbs3zpfrv5j3p"; + else "0v5p10lyv8wv48s8ghkhjmdrxg6iwj8hn36v1ilkz46n7y0i107m"; }; outputs = [ "out" "lib" "dev" "man" ]; diff --git a/nixpkgs/pkgs/misc/cups/filters.nix b/nixpkgs/pkgs/misc/cups/filters.nix index d063ec912b97..40e6f907bf05 100644 --- a/nixpkgs/pkgs/misc/cups/filters.nix +++ b/nixpkgs/pkgs/misc/cups/filters.nix @@ -9,11 +9,11 @@ let in stdenv.mkDerivation rec { name = "cups-filters-${version}"; - version = "1.22.2"; + version = "1.22.5"; src = fetchurl { url = "https://openprinting.org/download/cups-filters/${name}.tar.xz"; - sha256 = "06gy3wv6p7kswz3mjn17f17mqpadvqj2riwc42kksnvp8x0h08cw"; + sha256 = "19h7yy92wjfs401jzwyr03f3lp2xwg7sw83728r302rg2ni57m1h"; }; nativeBuildInputs = [ pkgconfig makeWrapper ]; diff --git a/nixpkgs/pkgs/misc/emulators/dolphin-emu/master.nix b/nixpkgs/pkgs/misc/emulators/dolphin-emu/master.nix index e57c3854926f..d44560b4ddf4 100644 --- a/nixpkgs/pkgs/misc/emulators/dolphin-emu/master.nix +++ b/nixpkgs/pkgs/misc/emulators/dolphin-emu/master.nix @@ -20,13 +20,13 @@ let }; in stdenv.mkDerivation rec { name = "dolphin-emu-${version}"; - version = "2019-02-16"; + version = "5.0-9976"; src = fetchFromGitHub { owner = "dolphin-emu"; repo = "dolphin"; - rev = "286aafd4ed2949f0b93230fee969c6a32fe75f07"; - sha256 = "0l0cpq8s7wnng7mhbnzf4v84zggqsqdykrzfyz5avvbv9pla7jwp"; + rev = "63f30cc44da248b0226e1c8724b3e53ecf4c768f"; + sha256 = "0lkf571kzmw26fybl1lqpvhc81jkbh4hcvi3766bb7mvvzapkybd"; }; enableParallelBuilding = true; @@ -47,6 +47,9 @@ in stdenv.mkDerivation rec { cmakeFlags = [ "-DUSE_SHARED_ENET=ON" "-DENABLE_LTO=ON" + "-DDOLPHIN_WC_REVISION=${src.rev}" + "-DDOLPHIN_WC_DESCRIBE=${version}" + "-DDOLPHIN_WC_BRANCH=master" ] ++ stdenv.lib.optionals stdenv.isDarwin [ "-DOSX_USE_DEFAULT_SEARCH_PATH=True" ]; diff --git a/nixpkgs/pkgs/misc/emulators/dosbox/default.nix b/nixpkgs/pkgs/misc/emulators/dosbox/default.nix index 878e98fa1665..fb63554871a2 100644 --- a/nixpkgs/pkgs/misc/emulators/dosbox/default.nix +++ b/nixpkgs/pkgs/misc/emulators/dosbox/default.nix @@ -1,4 +1,4 @@ -{ stdenv, lib, fetchurl, makeDesktopItem, SDL, SDL_net, SDL_sound, libGLU_combined, libpng }: +{ stdenv, lib, fetchurl, makeDesktopItem, SDL, SDL_net, SDL_sound, libGLU_combined, libpng, graphicsmagick }: stdenv.mkDerivation rec { name = "dosbox-0.74-2"; @@ -12,11 +12,14 @@ stdenv.mkDerivation rec { buildInputs = [ SDL SDL_net SDL_sound libGLU_combined libpng ]; + nativeBuildInputs = [ graphicsmagick ]; + configureFlags = lib.optional stdenv.isDarwin "--disable-sdltest"; desktopItem = makeDesktopItem { name = "dosbox"; exec = "dosbox"; + icon = "dosbox"; comment = "x86 emulator with internal DOS"; desktopName = "DOSBox"; genericName = "DOS emulator"; @@ -26,6 +29,9 @@ stdenv.mkDerivation rec { postInstall = '' mkdir -p $out/share/applications cp ${desktopItem}/share/applications/* $out/share/applications + + mkdir -p $out/share/icons/hicolor/256x256/apps + gm convert src/dosbox.ico $out/share/icons/hicolor/256x256/apps/dosbox.png ''; enableParallelBuilding = true; diff --git a/nixpkgs/pkgs/misc/emulators/vbam/default.nix b/nixpkgs/pkgs/misc/emulators/vbam/default.nix index 66e17fd3a7a3..72eb537bf184 100644 --- a/nixpkgs/pkgs/misc/emulators/vbam/default.nix +++ b/nixpkgs/pkgs/misc/emulators/vbam/default.nix @@ -15,12 +15,12 @@ stdenv.mkDerivation rec { name = "visualboyadvance-m-${version}"; - version = "2.1.1"; + version = "2.1.2"; src = fetchFromGitHub { owner = "visualboyadvance-m"; repo = "visualboyadvance-m"; rev = "v${version}"; - sha256 = "03cs7wn01flx925sxhpz1j5sxa6s7wfxq71955kasn7a3xr1kxwn"; + sha256 = "0bgb9r6qc4g1biymayknj1fccwrdmn772i4qnc9zs3f9jrs0b34g"; }; buildInputs = [ diff --git a/nixpkgs/pkgs/misc/emulators/wine/sources.nix b/nixpkgs/pkgs/misc/emulators/wine/sources.nix index cfb4e35c2b55..70fadf7683f1 100644 --- a/nixpkgs/pkgs/misc/emulators/wine/sources.nix +++ b/nixpkgs/pkgs/misc/emulators/wine/sources.nix @@ -31,24 +31,24 @@ in rec { ## see http://wiki.winehq.org/Mono mono = fetchurl rec { - version = "4.8.0"; + version = "4.8.2"; url = "http://dl.winehq.org/wine/wine-mono/${version}/wine-mono-${version}.msi"; - sha256 = "0y47mfjkb2viraqrvi8qpjn2935sra81h3l4bvaag737s7zmj0c9"; + sha256 = "1hvwhqdb11j9yzhhw86fjhj9bawg76zrh0wnx658pn5xghhk2jhy"; }; }; unstable = fetchurl rec { # NOTE: Don't forget to change the SHA256 for staging as well. - version = "4.5"; + version = "4.6"; url = "https://dl.winehq.org/wine/source/4.x/wine-${version}.tar.xz"; - sha256 = "1dy1v27cw9vp2xnr8y4bdcvvw5ivcgpk2375jgn536csbwaxgwjz"; + sha256 = "1nk2nlkdklwpd0kbq8hx59gl05b5wglcla0v3892by6k4kwh341j"; inherit (stable) mono gecko32 gecko64; }; staging = fetchFromGitHub rec { # https://github.com/wine-staging/wine-staging/releases inherit (unstable) version; - sha256 = "18xpha7nl3jg7c24cgbncciyyqqb6svsyfp1xk81993wnl6r8abs"; + sha256 = "0mripibsi1p8h2j9ngqszkcjppdxji027ss4shqwb0nypaydd9w2"; owner = "wine-staging"; repo = "wine-staging"; rev = "v${version}"; diff --git a/nixpkgs/pkgs/misc/lilypond/default.nix b/nixpkgs/pkgs/misc/lilypond/default.nix index f312cce19d74..fb17e5e5a2d7 100644 --- a/nixpkgs/pkgs/misc/lilypond/default.nix +++ b/nixpkgs/pkgs/misc/lilypond/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, ghostscript, texinfo, imagemagick, texi2html, guile -, python2, gettext, flex, perl, bison, pkgconfig, dblatex +, python2, gettext, flex, perl, bison, pkgconfig, autoreconfHook, dblatex , fontconfig, freetype, pango, fontforge, help2man, zip, netpbm, groff , fetchsvn, makeWrapper, t1utils , texlive, tex ? texlive.combine { @@ -23,17 +23,6 @@ stdenv.mkDerivation rec{ sha256 = "01xs9x2wjj7w9appaaqdhk15r1xvvdbz9qwahzhppfmhclvp779j"; }; - preConfigure='' - sed -e "s@mem=mf2pt1@mem=$PWD/mf/mf2pt1@" -i scripts/build/mf2pt1.pl - - # At some point our fontforge had path 2n…-fontforge-2015… and it - # confused the version detection… - sed -re 's%("[$]exe" --version .*)([|\\] *$)%\1 | sed -re "s@/nix/store/[a-z0-9]{32}-@@" \2%' \ - -i configure - - export HOME=$TMPDIR/home - ''; - postInstall = '' for f in "$out/bin/"*; do # Override default argv[0] setting so LilyPond can find @@ -46,13 +35,22 @@ stdenv.mkDerivation rec{ configureFlags = [ "--disable-documentation" "--with-ncsb-dir=${urwfonts}"]; + preConfigure = '' + sed -e "s@mem=mf2pt1@mem=$PWD/mf/mf2pt1@" -i scripts/build/mf2pt1.pl + export HOME=$TMPDIR/home + ''; + + nativeBuildInputs = [ makeWrapper pkgconfig autoreconfHook ]; + + autoreconfPhase = "NOCONFIGURE=1 sh autogen.sh"; + buildInputs = [ ghostscript texinfo imagemagick texi2html guile dblatex tex zip netpbm - python2 gettext flex perl bison pkgconfig fontconfig freetype pango - fontforge help2man groff makeWrapper t1utils + python2 gettext flex perl bison fontconfig freetype pango + fontforge help2man groff t1utils ]; - #enableParallelBuilding = true; # fatal error: parser.hh: No such file or directory + enableParallelBuilding = true; meta = with stdenv.lib; { description = "Music typesetting system"; diff --git a/nixpkgs/pkgs/misc/screensavers/light-locker/default.nix b/nixpkgs/pkgs/misc/screensavers/light-locker/default.nix index f38851d6fa0c..0b840448f2c0 100644 --- a/nixpkgs/pkgs/misc/screensavers/light-locker/default.nix +++ b/nixpkgs/pkgs/misc/screensavers/light-locker/default.nix @@ -1,43 +1,68 @@ { stdenv , fetchFromGitHub -, which -, xfce -, glib +, meson +, ninja , pkgconfig -, libX11 -, libXScrnSaver -, libXxf86misc , gtk3 +, glib +, intltool , dbus-glib +, libX11 +, libXScrnSaver +, libXxf86vm +, libXext , systemd +, pantheon , wrapGAppsHook }: stdenv.mkDerivation rec { - name = "${basename}-${version}"; - basename = "light-locker"; - version = "1.8.0"; + pname = "light-locker"; + version = "1.9.0"; + + outputs = [ "out" "man" ]; src = fetchFromGitHub { owner = "the-cavalry"; - repo = basename; + repo = pname; rev = "v${version}"; - sha256 = "1zsafc10bmliknf12h3mgy7f73lvgph0q0wkaqp42iagmw11yaj8"; + sha256 = "1z5lcd02gqax65qc14hj5khifg7gr53zy3s5i6apba50lbdlfk46"; }; - nativeBuildInputs = [ pkgconfig ]; - buildInputs = [ which xfce.xfce4-dev-tools glib systemd - libX11 libXScrnSaver libXxf86misc gtk3 dbus-glib wrapGAppsHook ]; + nativeBuildInputs = [ + intltool + meson + ninja + pkgconfig + ]; - preConfigure = '' - ./autogen.sh - ''; + buildInputs = [ + dbus-glib + glib + gtk3 + libX11 + libXScrnSaver + libXext + libXxf86vm + systemd + wrapGAppsHook + ]; - configureFlags = [ "--with-xf86gamma-ext" "--with-mit-ext" - "--with-dpms-ext" "--with-systemd" - # ConsoleKit and UPower were dropped in favor - # of systemd replacements - "--without-console-kit" "--without-upower" ]; + mesonFlags = [ + "-Dmit-ext=true" + "-Ddpms-ext=true" + "-Dxf86gamma-ext=true" + "-Dsystemd=true" + "-Dupower=true" + "-Dlate-locking=true" + "-Dlock-on-suspend=true" + "-Dlock-on-lid=true" + "-Dgsettings=true" + ]; + + postInstall = '' + ${glib.dev}/bin/glib-compile-schemas $out/share/glib-2.0/schemas + ''; meta = with stdenv.lib; { homepage = https://github.com/the-cavalry/light-locker; @@ -52,7 +77,7 @@ stdenv.mkDerivation rec { ConsoleKit/UPower or logind/systemd. ''; license = licenses.gpl2; - maintainers = with maintainers; [ obadz ]; + maintainers = with maintainers; [ obadz ] ++ pantheon.maintainers; platforms = platforms.linux; }; } diff --git a/nixpkgs/pkgs/misc/themes/clearlooks-phenix/default.nix b/nixpkgs/pkgs/misc/themes/clearlooks-phenix/default.nix index 51320e18c1a3..daeb7d7ad36d 100644 --- a/nixpkgs/pkgs/misc/themes/clearlooks-phenix/default.nix +++ b/nixpkgs/pkgs/misc/themes/clearlooks-phenix/default.nix @@ -5,7 +5,7 @@ stdenv.mkDerivation rec { name = "clearlooks-phenix-${version}"; src = fetchurl { - url = "http://github.com/jpfleury/clearlooks-phenix/archive/${version}.tar.gz"; + url = "https://github.com/jpfleury/clearlooks-phenix/archive/${version}.tar.gz"; sha256 = "1x2psfbhxc3bk3q795bafxv6p890yfsankfw64p44q4r1x0236ra"; }; diff --git a/nixpkgs/pkgs/misc/themes/equilux-theme/default.nix b/nixpkgs/pkgs/misc/themes/equilux-theme/default.nix index 21016eba844c..66e886303421 100644 --- a/nixpkgs/pkgs/misc/themes/equilux-theme/default.nix +++ b/nixpkgs/pkgs/misc/themes/equilux-theme/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, gnome3, libxml2, gtk-engine-murrine, gdk_pixbuf, librsvg, bc }: +{ stdenv, fetchFromGitHub, gnome3, glib, libxml2, gtk-engine-murrine, gdk_pixbuf, librsvg, bc }: stdenv.mkDerivation rec { name = "equilux-theme-${version}"; @@ -11,7 +11,7 @@ stdenv.mkDerivation rec { sha256 = "0lv2yyxhnmnkwxp576wnb01id4fp734b5z5n0l67sg5z7vc2h8fc"; }; - nativeBuildInputs = [ gnome3.glib libxml2 bc ]; + nativeBuildInputs = [ glib libxml2 bc ]; buildInputs = [ gnome3.gnome-themes-extra gdk_pixbuf librsvg ]; diff --git a/nixpkgs/pkgs/misc/uboot/default.nix b/nixpkgs/pkgs/misc/uboot/default.nix index 084cb33a7a21..a17ddf440ed5 100644 --- a/nixpkgs/pkgs/misc/uboot/default.nix +++ b/nixpkgs/pkgs/misc/uboot/default.nix @@ -120,6 +120,13 @@ in rec { filesToInstall = ["u-boot-sunxi-with-spl.bin"]; }; + ubootBananaPim64 = buildUBoot rec { + defconfig = "bananapi_m64_defconfig"; + extraMeta.platforms = ["aarch64-linux"]; + BL31 = "${armTrustedFirmwareAllwinner}/bl31.bin"; + filesToInstall = ["u-boot-sunxi-with-spl.bin"]; + }; + ubootBeagleboneBlack = buildUBoot rec { defconfig = "am335x_boneblack_defconfig"; extraMeta.platforms = ["armv7l-linux"]; diff --git a/nixpkgs/pkgs/misc/vim-plugins/generated.nix b/nixpkgs/pkgs/misc/vim-plugins/generated.nix index 3932a4912426..d097f6ea0114 100644 --- a/nixpkgs/pkgs/misc/vim-plugins/generated.nix +++ b/nixpkgs/pkgs/misc/vim-plugins/generated.nix @@ -61,12 +61,12 @@ let ale = buildVimPluginFrom2Nix { pname = "ale"; - version = "2019-04-13"; + version = "2019-04-17"; src = fetchFromGitHub { owner = "w0rp"; repo = "ale"; - rev = "495bce32e957dcf45d6d6acf183599e18c92b09d"; - sha256 = "0kiflcafiaz3r5a721551n8fa9sx94hwgh5dr50fbgbzjgpshn54"; + rev = "fcc2c3ba71afa2a7965f3c1e9ec8c03381178180"; + sha256 = "1xwm7rn9jz4xa4hyky07skqhlc9g13zggckyi6g3hq4qhamzjsvw"; }; }; @@ -403,23 +403,23 @@ let denite-nvim = buildVimPluginFrom2Nix { pname = "denite-nvim"; - version = "2019-04-07"; + version = "2019-04-21"; src = fetchFromGitHub { owner = "Shougo"; repo = "denite.nvim"; - rev = "fbde64c2be0482e9505a3fbdf4cb701f17662e51"; - sha256 = "1z7506ch0b5lim098v4n52fhz7mqxrfgywanavpgppyas496sdp0"; + rev = "91a7df99f0f7fc992c0d1a97bc69b931aea3d728"; + sha256 = "0s4gvyrp7gm5gs3wk14hmss91gy1hppwn9vqbfs8hwpxamm76b9f"; }; }; deol-nvim = buildVimPluginFrom2Nix { pname = "deol-nvim"; - version = "2019-03-17"; + version = "2019-04-21"; src = fetchFromGitHub { owner = "Shougo"; repo = "deol.nvim"; - rev = "aa2b5a5ac602dd7035324533f07a63da73e9aadd"; - sha256 = "0ypdb1v0ykmn1k95nyi7cjaw2zsnw7cdylkilg4k2hhm5n9ih4la"; + rev = "98e1d68336a757dd736a10f1dc7564458d52f5b7"; + sha256 = "09fgyh8yipgcd525jgjj3ci6xsg7zqq7rwlfx593mw5afsnwa7gi"; }; }; @@ -435,6 +435,17 @@ let }; }; + deoplete-fish = buildVimPluginFrom2Nix { + pname = "deoplete-fish"; + version = "2018-09-15"; + src = fetchFromGitHub { + owner = "ponko2"; + repo = "deoplete-fish"; + rev = "9b9a686186e6484163b34eb6e620b83be355e82c"; + sha256 = "1v7ay0isrgcz0hgyggdqvmrldz0in26prj7p9l8ygkyrjq2w6b8a"; + }; + }; + deoplete-go = buildVimPluginFrom2Nix { pname = "deoplete-go"; version = "2019-03-02"; @@ -494,12 +505,12 @@ let deoplete-nvim = buildVimPluginFrom2Nix { pname = "deoplete-nvim"; - version = "2019-04-07"; + version = "2019-04-15"; src = fetchFromGitHub { owner = "Shougo"; repo = "deoplete.nvim"; - rev = "5c50f254175ee1e815a47761c50abec861afcc61"; - sha256 = "133zlaha0lvibrcd4ci7h353pgv64yyvvh211g8pdlq8vd9qbrhn"; + rev = "9d4e88f6cce63ed1b52ca2401d809c55ab443b25"; + sha256 = "165vxlr2zjh0p7mcqaj60axpnffcrjfx6rvp786nxch4kmfhrz5p"; }; }; @@ -527,12 +538,12 @@ let echodoc-vim = buildVimPluginFrom2Nix { pname = "echodoc-vim"; - version = "2019-04-04"; + version = "2019-04-15"; src = fetchFromGitHub { owner = "Shougo"; repo = "echodoc.vim"; - rev = "1dfd4d45eb169bba4a5d5a3a4210e663e4a18dfe"; - sha256 = "021qx04ka3w3w7wlv0p3lrpwxnlvxn0xkv7qwx6fk6594xfbaxi7"; + rev = "ff8d60d631a66de5bcad8325eb50f2cd20fa163d"; + sha256 = "1v63yii2d2ccij2cyz1n1vsfl27r70g0hj68pmcfmx4azfb8yxa7"; }; }; @@ -561,16 +572,27 @@ let emmet-vim = buildVimPluginFrom2Nix { pname = "emmet-vim"; - version = "2019-02-10"; + version = "2019-04-15"; src = fetchFromGitHub { owner = "mattn"; repo = "emmet-vim"; - rev = "d698f1658770ca5fa58c87e80421c8d65bbe9065"; - sha256 = "12dk21ddjw9dz87bw8hq37v1nd4q7q452sn5pa4sa289b9v0ik8q"; + rev = "ae7d31f29080ad18073dda3530582dacb18475e6"; + sha256 = "0ip4qrbbamdw9rmzh3w29bw9gx8gqlnxgyrdj4z9a1cpxp0cd5k3"; fetchSubmodules = true; }; }; + emodeline = buildVimPluginFrom2Nix { + pname = "emodeline"; + version = "2010-10-18"; + src = fetchFromGitHub { + owner = "vim-scripts"; + repo = "emodeline"; + rev = "19550795743876c2256021530209d83592f5924a"; + sha256 = "0x9y7rzbk6g8cq6jkn37wi95wzhq0abban6w10652v4kdmjrxrr0"; + }; + }; + ensime-vim = buildVimPluginFrom2Nix { pname = "ensime-vim"; version = "2018-10-10"; @@ -584,12 +606,12 @@ let falcon = buildVimPluginFrom2Nix { pname = "falcon"; - version = "2019-04-08"; + version = "2019-04-18"; src = fetchFromGitHub { owner = "fenetikm"; repo = "falcon"; - rev = "8d1cfd1b700c9945bf98a29d3713a9f1e0e9e5e6"; - sha256 = "0asm7wvvj3z5zvjm61kj7qg5k8jkqncdrd1snbb34nq4vayr5y7a"; + rev = "b0b5f19042685cd3ec3b3f388f64aaed489889db"; + sha256 = "0rikl448c1ps3phivb0xpx8a085wk5fz2xj1b6n24g88xfay1psm"; }; }; @@ -783,12 +805,12 @@ let iceberg-vim = buildVimPluginFrom2Nix { pname = "iceberg-vim"; - version = "2019-01-04"; + version = "2019-04-19"; src = fetchFromGitHub { owner = "cocopon"; repo = "iceberg.vim"; - rev = "8b5ca0059c0f5f0bf0f78d7c5fbd3e83b7a5d5b6"; - sha256 = "1522psnakmcsm781lpnq09i3ylrg0lj8kx3nb7xm4wi6m100zzlk"; + rev = "24fd10b301e406ea2e6fb77c649688a3b34dc8c8"; + sha256 = "1i1k6cpv0nrliwf32m07ycsxx1h2m47c52wfb9bdbfk4pp9w62fp"; }; }; @@ -858,6 +880,17 @@ let }; }; + jdaddy-vim = buildVimPluginFrom2Nix { + pname = "jdaddy-vim"; + version = "2014-02-22"; + src = fetchFromGitHub { + owner = "vim-scripts"; + repo = "jdaddy.vim"; + rev = "3e44c2e6d22e2d6fc94863379b5b4f5424537321"; + sha256 = "1ch12bffrs3gqqzdj9vh0i2azhc5d06i5vwds4rqcx797lqh7pzb"; + }; + }; + jedi-vim = buildVimPluginFrom2Nix { pname = "jedi-vim"; version = "2019-04-05"; @@ -883,12 +916,12 @@ let julia-vim = buildVimPluginFrom2Nix { pname = "julia-vim"; - version = "2019-01-29"; + version = "2019-04-19"; src = fetchFromGitHub { owner = "JuliaEditorSupport"; repo = "julia-vim"; - rev = "84104d0d55db347be045927e71cf9b0a88e72c2f"; - sha256 = "1bbpi9ndapp14qvbk7qr2xzih0sg6i7rx4sadf3zryasjaxxbfv4"; + rev = "386371f4b35d68c7ec55c09c78cf687ddfafd69b"; + sha256 = "0b3hrqlw7nvcv1w9ir0fsda6crx0a68012a6pii8awdgaw086hzi"; }; }; @@ -1114,34 +1147,34 @@ let neoformat = buildVimPluginFrom2Nix { pname = "neoformat"; - version = "2019-04-08"; + version = "2019-04-21"; src = fetchFromGitHub { owner = "sbdchd"; repo = "neoformat"; - rev = "5b9ed55b55009bed806aaef0eb8fa01fee134082"; - sha256 = "0glwy2rrh9f35sya3sffic94fm8j54mhhx7hkin6x0d497jplm6y"; + rev = "924dee18e7ca547e105ab8d800069fc5829b019b"; + sha256 = "0n9lr63a7dhg3ghi705jshxnq6yx0w145jx2n3zr9435qf31z4xx"; }; }; neoinclude-vim = buildVimPluginFrom2Nix { pname = "neoinclude-vim"; - version = "2018-05-21"; + version = "2019-04-17"; src = fetchFromGitHub { owner = "Shougo"; repo = "neoinclude.vim"; - rev = "2fa77b9211d3f10c29559b715b6863da67ae7d3a"; - sha256 = "0pdahb2z9q4dk67xkwvaqrlpai86slhncfb4gn88x40dlnd7rkbg"; + rev = "9baaab193f461193e737dd1342a43d43a380bca6"; + sha256 = "0gh2sdz32hp7wa5rvnrgwp8ga75sxpb4d3fxszkf8vd3gdxkxsq4"; }; }; neomake = buildVimPluginFrom2Nix { pname = "neomake"; - version = "2019-04-09"; + version = "2019-04-21"; src = fetchFromGitHub { owner = "benekastah"; repo = "neomake"; - rev = "9ccc5d6662fb35383fe7fa7ea9e33467d28a0372"; - sha256 = "1j2jr5ilcws1bpbnhch2y6jh6303w305c06rncgawibxyqsxvnmw"; + rev = "2e5755aa7829c429b3d858036621b6807754a6ba"; + sha256 = "1lrn9bwghm7y03lshfyfxqac5gkh6gl4klw4kccb0grnm2yx5a08"; }; }; @@ -1158,12 +1191,12 @@ let neosnippet-snippets = buildVimPluginFrom2Nix { pname = "neosnippet-snippets"; - version = "2019-03-16"; + version = "2019-04-18"; src = fetchFromGitHub { owner = "Shougo"; repo = "neosnippet-snippets"; - rev = "38024eceb05df57c1a3dbf64079f1120f51deb3c"; - sha256 = "16ppys1hvxbh1wivz3z0yyhd77l277lkp6xnsp2q1nwk70cwsag3"; + rev = "ed18d979d76709036f6c4f7a2bdbfb29b11e3e0e"; + sha256 = "1f7d174pbwfppaa0fsk0imfg1j1c463fniypqjs8c1djbw698m37"; }; }; @@ -1178,6 +1211,17 @@ let }; }; + NeoSolarized = buildVimPluginFrom2Nix { + pname = "NeoSolarized"; + version = "2018-05-07"; + src = fetchFromGitHub { + owner = "icymind"; + repo = "NeoSolarized"; + rev = "1af4bf6835f0fbf156c6391dc228cae6ea967053"; + sha256 = "1l98yh3438anq33a094p5qrnhcm60nr28crs0v4nfah7lfdy5mc2"; + }; + }; + neoterm = buildVimPluginFrom2Nix { pname = "neoterm"; version = "2019-03-11"; @@ -1213,23 +1257,23 @@ let nerdcommenter = buildVimPluginFrom2Nix { pname = "nerdcommenter"; - version = "2019-03-07"; + version = "2019-04-17"; src = fetchFromGitHub { owner = "scrooloose"; repo = "nerdcommenter"; - rev = "f46226bcd679a2d656b3179c54cc6b88f1db3b27"; - sha256 = "1l4wh87zdsw5k0anjwjkrbhscpbsl56v0nndvwjhlwimw4jxx390"; + rev = "17b68e47d781b9fcbf1c77495e535eab366f20ca"; + sha256 = "0slv0dfcxx44b795bg4b8w7wmny7jax4h9vivb9jsbxjrj0zcygl"; }; }; nerdtree = buildVimPluginFrom2Nix { pname = "nerdtree"; - version = "2019-03-26"; + version = "2019-04-16"; src = fetchFromGitHub { owner = "scrooloose"; repo = "nerdtree"; - rev = "7513f256aa1d59b6a749cefe5ac505375b1b8a6a"; - sha256 = "0163bvsmnnw598x2nyi9lzdk6akzj62cbsldp8prhl6d7x3gdmaf"; + rev = "e126b8745dc40931ae8da03d92c78264e8e4b029"; + sha256 = "1f43a04alrb5k5k7kys3i6ld7cxzcz9yz6il95z0xi9lwbb8mnid"; }; }; @@ -1257,12 +1301,12 @@ let nord-vim = buildVimPluginFrom2Nix { pname = "nord-vim"; - version = "2019-03-21"; + version = "2019-04-18"; src = fetchFromGitHub { owner = "arcticicestudio"; repo = "nord-vim"; - rev = "bfa069b12b3af000b07eb23c01ff516bab452db7"; - sha256 = "1wyslhazi9vwnk3z68ibd4gswvc340mwnffg9lnpskqi56qpw005"; + rev = "39e0742d57c8f4b5442939362942a7c5afa20a62"; + sha256 = "0mp5mf0bzkq6rsh23s1912vwkmdhx1nc4q81nyf0y32m84lrjx1w"; }; }; @@ -1334,12 +1378,12 @@ let papercolor-theme = buildVimPluginFrom2Nix { pname = "papercolor-theme"; - version = "2019-03-17"; + version = "2019-04-16"; src = fetchFromGitHub { owner = "NLKNguyen"; repo = "papercolor-theme"; - rev = "6f34e06ac4b3e1ac7c5755a0216791066fbe74c8"; - sha256 = "13kdglkxdwxpmv0xwcwgzivb8x74bfypw2xn8w237niryvxg4y7z"; + rev = "129608399ad3cc49dde798741a64c14131dfc908"; + sha256 = "1jvazpk51azklm3la590k3318mnz2v4pg7sdjj5z9dsdvd69qi3y"; }; }; @@ -1433,12 +1477,12 @@ let rainbow = buildVimPluginFrom2Nix { pname = "rainbow"; - version = "2019-03-04"; + version = "2019-04-17"; src = fetchFromGitHub { owner = "luochen1990"; repo = "rainbow"; - rev = "d08e167596511d40a0d3451931b52cc62d9001eb"; - sha256 = "0mq2kcx5rwav5az2w7cbhws0kqp0jm7mpskbmhcd7x352xh0308d"; + rev = "78afcbe5395fac8fab2d4bb122ae638c4485bf69"; + sha256 = "1j7ymlvlgvwklvxjjm2ab86d1frlss560ms4gs9dh73qyjfjr3p2"; }; }; @@ -1895,12 +1939,12 @@ let vim = buildVimPluginFrom2Nix { pname = "vim"; - version = "2019-04-07"; + version = "2019-04-15"; src = fetchFromGitHub { owner = "dracula"; repo = "vim"; - rev = "34efabac145c06cda8084aea11bf46382a3d2254"; - sha256 = "1qswcnjl60gw8grgy0gym5lmx29rqxhz5p01dibpcv1qq1ij2msg"; + rev = "d8ca3b52b07529f4a55da451291fe0ca8e18d02d"; + sha256 = "153pmg4x0yrc9npwjk9zyzd347r2xkr3r72nmhh1cfy0n0lg10gg"; }; }; @@ -2126,12 +2170,12 @@ let vim-airline = buildVimPluginFrom2Nix { pname = "vim-airline"; - version = "2019-04-09"; + version = "2019-04-18"; src = fetchFromGitHub { owner = "vim-airline"; repo = "vim-airline"; - rev = "cbf264ff5f323ab8a8cf02f59f79bf858d496047"; - sha256 = "11clk44jpdc4v67hrv0m5isjd4hxxr4figxj1ck0v0bkcd714jcm"; + rev = "ead2cd63bbe4ceec161f9634c8b52048551f91b4"; + sha256 = "0x0mv047r2li57l2b9cdlpdjl5fy0qhcs973w40kwp8pxnzrs332"; }; }; @@ -2201,6 +2245,17 @@ let }; }; + vim-beancount = buildVimPluginFrom2Nix { + pname = "vim-beancount"; + version = "2017-10-28"; + src = fetchFromGitHub { + owner = "nathangrigg"; + repo = "vim-beancount"; + rev = "8054352c43168ece62094dfc8ec510e347e19e3c"; + sha256 = "0fd4fbdmhapdhjr3f9bhd4lqxzpdwwvpf64vyqwahkqn8hrrbc4m"; + }; + }; + vim-better-whitespace = buildVimPluginFrom2Nix { pname = "vim-better-whitespace"; version = "2019-01-25"; @@ -2234,6 +2289,17 @@ let }; }; + vim-choosewin = buildVimPluginFrom2Nix { + pname = "vim-choosewin"; + version = "2018-06-11"; + src = fetchFromGitHub { + owner = "t9md"; + repo = "vim-choosewin"; + rev = "4ac141a9bb7188ebbbff90bb0a0bccd52eaa83f8"; + sha256 = "08glj4fk4jlcdqbyd77dwy3rbn3vc0fqz077fwvkxym47hfg9rqk"; + }; + }; + vim-closetag = buildVimPluginFrom2Nix { pname = "vim-closetag"; version = "2019-02-14"; @@ -2247,12 +2313,12 @@ let vim-codefmt = buildVimPluginFrom2Nix { pname = "vim-codefmt"; - version = "2019-03-27"; + version = "2019-04-17"; src = fetchFromGitHub { owner = "google"; repo = "vim-codefmt"; - rev = "b4aafd5b0f7e5c2b44ba6d92a18196331ac1003a"; - sha256 = "0plr53xaavwwxvzk1rb724i1cpknh6z09zvbg2y0bgl5cj51b665"; + rev = "fc45c30907106801f0bf443a9fa20300fc6ce100"; + sha256 = "0rnlcvv6jw0q9hhy0f5l52hv8kajymii8c1qlc04bpwm8ibkxjkn"; }; }; @@ -2379,12 +2445,12 @@ let vim-dirvish = buildVimPluginFrom2Nix { pname = "vim-dirvish"; - version = "2019-04-01"; + version = "2019-04-21"; src = fetchFromGitHub { owner = "justinmk"; repo = "vim-dirvish"; - rev = "ae60a79106dd32d75d3c5cf4dfe44fd658d634ec"; - sha256 = "1dpyavqhsn7japg5li2fflhn4rq5y5c5m24772550awzd5nx0bif"; + rev = "8901782b58f5613d6c1b45456c9f130f30df2dc7"; + sha256 = "0wmqcfiysp6nhspqv1lyvjvpkxpkslrg9rqa8kjf04vcggipdr5g"; }; }; @@ -2467,12 +2533,12 @@ let vim-elixir = buildVimPluginFrom2Nix { pname = "vim-elixir"; - version = "2019-03-18"; + version = "2019-04-17"; src = fetchFromGitHub { owner = "elixir-lang"; repo = "vim-elixir"; - rev = "d51d5f7eb5c46992ac718ac648e02e38322e073e"; - sha256 = "1n95zybvncfz5w4h77li22dcskb3xpf0jlfrw9g5ix80w1n41aar"; + rev = "7e00da6033b7847c6bb71df18f852342946eab42"; + sha256 = "0v3agkkdwpzi8gys1nysrm6jisjd42v5ipbvd5w5kn3qhr28n1d5"; }; }; @@ -2520,6 +2586,17 @@ let }; }; + vim-fish = buildVimPluginFrom2Nix { + pname = "vim-fish"; + version = "2017-11-22"; + src = fetchFromGitHub { + owner = "dag"; + repo = "vim-fish"; + rev = "50b95cbbcd09c046121367d49039710e9dc9c15f"; + sha256 = "1yvjlm90alc4zsdsppkmsja33wsgm2q6kkn9dxn6xqwnq4jw5s7h"; + }; + }; + vim-flagship = buildVimPluginFrom2Nix { pname = "vim-flagship"; version = "2018-08-15"; @@ -2559,8 +2636,8 @@ let src = fetchFromGitHub { owner = "tpope"; repo = "vim-fugitive"; - rev = "60eac8c97457af5a96eb06ad4b564e4c813d806e"; - sha256 = "1hqz6hbnvazwq7ngffg638w9qf0b3a0y2wl34ddp5ffkjzxjhr8l"; + rev = "1ac2c707e53b4f598ce6502c7bd9a028f1ec5ce4"; + sha256 = "1r63zfba81adwsxqxqasjcpszdn2r4snbpj52d9p6sb4lqf84qg9"; }; }; @@ -2621,12 +2698,12 @@ let vim-go = buildVimPluginFrom2Nix { pname = "vim-go"; - version = "2019-04-13"; + version = "2019-04-21"; src = fetchFromGitHub { owner = "fatih"; repo = "vim-go"; - rev = "473636c94cf0887a39839c82c4dcde0faab34f85"; - sha256 = "1khzkpyg3hvz5brmzbrdxf33fdk62dfrss4q9gsfai61k1inrbfn"; + rev = "c166037dbe06980199b0f93b0d10f8cdb7340c01"; + sha256 = "0m824gqh99ga82r3prn3c84vm7k5c75vsnwbi29jfky564v3m8p6"; }; }; @@ -2852,12 +2929,12 @@ let vim-javascript = buildVimPluginFrom2Nix { pname = "vim-javascript"; - version = "2019-03-26"; + version = "2019-04-16"; src = fetchFromGitHub { owner = "pangloss"; repo = "vim-javascript"; - rev = "24c896e13d9241024bdb79f48e811748654d7ba2"; - sha256 = "1c89n4rzjdq12h6wjz04np14hnd33i7fyrxlxv3yyl3hdcvs8bk1"; + rev = "ee445807a71ee6933cd6cbcd74940bc288815793"; + sha256 = "0x4cscpfll8m7f9hvx4fjxff5vscz4kzvs14ai1sdg75b6dngxl0"; }; }; @@ -3007,12 +3084,12 @@ let vim-lsc = buildVimPluginFrom2Nix { pname = "vim-lsc"; - version = "2019-04-09"; + version = "2019-04-19"; src = fetchFromGitHub { owner = "natebosch"; repo = "vim-lsc"; - rev = "4fd4668966e430946a864eb4e973634b3f506ded"; - sha256 = "0gz1w7lcvy3rv4h4bfk8fdsa0k1nhxfkzrn2ncapkl210gw0v7zq"; + rev = "c0514ddfdc9b1205e6f0952bf19b8d7105972a52"; + sha256 = "04w46nv8bqqc7kjxhs63blwqs787n0x3kgy5xrgg7h20vwbq5a5s"; }; }; @@ -3205,12 +3282,12 @@ let vim-pandoc-syntax = buildVimPluginFrom2Nix { pname = "vim-pandoc-syntax"; - version = "2017-04-13"; + version = "2019-04-17"; src = fetchFromGitHub { owner = "vim-pandoc"; repo = "vim-pandoc-syntax"; - rev = "56e8e41ef863a0a7d33d85c3c0c895aa6e9e62d3"; - sha256 = "19ll4zrw5yd0frgsbi7pg9b68lmy4bfiwbnwgzii7inifrqsykfw"; + rev = "e1ce4ff92afd23139759e7322ebeb434bbad88b0"; + sha256 = "1wa2gwkq5d5mb97dklyl6q81f0irr8bmbwcdn39x5sxwsahck83c"; }; }; @@ -3359,12 +3436,12 @@ let vim-racer = buildVimPluginFrom2Nix { pname = "vim-racer"; - version = "2019-03-16"; + version = "2019-04-21"; src = fetchFromGitHub { owner = "racer-rust"; repo = "vim-racer"; - rev = "a8771a84d7f7305c14ba16e817d7cea8f26030d9"; - sha256 = "0d84mg6k23xwj94fyq37s76z0jh8af3z44y4qjxa4sngcks3w3nj"; + rev = "4649e13a1f5a9c31781db570ac7de97e57dc0b3c"; + sha256 = "07ywhrlhh5vvxrnwac05q75a0vjrmhdjvcql88qrxwg88na6db7i"; }; }; @@ -3546,12 +3623,12 @@ let vim-snippets = buildVimPluginFrom2Nix { pname = "vim-snippets"; - version = "2019-04-12"; + version = "2019-04-19"; src = fetchFromGitHub { owner = "honza"; repo = "vim-snippets"; - rev = "1ba4a66c11d8fd4309656bc2994d683580d54de3"; - sha256 = "0cdphdscz6hbaj53gras13f1rfhzcrhlwff2rfbx4hxnsqgndph5"; + rev = "a79d5b062427a4acfd665b2f5498e7052ebc29e5"; + sha256 = "0si5gl0v5f2jhvx03n5vz41kjzbhzqvxwd3p6kg7z3c8r4bac7zh"; }; }; @@ -3689,12 +3766,12 @@ let vim-test = buildVimPluginFrom2Nix { pname = "vim-test"; - version = "2019-04-07"; + version = "2019-04-16"; src = fetchFromGitHub { owner = "janko-m"; repo = "vim-test"; - rev = "9c38bb948e7a08fc35b5235535a27fe45777d0e4"; - sha256 = "0b7n89rnrk70bh67xlb6wm2fpww8s7v86kia29bfirs5mrii3kqf"; + rev = "f54101bb7e190186df39442f87ea66c08e73a1da"; + sha256 = "13x0jwk8hv6z52dhgh7w6ism2z0pydlhcxh9qpb3yk42mns57pyd"; }; }; @@ -3777,12 +3854,12 @@ let vim-vinegar = buildVimPluginFrom2Nix { pname = "vim-vinegar"; - version = "2018-08-06"; + version = "2019-04-20"; src = fetchFromGitHub { owner = "tpope"; repo = "vim-vinegar"; - rev = "c38ea2195a43747aedf0bb4b7eb5aa8870260296"; - sha256 = "1bcpi4m7ng9jaipf8xjf74469lgk34bs5ajjpv9dnkcrsalm28nf"; + rev = "e48cc1d71b838e6223a0ece54b9457ed76f92676"; + sha256 = "16cx1j76b9kdrcjs8hhl15kfhpgan69qs9djda0c1pvzphj4bbgp"; }; }; @@ -3931,12 +4008,12 @@ let vimtex = buildVimPluginFrom2Nix { pname = "vimtex"; - version = "2019-04-12"; + version = "2019-04-20"; src = fetchFromGitHub { owner = "lervag"; repo = "vimtex"; - rev = "51892b2b592331ce05b9b95e342cf5312b4f210b"; - sha256 = "1chb8l9w2lnqpnjxy7h4ylmrqlyzfl5l1jj1x42bnc0l3mj62pa0"; + rev = "3deabe2c16c9231703b58c5c24e16e0ceebebf40"; + sha256 = "1xazc1z8lrz0084v54fv238sbvz9pfpskm6z259ma00y8iswz0ds"; }; }; @@ -3953,12 +4030,12 @@ let vimwiki = buildVimPluginFrom2Nix { pname = "vimwiki"; - version = "2019-03-24"; + version = "2019-04-20"; src = fetchFromGitHub { owner = "vimwiki"; repo = "vimwiki"; - rev = "6766c37ce09a623b3de7ddb86ca30278eea37a82"; - sha256 = "0qz4yx8l0mmwv3s3s4l03af32dymj4b1sz2x4fpdx42g04k1avy2"; + rev = "be793e28bea4ac30c19c15c4db3fd42d26a5debe"; + sha256 = "18jkhpxmg5crbjsy4bnymgggsd73dqjvjb74kirxj86hh1khfqnh"; }; }; diff --git a/nixpkgs/pkgs/misc/vim-plugins/overrides.nix b/nixpkgs/pkgs/misc/vim-plugins/overrides.nix index 4a4ef14f67c5..68ec903e613e 100644 --- a/nixpkgs/pkgs/misc/vim-plugins/overrides.nix +++ b/nixpkgs/pkgs/misc/vim-plugins/overrides.nix @@ -140,6 +140,10 @@ self: super: { ''; }); + deoplete-fish = super.deoplete-fish.overrideAttrs(old: { + dependencies = with super; [ deoplete-nvim vim-fish ]; + }); + deoplete-go = super.deoplete-go.overrideAttrs(old: { buildInputs = [ python3 ]; buildPhase = '' diff --git a/nixpkgs/pkgs/misc/vim-plugins/vim-plugin-names b/nixpkgs/pkgs/misc/vim-plugins/vim-plugin-names index b296cd0a8279..a23ce78bd458 100644 --- a/nixpkgs/pkgs/misc/vim-plugins/vim-plugin-names +++ b/nixpkgs/pkgs/misc/vim-plugins/vim-plugin-names @@ -41,6 +41,7 @@ christoomey/vim-tmux-navigator cocopon/iceberg.vim ctjhoa/spacevim ctrlpvim/ctrlp.vim +dag/vim-fish dag/vim2hs dannyob/quickfixstatus davidhalter/jedi-vim @@ -93,6 +94,7 @@ HerringtonDarkholme/yats.vim honza/vim-snippets hsanson/vim-android ianks/vim-tsx +icymind/NeoSolarized idris-hackers/idris-vim inkarkat/vim-SyntaxRange int3/vim-extradite @@ -203,6 +205,7 @@ motus/pig.vim mpickering/hlint-refactor-vim natebosch/vim-lsc nathanaelkane/vim-indent-guides +nathangrigg/vim-beancount navicore/vissort.vim nbouscal/vim-stylish-haskell ncm2/ncm2 @@ -231,6 +234,7 @@ peder2tm/sved peterhoeg/vim-qml phanviet/vim-monokai-pro plasticboy/vim-markdown +ponko2/deoplete-fish posva/vim-vue powerman/vim-plugin-AnsiEsc PProvost/vim-ps1 @@ -285,6 +289,7 @@ sjl/splice.vim sk1418/last256 slashmili/alchemist.vim sonph/onehalf +t9md/vim-choosewin t9md/vim-smalls takac/vim-hardtime ternjs/tern_for_vim @@ -345,6 +350,7 @@ vim-scripts/a.vim vim-scripts/bats.vim vim-scripts/changeColorScheme.vim vim-scripts/Colour-Sampler-Pack +vim-scripts/emodeline vim-scripts/Improved-AnsiEsc vim-scripts/matchit.zip vim-scripts/mayansmoke @@ -354,6 +360,7 @@ vim-scripts/Rename vim-scripts/ReplaceWithRegister vim-scripts/tabmerge vim-scripts/taglist.vim +vim-scripts/jdaddy.vim vim-scripts/wombat256.vim vim-scripts/YankRing.vim vim-utils/vim-husk diff --git a/nixpkgs/pkgs/misc/vscode-extensions/wakatime/default.nix b/nixpkgs/pkgs/misc/vscode-extensions/wakatime/default.nix index 746eeef83668..7d80fb798be9 100644 --- a/nixpkgs/pkgs/misc/vscode-extensions/wakatime/default.nix +++ b/nixpkgs/pkgs/misc/vscode-extensions/wakatime/default.nix @@ -8,8 +8,8 @@ in mktplcRef = { name = "vscode-wakatime"; publisher = "WakaTime"; - version = "1.2.13"; - sha256 = "0zidlc1flgw8h9l5ph98xh6anxhggk4vpmq6k1k2sfzrrjypymgf"; + version = "1.3.0"; + sha256 = "1g0k2hl3wb1rnjxvp7a1j6m200z92878ifb17h2ll69rlpmwcfqr"; }; postPatch = '' diff --git a/nixpkgs/pkgs/os-specific/darwin/osxfuse/default.nix b/nixpkgs/pkgs/os-specific/darwin/osxfuse/default.nix new file mode 100644 index 000000000000..404e752c279e --- /dev/null +++ b/nixpkgs/pkgs/os-specific/darwin/osxfuse/default.nix @@ -0,0 +1,49 @@ +{ stdenv, runCommand, fetchFromGitHub, autoreconfHook }: + +let + version = "3.8.3"; + + headers = runCommand "osxfuse-common-${version}" { + src = fetchFromGitHub { + owner = "osxfuse"; + repo = "osxfuse"; + rev = "osxfuse-${version}"; + sha256 = "13lmg41zcyiajh8m42w7szkbg2is4551ryx2ia2mmzvvd23pag0z"; + }; + } '' + mkdir -p $out/include + cp --target-directory=$out/include $src/common/*.h + ''; +in + +stdenv.mkDerivation rec { + name = "${pname}-${version}"; + + pname = "osxfuse"; + inherit version; + + src = fetchFromGitHub { + owner = "osxfuse"; + repo = "fuse"; + rev = "1a1977a"; # Submodule reference from osxfuse/osxfuse at tag osxfuse-${version} + sha256 = "101fw8j40ylfbbrjycnwr5qp422agyf9sfbczyb9w5ivrkds3rfw"; + }; + + postPatch = '' + touch config.rpath + ''; + + postInstall = '' + ln -s osxfuse.pc $out/lib/pkgconfig/fuse.pc + ''; + + nativeBuildInputs = [ autoreconfHook ]; + buildInputs = [ headers ]; + + meta = with stdenv.lib; { + homepage = https://osxfuse.github.io; + description = "C-based FUSE for macOS SDK"; + platforms = platforms.darwin; + license = licenses.gpl2; + }; +} diff --git a/nixpkgs/pkgs/os-specific/linux/audit/default.nix b/nixpkgs/pkgs/os-specific/linux/audit/default.nix index c8edd865479e..d05eaf4b84e7 100644 --- a/nixpkgs/pkgs/os-specific/linux/audit/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/audit/default.nix @@ -6,11 +6,11 @@ assert enablePython -> python != null; stdenv.mkDerivation rec { - name = "audit-2.8.4"; + name = "audit-2.8.5"; src = fetchurl { url = "https://people.redhat.com/sgrubb/audit/${name}.tar.gz"; - sha256 = "0f4ci6ffznnmgblwgv7ich9mjfk3p6y5l6m6h3chhmzw156nj454"; + sha256 = "1dzcwb2q78q7x41shcachn7f4aksxbxd470yk38zh03fch1l2p8f"; }; outputs = [ "bin" "dev" "out" "man" ]; @@ -23,6 +23,8 @@ stdenv.mkDerivation rec { # and pulls in an extra openldap dependency otherwise "--disable-zos-remote" (if enablePython then "--with-python" else "--without-python") + "--with-arm" + "--with-aarch64" ]; enableParallelBuilding = true; diff --git a/nixpkgs/pkgs/os-specific/linux/cifs-utils/default.nix b/nixpkgs/pkgs/os-specific/linux/cifs-utils/default.nix index 7d98e51c1c73..ba66bd309bc3 100644 --- a/nixpkgs/pkgs/os-specific/linux/cifs-utils/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/cifs-utils/default.nix @@ -3,11 +3,11 @@ stdenv.mkDerivation rec { name = "cifs-utils-${version}"; - version = "6.8"; + version = "6.9"; src = fetchurl { url = "mirror://samba/pub/linux-cifs/cifs-utils/${name}.tar.bz2"; - sha256 = "0ygz3pagjpaj5ky11hzh4byyymb7fpmqiqkprn11zwj31h2zdlg7"; + sha256 = "175cp509wn1zv8p8mv37hkf6sxiskrsxdnq22mhlsg61jazz3n0q"; }; nativeBuildInputs = [ autoreconfHook docutils pkgconfig ]; diff --git a/nixpkgs/pkgs/os-specific/linux/displaylink/default.nix b/nixpkgs/pkgs/os-specific/linux/displaylink/default.nix index 9da5170c2e5d..2ea939ccdb09 100644 --- a/nixpkgs/pkgs/os-specific/linux/displaylink/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/displaylink/default.nix @@ -69,6 +69,6 @@ in stdenv.mkDerivation rec { description = "DisplayLink DL-5xxx, DL-41xx and DL-3x00 Driver for Linux"; platforms = [ "x86_64-linux" "i686-linux" ]; license = licenses.unfree; - homepage = http://www.displaylink.com/; + homepage = https://www.displaylink.com/; }; } diff --git a/nixpkgs/pkgs/os-specific/linux/ell/default.nix b/nixpkgs/pkgs/os-specific/linux/ell/default.nix new file mode 100644 index 000000000000..26c39fdbe6ce --- /dev/null +++ b/nixpkgs/pkgs/os-specific/linux/ell/default.nix @@ -0,0 +1,22 @@ +{ stdenv, fetchgit, autoreconfHook, pkgconfig }: + +stdenv.mkDerivation rec { + pname = "ell"; + version = "0.19"; + + src = fetchgit { + url = https://git.kernel.org/pub/scm/libs/ell/ell.git; + rev = version; + sha256 = "0qvgn5yxffgmlggixf6kh57gxricf57iyc8mqwn46j615bijvjs8"; + }; + + nativeBuildInputs = [ autoreconfHook pkgconfig ]; + + meta = with stdenv.lib; { + homepage = https://git.kernel.org/pub/scm/libs/ell/ell.git; + description = "Embedded Linux Library"; + license = licenses.lgpl21; + platforms = platforms.linux; + maintainers = with maintainers; [ mic92 dtzWill ]; + }; +} diff --git a/nixpkgs/pkgs/os-specific/linux/eventstat/default.nix b/nixpkgs/pkgs/os-specific/linux/eventstat/default.nix index cf3522b5f2fa..00183f183326 100644 --- a/nixpkgs/pkgs/os-specific/linux/eventstat/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/eventstat/default.nix @@ -4,7 +4,7 @@ stdenv.mkDerivation rec { name = "eventstat-${version}"; version = "0.04.05"; src = fetchzip { - url = "http://kernel.ubuntu.com/~cking/tarballs/eventstat/eventstat-${version}.tar.gz"; + url = "https://kernel.ubuntu.com/~cking/tarballs/eventstat/eventstat-${version}.tar.gz"; sha256 = "1s9d6wl7f8cyn21fwj894dhfvl6f6f2h5xv26hg1yk3zfb5rmyn7"; }; buildInputs = [ ncurses ]; diff --git a/nixpkgs/pkgs/os-specific/linux/fnotifystat/default.nix b/nixpkgs/pkgs/os-specific/linux/fnotifystat/default.nix index eb87d8aa7251..82ce6d123953 100644 --- a/nixpkgs/pkgs/os-specific/linux/fnotifystat/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/fnotifystat/default.nix @@ -4,7 +4,7 @@ stdenv.mkDerivation rec { name = "fnotifystat-${version}"; version = "0.02.01"; src = fetchurl { - url = "http://kernel.ubuntu.com/~cking/tarballs/fnotifystat/fnotifystat-${version}.tar.gz"; + url = "https://kernel.ubuntu.com/~cking/tarballs/fnotifystat/fnotifystat-${version}.tar.gz"; sha256 = "18p6rqb3bhs2ih6mnp57j0cyawjm0iwky6y3ays54alkxqaz8gmx"; }; installFlags = [ "DESTDIR=$(out)" ]; @@ -14,7 +14,7 @@ stdenv.mkDerivation rec { ''; meta = with lib; { description = "File activity monitoring tool"; - homepage = http://kernel.ubuntu.com/~cking/fnotifystat/; + homepage = https://kernel.ubuntu.com/~cking/fnotifystat/; license = licenses.gpl2; platforms = platforms.linux; maintainers = with maintainers; [ womfoo ]; diff --git a/nixpkgs/pkgs/os-specific/linux/forkstat/default.nix b/nixpkgs/pkgs/os-specific/linux/forkstat/default.nix index 5d304d8ac035..8a2a64149496 100644 --- a/nixpkgs/pkgs/os-specific/linux/forkstat/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/forkstat/default.nix @@ -4,7 +4,7 @@ stdenv.mkDerivation rec { name = "forkstat-${version}"; version = "0.02.09"; src = fetchurl { - url = "http://kernel.ubuntu.com/~cking/tarballs/forkstat/forkstat-${version}.tar.xz"; + url = "https://kernel.ubuntu.com/~cking/tarballs/forkstat/forkstat-${version}.tar.xz"; sha256 = "1h5ha9w6rawh9kx39swjxs029202qxi0j9w38y7ilwq5pj447bxw"; }; installFlags = [ "DESTDIR=$(out)" ]; @@ -14,7 +14,7 @@ stdenv.mkDerivation rec { ''; meta = with lib; { description = "Process fork/exec/exit monitoring tool"; - homepage = http://kernel.ubuntu.com/~cking/forkstat/; + homepage = https://kernel.ubuntu.com/~cking/forkstat/; license = licenses.gpl2; platforms = platforms.linux; maintainers = with maintainers; [ womfoo ]; diff --git a/nixpkgs/pkgs/os-specific/linux/fwts/default.nix b/nixpkgs/pkgs/os-specific/linux/fwts/default.nix index 4bd811496b1c..566d1e9f56a7 100644 --- a/nixpkgs/pkgs/os-specific/linux/fwts/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/fwts/default.nix @@ -3,11 +3,11 @@ stdenv.mkDerivation rec { name = "fwts-${version}"; - version = "19.02.00"; + version = "19.03.00"; src = fetchzip { url = "http://fwts.ubuntu.com/release/fwts-V${version}.tar.gz"; - sha256 = "1rjyfscchman9ih0473hgzvfzrvkfwl5bjf7c9ksr3d9plz3n7ad"; + sha256 = "1zri73qmpgc0dwmdcfbcywcvxld7dqz7rkwwqncfkvvfc9zchk5l"; stripRoot = false; }; diff --git a/nixpkgs/pkgs/os-specific/linux/iwd/default.nix b/nixpkgs/pkgs/os-specific/linux/iwd/default.nix index fc7eda0af88c..1f8f8c902729 100644 --- a/nixpkgs/pkgs/os-specific/linux/iwd/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/iwd/default.nix @@ -1,19 +1,14 @@ -{ stdenv, fetchgit, autoreconfHook, pkgconfig, coreutils, readline, python3Packages }: +{ stdenv, fetchgit, autoreconfHook, pkgconfig, ell, coreutils, readline, python3Packages }: -let - ell = fetchgit { - url = https://git.kernel.org/pub/scm/libs/ell/ell.git; - rev = "0.17"; - sha256 = "0yk1qmvpy61qp82bb0w55n062jqzlkzbz0b1v5k763j98czz9rvz"; - }; -in stdenv.mkDerivation rec { - name = "iwd-${version}"; - version = "0.14"; +stdenv.mkDerivation rec { + pname = "iwd"; + + version = "0.17"; src = fetchgit { url = https://git.kernel.org/pub/scm/network/wireless/iwd.git; rev = version; - sha256 = "08ijlnwvj1w354gbv3hdnm3l4iy24qzq4bq5a9z0wynysasw09lv"; + sha256 = "1bqkzl03qvzfq5hqd9nsfc98k0iyz864nzcrnbf3fr0n9wnzqffz"; }; nativeBuildInputs = [ @@ -23,6 +18,7 @@ in stdenv.mkDerivation rec { ]; buildInputs = [ + ell readline python3Packages.python ]; @@ -36,12 +32,13 @@ in stdenv.mkDerivation rec { "--with-dbus-datadir=${placeholder "out"}/etc/" "--with-dbus-busdir=${placeholder "out"}/share/dbus-1/system-services/" "--with-systemd-unitdir=${placeholder "out"}/lib/systemd/system/" + "--with-systemd-modloaddir=${placeholder "out"}/etc/modules-load.d/" # maybe "--localstatedir=/var/" "--enable-wired" + "--enable-external-ell" ]; postUnpack = '' - ln -s ${ell} ell patchShebangs . ''; diff --git a/nixpkgs/pkgs/os-specific/linux/jool/cli.nix b/nixpkgs/pkgs/os-specific/linux/jool/cli.nix index d851a47924d6..fe5436625e87 100644 --- a/nixpkgs/pkgs/os-specific/linux/jool/cli.nix +++ b/nixpkgs/pkgs/os-specific/linux/jool/cli.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, autoreconfHook, pkgconfig, libnl }: +{ stdenv, fetchFromGitHub, autoreconfHook, pkgconfig, libnl, iptables }: let sourceAttrs = (import ./source.nix) { inherit fetchFromGitHub; }; @@ -9,15 +9,13 @@ stdenv.mkDerivation { src = sourceAttrs.src; - setSourceRoot = '' - sourceRoot=$(echo */usr) - ''; - nativeBuildInputs = [ autoreconfHook pkgconfig ]; - buildInputs = [ libnl ]; + buildInputs = [ libnl iptables ]; + + makeFlags = "-C src/usr"; - postPatch = '' - chmod u+w -R ../common + prePatch = '' + sed -e 's%^XTABLES_SO_DIR = .*%XTABLES_SO_DIR = '"$out"'/lib/xtables%g' -i src/usr/iptables/Makefile ''; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/os-specific/linux/jool/default.nix b/nixpkgs/pkgs/os-specific/linux/jool/default.nix index d65be175fc1d..8d170583a6cd 100644 --- a/nixpkgs/pkgs/os-specific/linux/jool/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/jool/default.nix @@ -1,7 +1,5 @@ { stdenv, fetchFromGitHub, kernel }: -assert stdenv.lib.versionOlder kernel.version "4.18"; - let sourceAttrs = (import ./source.nix) { inherit fetchFromGitHub; }; in @@ -15,15 +13,15 @@ stdenv.mkDerivation { hardeningDisable = [ "pic" ]; prePatch = '' - sed -e 's@/lib/modules/\$(.*)@${kernel.dev}/lib/modules/${kernel.modDirVersion}@' -i mod/*/Makefile + sed -e 's@/lib/modules/\$(.*)@${kernel.dev}/lib/modules/${kernel.modDirVersion}@' -i src/mod/*/Makefile ''; buildPhase = '' - make -C mod + make -C src/mod ''; installPhase = '' - make -C mod modules_install INSTALL_MOD_PATH=$out + make -C src/mod modules_install INSTALL_MOD_PATH=$out ''; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/os-specific/linux/jool/source.nix b/nixpkgs/pkgs/os-specific/linux/jool/source.nix index 24134b8b8182..0dd0eeed616f 100644 --- a/nixpkgs/pkgs/os-specific/linux/jool/source.nix +++ b/nixpkgs/pkgs/os-specific/linux/jool/source.nix @@ -1,11 +1,11 @@ { fetchFromGitHub }: rec { - version = "unstable-20180706"; + version = "4.0.0"; src = fetchFromGitHub { owner = "NICMx"; repo = "Jool"; - rev = "de791931d94e972c36bb3c102a9cadab5230c285"; - sha256 = "09mr7lc9k17znpslsfmndx4vgl240llcgblxm92fizmwz23y1d6c"; + rev = "v${version}"; + sha256 = "1ivnx7ijqf41kxmi2bmsf9qfcv6b1rvag35754ddlndry3sgvimr"; }; } diff --git a/nixpkgs/pkgs/os-specific/linux/kernel-headers/default.nix b/nixpkgs/pkgs/os-specific/linux/kernel-headers/default.nix index c00fc1761d59..ea4e041d43a0 100644 --- a/nixpkgs/pkgs/os-specific/linux/kernel-headers/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/kernel-headers/default.nix @@ -12,7 +12,7 @@ let inherit sha256; }; - ARCH = stdenvNoCC.hostPlatform.platform.kernelArch or (throw "missing kernelArch"); + ARCH = stdenvNoCC.hostPlatform.platform.kernelArch or stdenvNoCC.hostPlatform.kernelArch; # It may look odd that we use `stdenvNoCC`, and yet explicit depend on a cc. # We do this so we have a build->build, not build->host, C compiler. diff --git a/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.14.nix b/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.14.nix index f49d7de1a53d..84789b7389e5 100644 --- a/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.14.nix +++ b/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.14.nix @@ -3,7 +3,7 @@ with stdenv.lib; buildLinux (args // rec { - version = "4.14.111"; + version = "4.14.113"; # modDirVersion needs to be x.y.z, will automatically add .0 if needed modDirVersion = if (modDirVersionArg == null) then concatStrings (intersperse "." (take 3 (splitString "." "${version}.0"))) else modDirVersionArg; @@ -13,6 +13,6 @@ buildLinux (args // rec { src = fetchurl { url = "mirror://kernel/linux/kernel/v4.x/linux-${version}.tar.xz"; - sha256 = "1s56819kkr7h48njk708f9gapy2hr97vxawp5qflv1izamb7s6gq"; + sha256 = "1hnsmlpfbcy52dax7g194ksr9179kpigj1y5k44jkwmagziz4kdj"; }; } // (args.argsOverride or {})) diff --git a/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.19.nix b/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.19.nix index 85a8ff54df4a..ed3d80f23796 100644 --- a/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.19.nix +++ b/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.19.nix @@ -3,7 +3,7 @@ with stdenv.lib; buildLinux (args // rec { - version = "4.19.34"; + version = "4.19.36"; # modDirVersion needs to be x.y.z, will automatically add .0 if needed modDirVersion = if (modDirVersionArg == null) then concatStrings (intersperse "." (take 3 (splitString "." "${version}.0"))) else modDirVersionArg; @@ -13,6 +13,6 @@ buildLinux (args // rec { src = fetchurl { url = "mirror://kernel/linux/kernel/v4.x/linux-${version}.tar.xz"; - sha256 = "1k5qhyh7nwfs5pkbrjpxyj6w17424qcmmd9v7jqfbgnx3wm5wyfx"; + sha256 = "1g7jcgg4889vj567jjl0q7ycksnm05xxzfd3jj6ji7bz2w4ba25q"; }; } // (args.argsOverride or {})) diff --git a/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.9.nix b/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.9.nix index 8923cb158b52..4857e80292dc 100644 --- a/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.9.nix +++ b/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.9.nix @@ -1,11 +1,11 @@ { stdenv, buildPackages, fetchurl, perl, buildLinux, ... } @ args: buildLinux (args // rec { - version = "4.9.168"; + version = "4.9.170"; extraMeta.branch = "4.9"; src = fetchurl { url = "mirror://kernel/linux/kernel/v4.x/linux-${version}.tar.xz"; - sha256 = "07h9xwxpdxb6gm1fy0d8s6p1zalmw3mbzjgd4gipvmzsxwhiqiad"; + sha256 = "078k8dz3nmici7rs7x25h4vr1qaa588zwymv4gs722wfzi07p21k"; }; } // (args.argsOverride or {})) diff --git a/nixpkgs/pkgs/os-specific/linux/kernel/linux-5.0.nix b/nixpkgs/pkgs/os-specific/linux/kernel/linux-5.0.nix index a418e4b4bcc0..594c89f232b0 100644 --- a/nixpkgs/pkgs/os-specific/linux/kernel/linux-5.0.nix +++ b/nixpkgs/pkgs/os-specific/linux/kernel/linux-5.0.nix @@ -3,7 +3,7 @@ with stdenv.lib; buildLinux (args // rec { - version = "5.0.7"; + version = "5.0.9"; # modDirVersion needs to be x.y.z, will automatically add .0 if needed modDirVersion = if (modDirVersionArg == null) then concatStrings (intersperse "." (take 3 (splitString "." "${version}.0"))) else modDirVersionArg; @@ -13,6 +13,6 @@ buildLinux (args // rec { src = fetchurl { url = "mirror://kernel/linux/kernel/v5.x/linux-${version}.tar.xz"; - sha256 = "1v2lxwamnfm879a9qi9fwp5zyvlzjw9qa0aizidjbiwz5dk7gq8n"; + sha256 = "0n5s0nwk786qyzwkjs5sv0ldhi8wry6xrsy9vldxp17qbcvv2j07"; }; } // (args.argsOverride or {})) diff --git a/nixpkgs/pkgs/os-specific/linux/kernel/linux-rpi.nix b/nixpkgs/pkgs/os-specific/linux/kernel/linux-rpi.nix index 7077a70a7ab0..69900f044a3b 100644 --- a/nixpkgs/pkgs/os-specific/linux/kernel/linux-rpi.nix +++ b/nixpkgs/pkgs/os-specific/linux/kernel/linux-rpi.nix @@ -1,8 +1,8 @@ { stdenv, lib, buildPackages, fetchFromGitHub, perl, buildLinux, ... } @ args: let - modDirVersion = "4.14.70"; - tag = "1.20180919"; + modDirVersion = "4.14.98"; + tag = "1.20190215"; in lib.overrideDerivation (buildLinux (args // rec { version = "${modDirVersion}-${tag}"; @@ -12,7 +12,7 @@ lib.overrideDerivation (buildLinux (args // rec { owner = "raspberrypi"; repo = "linux"; rev = "raspberrypi-kernel_${tag}-1"; - sha256 = "1zjvzk6rhrn3ngc012gjq3v7lxn8hy89ljb7fqwld5g7py9lkf0b"; + sha256 = "1gc4x7p82m2v1jhahhyl7qfdkflj71ly6p0fpc1vf9sk13hbwgj2"; }; defconfig = { diff --git a/nixpkgs/pkgs/os-specific/linux/kernel/linux-testing.nix b/nixpkgs/pkgs/os-specific/linux/kernel/linux-testing.nix index 9b1369351e07..191957338530 100644 --- a/nixpkgs/pkgs/os-specific/linux/kernel/linux-testing.nix +++ b/nixpkgs/pkgs/os-specific/linux/kernel/linux-testing.nix @@ -1,13 +1,13 @@ { stdenv, buildPackages, fetchurl, perl, buildLinux, libelf, utillinux, ... } @ args: buildLinux (args // rec { - version = "5.1-rc5"; - modDirVersion = "5.1.0-rc5"; + version = "5.1-rc6"; + modDirVersion = "5.1.0-rc6"; extraMeta.branch = "5.1"; src = fetchurl { url = "https://git.kernel.org/torvalds/t/linux-${version}.tar.gz"; - sha256 = "171caaf8zrjx124431a94sv25c0ka6b3ni194l7fpisn4n3x8y47"; + sha256 = "0s751wb4xdcnljid03a3gi9pkql7fcvixh32aiclbmfz6gyvbykv"; }; # Should the testing kernels ever be built on Hydra? diff --git a/nixpkgs/pkgs/os-specific/linux/kexectools/default.nix b/nixpkgs/pkgs/os-specific/linux/kexectools/default.nix index 7cbf6cb7cee5..d68e538d6714 100644 --- a/nixpkgs/pkgs/os-specific/linux/kexectools/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/kexectools/default.nix @@ -2,14 +2,14 @@ stdenv.mkDerivation rec { name = "kexec-tools-${version}"; - version = "2.0.18"; + version = "2.0.19"; src = fetchurl { urls = [ "mirror://kernel/linux/utils/kernel/kexec/${name}.tar.xz" "http://horms.net/projects/kexec/kexec-tools/${name}.tar.xz" ]; - sha256 = "0f5jnb0470nmxyl1cz2687hqjr8cwqniqc1ycq9bazlp85rz087h"; + sha256 = "03jyi4c47ywclycf3a253xpqs7p6ys8inz9q66b8m3xc6nrh307d"; }; hardeningDisable = [ "format" "pic" "relro" "pie" ]; diff --git a/nixpkgs/pkgs/os-specific/linux/musl/default.nix b/nixpkgs/pkgs/os-specific/linux/musl/default.nix index 9835a0c96db8..91e1ae36f709 100644 --- a/nixpkgs/pkgs/os-specific/linux/musl/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/musl/default.nix @@ -29,11 +29,11 @@ let in stdenv.mkDerivation rec { pname = "musl"; - version = "1.1.21"; + version = "1.1.22"; src = fetchurl { url = "https://www.musl-libc.org/releases/${pname}-${version}.tar.gz"; - sha256 = "0i2z52zgc86af1n1gjiz43hgd85mxjgvgn345zsybja9dxpvchn7"; + sha256 = "1qr9xqdzziy5bsyyqlh6k8yz056ll55d5yvc0gbhz61ginj422cb"; }; enableParallelBuilding = true; diff --git a/nixpkgs/pkgs/os-specific/linux/mxu11x0/default.nix b/nixpkgs/pkgs/os-specific/linux/mxu11x0/default.nix index 1bf7c8467326..76e60ec80ff5 100644 --- a/nixpkgs/pkgs/os-specific/linux/mxu11x0/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/mxu11x0/default.nix @@ -1,13 +1,11 @@ -{ stdenv, fetchFromGitHub, kernel }: +{ stdenv, fetchurl, kernel }: stdenv.mkDerivation { - name = "mxu11x0-1.3.11+git2017-07-13-${kernel.version}"; + name = "mxu11x0-1.4-${kernel.version}"; - src = fetchFromGitHub { - owner = "ellysh"; - repo = "mxu11x0"; - rev = "cbbb5ec2045939209117cb5fcd6c7c23bcc109ef"; - sha256 = "0wf44pnz5aclvg2k1f8ljnwws8hh6191i5h06nz95ijbxhwz63w4"; + src = fetchurl { + url = "https://www.moxa.com/Moxa/media/PDIM/S100000385/moxa-uport-1000-series-linux-3.x-and-4.x-for-uport-11x0-series-driver-v1.4.tgz"; + sha256 = "1hz9ygabbp8pv49k1j4qcsr0v3zw9xy0bh1akqgxp5v29gbdgxjl"; }; preBuild = '' @@ -30,10 +28,9 @@ stdenv.mkDerivation { meta = with stdenv.lib; { description = "MOXA UPort 11x0 USB to Serial Hub driver"; - homepage = https://github.com/ellysh/mxu11x0; - license = licenses.gpl1; + homepage = https://www.moxa.com/en/products/industrial-edge-connectivity/usb-to-serial-converters-usb-hubs/usb-to-serial-converters/uport-1000-series; + license = licenses.gpl2Plus; maintainers = with maintainers; [ uralbash ]; platforms = platforms.linux; - broken = versionOlder kernel.version "4.9" || !versionOlder kernel.version "4.13"; }; } diff --git a/nixpkgs/pkgs/os-specific/linux/numatop/default.nix b/nixpkgs/pkgs/os-specific/linux/numatop/default.nix new file mode 100644 index 000000000000..80f14b568eda --- /dev/null +++ b/nixpkgs/pkgs/os-specific/linux/numatop/default.nix @@ -0,0 +1,27 @@ +{ stdenv, fetchurl, pkgconfig, numactl, ncurses, check }: + +stdenv.mkDerivation rec { + pname = "numatop"; + version = "2.1"; + src = fetchurl { + url = "https://github.com/intel/${pname}/releases/download/v${version}/${pname}-v${version}.tar.xz"; + sha256 = "1s7psq1xyswj0lpx10zg5lnppav2xy9safkfx3rssrs9c2fp5d76"; + }; + + nativeBuildInputs = [ pkgconfig ]; + buildInputs = [ numactl ncurses ]; + checkInputs = [ check ]; + + doCheck = true; + + meta = with stdenv.lib; { + description = "Tool for runtime memory locality characterization and analysis of processes and threads on a NUMA system"; + homepage = https://01.org/numatop; + license = licenses.bsd3; + maintainers = with maintainers; [ dtzWill ]; + platforms = [ + { kernel.name = "linux"; cpu.family = "x86"; } + { kernel.name = "linux"; cpu.family = "power"; } + ]; + }; +} diff --git a/nixpkgs/pkgs/os-specific/linux/pam/default.nix b/nixpkgs/pkgs/os-specific/linux/pam/default.nix index 9db581ad527d..1f2a7d3edf27 100644 --- a/nixpkgs/pkgs/os-specific/linux/pam/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/pam/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "linux-pam-${version}"; - version = "1.3.0"; + version = "1.3.1"; src = fetchurl { - url = "http://www.linux-pam.org/library/Linux-PAM-${version}.tar.bz2"; - sha256 = "1fyi04d5nsh8ivd0rn2y0z83ylgc0licz7kifbb6xxi2ylgfs6i4"; + url = "https://github.com/linux-pam/linux-pam/releases/download/v1.3.1/Linux-PAM-${version}.tar.xz"; + sha256 = "1nyh9kdi3knhxcbv5v4snya0g3gff0m671lnvqcbygw3rm77mx7g"; }; patches = stdenv.lib.optionals (stdenv.hostPlatform.libc == "musl") [ @@ -18,10 +18,9 @@ stdenv.mkDerivation rec { url = "https://git.alpinelinux.org/cgit/aports/plain/main/linux-pam/libpam-fix-build-with-eglibc-2.16.patch?id=05a62bda8ec255d7049a2bd4cf0fdc4b32bdb2cc"; sha256 = "1ib6shhvgzinjsc603k2x1lxh9dic6qq449fnk110gc359m23j81"; }) - (fetchpatch { - url = "https://git.alpinelinux.org/cgit/aports/plain/main/linux-pam/musl-fix-pam_exec.patch?id=05a62bda8ec255d7049a2bd4cf0fdc4b32bdb2cc"; - sha256 = "04dx6s9d8cxl40r7m7dc4si47ds4niaqm7902y1d6wcjvs11vrf0"; - }) + # From adelie's package repo, using local copy since it seems to be currently offline. + # (we previously used similar patch from void, but stopped working with update to 1.3.1) + ./musl-fix-pam_exec.patch ]; outputs = [ "out" "doc" "man" /* "modules" */ ]; diff --git a/nixpkgs/pkgs/os-specific/linux/pam/musl-fix-pam_exec.patch b/nixpkgs/pkgs/os-specific/linux/pam/musl-fix-pam_exec.patch new file mode 100644 index 000000000000..194e47b9e5b0 --- /dev/null +++ b/nixpkgs/pkgs/os-specific/linux/pam/musl-fix-pam_exec.patch @@ -0,0 +1,33 @@ +--- ./modules/pam_exec/pam_exec.c.orig ++++ ./modules/pam_exec/pam_exec.c +@@ -103,11 +103,14 @@ + int optargc; + const char *logfile = NULL; + const char *authtok = NULL; ++ char authtok_buf[PAM_MAX_RESP_SIZE+1]; ++ + pid_t pid; + int fds[2]; + int stdout_fds[2]; + FILE *stdout_file = NULL; + ++ memset(authtok_buf, 0, sizeof(authtok_buf)); + if (argc < 1) { + pam_syslog (pamh, LOG_ERR, + "This module needs at least one argument"); +@@ -180,12 +183,12 @@ + if (resp) + { + pam_set_item (pamh, PAM_AUTHTOK, resp); +- authtok = strndupa (resp, PAM_MAX_RESP_SIZE); ++ authtok = strncpy(authtok_buf, resp, sizeof(authtok_buf)); + _pam_drop (resp); + } + } + else +- authtok = strndupa (void_pass, PAM_MAX_RESP_SIZE); ++ authtok = strncpy(authtok_buf, void_pass, sizeof(authtok_buf)); + + if (pipe(fds) != 0) + { + diff --git a/nixpkgs/pkgs/os-specific/linux/powerstat/default.nix b/nixpkgs/pkgs/os-specific/linux/powerstat/default.nix index 1031cc9a56ed..d25ac0139b78 100644 --- a/nixpkgs/pkgs/os-specific/linux/powerstat/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/powerstat/default.nix @@ -4,7 +4,7 @@ stdenv.mkDerivation rec { name = "powerstat-${version}"; version = "0.02.18"; src = fetchurl { - url = "http://kernel.ubuntu.com/~cking/tarballs/powerstat/powerstat-${version}.tar.gz"; + url = "https://kernel.ubuntu.com/~cking/tarballs/powerstat/powerstat-${version}.tar.gz"; sha256 = "1glryfmq9h7h8hsasg5ffl9vrcbjkkq3xqdxmbdhxmn137w7vgm5"; }; installFlags = [ "DESTDIR=$(out)" ]; @@ -14,7 +14,7 @@ stdenv.mkDerivation rec { ''; meta = with lib; { description = "Laptop power measuring tool"; - homepage = http://kernel.ubuntu.com/~cking/powerstat/; + homepage = https://kernel.ubuntu.com/~cking/powerstat/; license = licenses.gpl2; platforms = platforms.linux; maintainers = with maintainers; [ womfoo ]; diff --git a/nixpkgs/pkgs/os-specific/linux/smemstat/default.nix b/nixpkgs/pkgs/os-specific/linux/smemstat/default.nix index 6abbd2d1ec56..06c668acc960 100644 --- a/nixpkgs/pkgs/os-specific/linux/smemstat/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/smemstat/default.nix @@ -4,7 +4,7 @@ stdenv.mkDerivation rec { name = "smemstat-${version}"; version = "0.02.03"; src = fetchurl { - url = "http://kernel.ubuntu.com/~cking/tarballs/smemstat/smemstat-${version}.tar.xz"; + url = "https://kernel.ubuntu.com/~cking/tarballs/smemstat/smemstat-${version}.tar.xz"; sha256 = "04q06wb37n4g1dlsjl8j2bwzd7qis4wanm0f4xg8y29br6skljx1"; }; buildInputs = [ ncurses ]; @@ -15,7 +15,7 @@ stdenv.mkDerivation rec { ''; meta = with lib; { description = "Memory usage monitoring tool"; - homepage = http://kernel.ubuntu.com/~cking/smemstat/; + homepage = https://kernel.ubuntu.com/~cking/smemstat/; license = licenses.gpl2; platforms = platforms.linux; maintainers = with maintainers; [ womfoo ]; diff --git a/nixpkgs/pkgs/os-specific/linux/systemd/default.nix b/nixpkgs/pkgs/os-specific/linux/systemd/default.nix index d54972c055be..bc071d21ce43 100644 --- a/nixpkgs/pkgs/os-specific/linux/systemd/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/systemd/default.nix @@ -129,12 +129,12 @@ in stdenv.mkDerivation rec { test -e $i substituteInPlace $i \ --replace /usr/bin/getent ${getent}/bin/getent \ - --replace /sbin/swapon ${utillinux.bin}/sbin/swapon \ - --replace /sbin/swapoff ${utillinux.bin}/sbin/swapoff \ - --replace /sbin/fsck ${utillinux.bin}/sbin/fsck \ + --replace /sbin/swapon ${lib.getBin utillinux}/sbin/swapon \ + --replace /sbin/swapoff ${lib.getBin utillinux}/sbin/swapoff \ + --replace /sbin/fsck ${lib.getBin utillinux}/sbin/fsck \ --replace /bin/echo ${coreutils}/bin/echo \ --replace /bin/cat ${coreutils}/bin/cat \ - --replace /sbin/sulogin ${utillinux.bin}/sbin/sulogin \ + --replace /sbin/sulogin ${lib.getBin utillinux}/sbin/sulogin \ --replace /usr/lib/systemd/systemd-fsck $out/lib/systemd/systemd-fsck \ --replace /bin/plymouth /run/current-system/sw/bin/plymouth # To avoid dependency done diff --git a/nixpkgs/pkgs/os-specific/linux/udisks/1-default.nix b/nixpkgs/pkgs/os-specific/linux/udisks/1-default.nix index d48c21f6b52a..03c48867b342 100644 --- a/nixpkgs/pkgs/os-specific/linux/udisks/1-default.nix +++ b/nixpkgs/pkgs/os-specific/linux/udisks/1-default.nix @@ -23,7 +23,7 @@ stdenv.mkDerivation rec { substituteInPlace src/main.c --replace \ "/sbin:/bin:/usr/sbin:/usr/bin" \ - "${utillinux}/bin:${mdadm}/sbin:/var/run/current-system/sw/bin:/var/run/current-system/sw/bin" + "${utillinux}/bin:${mdadm}/sbin:/run/current-system/sw/bin:/run/current-system/sw/bin" ''; buildInputs = diff --git a/nixpkgs/pkgs/os-specific/linux/util-linux/default.nix b/nixpkgs/pkgs/os-specific/linux/util-linux/default.nix index ec18c25ee665..3e94ba5c1ea2 100644 --- a/nixpkgs/pkgs/os-specific/linux/util-linux/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/util-linux/default.nix @@ -39,7 +39,7 @@ in stdenv.mkDerivation rec { "--enable-last" "--enable-mesg" "--disable-use-tty-group" - "--enable-fs-paths-default=/run/wrappers/bin:/var/run/current-system/sw/bin:/sbin" + "--enable-fs-paths-default=/run/wrappers/bin:/run/current-system/sw/bin:/sbin" "--disable-makeinstall-setuid" "--disable-makeinstall-chown" (lib.withFeature (ncurses != null) "ncursesw") (lib.withFeature (systemd != null) "systemd") diff --git a/nixpkgs/pkgs/os-specific/linux/zfs/build-fixes-unstable.patch b/nixpkgs/pkgs/os-specific/linux/zfs/build-fixes-unstable.patch new file mode 100644 index 000000000000..ff2c6e2e1503 --- /dev/null +++ b/nixpkgs/pkgs/os-specific/linux/zfs/build-fixes-unstable.patch @@ -0,0 +1,36 @@ +From b323e7a7ebb2327943851fa3fd139399eb24d3dd Mon Sep 17 00:00:00 2001 +From: =?UTF-8?q?J=C3=B6rg=20Thalheim?= <joerg@thalheim.io> +Date: Mon, 13 Aug 2018 22:58:21 +0200 +Subject: [PATCH] build fixes needed for nixos + +--- + module/Makefile.in | 8 ++++---- + 1 file changed, 4 insertions(+), 4 deletions(-) + +diff --git a/module/Makefile.in b/module/Makefile.in +index 935bd2663..afb08df81 100644 +--- a/module/Makefile.in ++++ b/module/Makefile.in +@@ -44,15 +44,15 @@ clean: + modules_install: + @# Install the kernel modules + $(MAKE) -C @LINUX_OBJ@ M=`pwd` $@ \ +- INSTALL_MOD_PATH=$(DESTDIR)$(INSTALL_MOD_PATH) \ ++ INSTALL_MOD_PATH=@prefix@/$(INSTALL_MOD_PATH) \ + INSTALL_MOD_DIR=$(INSTALL_MOD_DIR) \ + KERNELRELEASE=@LINUX_VERSION@ + @# Remove extraneous build products when packaging +- kmoddir=$(DESTDIR)$(INSTALL_MOD_PATH)/lib/modules/@LINUX_VERSION@; \ +- if [ -n "$(DESTDIR)" ]; then \ ++ kmoddir=@prefix@$(INSTALL_MOD_PATH)/lib/modules/@LINUX_VERSION@; \ ++ if [ -n "@prefix@" ]; then \ + find $$kmoddir -name 'modules.*' | xargs $(RM); \ + fi +- sysmap=$(DESTDIR)$(INSTALL_MOD_PATH)/boot/System.map-@LINUX_VERSION@; \ ++ sysmap=@prefix@$(INSTALL_MOD_PATH)/boot/System.map-@LINUX_VERSION@; \ + if [ -f $$sysmap ]; then \ + depmod -ae -F $$sysmap @LINUX_VERSION@; \ + fi +-- +2.19.2 + diff --git a/nixpkgs/pkgs/os-specific/linux/zfs/default.nix b/nixpkgs/pkgs/os-specific/linux/zfs/default.nix index 28a4836bf00e..81143032c917 100644 --- a/nixpkgs/pkgs/os-specific/linux/zfs/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/zfs/default.nix @@ -182,17 +182,14 @@ in { # incompatibleKernelVersion = "4.19"; # this package should point to a version / git revision compatible with the latest kernel release - version = "0.8.0-rc3"; + version = "0.8.0-rc4"; - sha256 = "0wmkis0q2gbj7sgx3ipxngbgzjcf7ay353v3mglf2ay50q4da5i7"; + sha256 = "02cdxf62758smbqy723yqv8lkch1043alvcwhdnvya21ygcgycnw"; isUnstable = true; extraPatches = [ # in case this gets out of date, just send Mic92 a pull request! - (fetchpatch { - url = "https://github.com/Mic92/zfs/commit/bc29b5783da0af2c80c85126a1831ce1d52bfb69.patch"; - sha256 = "1sdcr1w2jp3djpwlf1f91hrxxmc34q0jl388smdkxh5n5bpw5gzw"; - }) + ./build-fixes-unstable.patch ]; spl = null; diff --git a/nixpkgs/pkgs/servers/asterisk/default.nix b/nixpkgs/pkgs/servers/asterisk/default.nix index 1b9f1e698d4d..47ef9934a5e8 100644 --- a/nixpkgs/pkgs/servers/asterisk/default.nix +++ b/nixpkgs/pkgs/servers/asterisk/default.nix @@ -72,19 +72,19 @@ let meta = with stdenv.lib; { description = "Software implementation of a telephone private branch exchange (PBX)"; - homepage = http://www.asterisk.org/; + homepage = https://www.asterisk.org/; license = licenses.gpl2; maintainers = with maintainers; [ auntie DerTim1 yorickvp ]; }; }; pjproject_2_7_1 = fetchurl { - url = http://www.pjsip.org/release/2.7.1/pjproject-2.7.1.tar.bz2; + url = https://www.pjsip.org/release/2.7.1/pjproject-2.7.1.tar.bz2; sha256 = "09ii5hgl5s7grx4fiimcl3s77i385h7b3kwpfa2q0arbl1ibryjr"; }; pjproject_2_8 = fetchurl { - url = http://www.pjsip.org/release/2.8/pjproject-2.8.tar.bz2; + url = https://www.pjsip.org/release/2.8/pjproject-2.8.tar.bz2; sha256 = "0ybg0113rp3fk49rm2v0pcgqb28h3dv1pdy9594w2ggiz7bhngah"; }; diff --git a/nixpkgs/pkgs/servers/asterisk/runtime-vardirs.patch b/nixpkgs/pkgs/servers/asterisk/runtime-vardirs.patch index 17959bac9a07..498c62ee4d88 100644 --- a/nixpkgs/pkgs/servers/asterisk/runtime-vardirs.patch +++ b/nixpkgs/pkgs/servers/asterisk/runtime-vardirs.patch @@ -5,7 +5,7 @@ diff -rupN asterisk-14.1.2/build_tools/make_defaults_h asterisk-14.1.2-patched/b #!/bin/sh + +ASTLOGDIR=/var/log/asterisk -+ASTVARRUNDIR=/var/run/asterisk ++ASTVARRUNDIR=/run/asterisk +ASTVARLIBDIR=/var/lib/asterisk +ASTDBDIR=${ASTVARLIBDIR} +ASTDATADIR=${ASTVARLIBDIR} diff --git a/nixpkgs/pkgs/servers/dict/libmaa.nix b/nixpkgs/pkgs/servers/dict/libmaa.nix index 3c04a678def5..f5c0cf230af3 100644 --- a/nixpkgs/pkgs/servers/dict/libmaa.nix +++ b/nixpkgs/pkgs/servers/dict/libmaa.nix @@ -10,7 +10,7 @@ stdenv.mkDerivation rec { }; buildInputs = [ libtool ]; - # configureFlags = [ "--datadir=/var/run/current-system/share/dictd" ]; + # configureFlags = [ "--datadir=/run/current-system/share/dictd" ]; meta = with stdenv.lib; { description = "Dict protocol server and client"; diff --git a/nixpkgs/pkgs/servers/fcgiwrap/default.nix b/nixpkgs/pkgs/servers/fcgiwrap/default.nix index a1ec3a7deb08..8bab12116b02 100644 --- a/nixpkgs/pkgs/servers/fcgiwrap/default.nix +++ b/nixpkgs/pkgs/servers/fcgiwrap/default.nix @@ -5,7 +5,7 @@ stdenv.mkDerivation rec { version = "1.1.0"; src = fetchurl { - url = "http://github.com/gnosek/fcgiwrap/archive/${version}.tar.gz"; + url = "https://github.com/gnosek/fcgiwrap/archive/${version}.tar.gz"; sha256 = "07y6s4mm86cv7p1ljz94sxnqa89y9amn3vzwsnbq5hrl4vdy0zac"; }; diff --git a/nixpkgs/pkgs/servers/home-assistant/component-packages.nix b/nixpkgs/pkgs/servers/home-assistant/component-packages.nix index d027cf185e60..48fa3793d9a2 100644 --- a/nixpkgs/pkgs/servers/home-assistant/component-packages.nix +++ b/nixpkgs/pkgs/servers/home-assistant/component-packages.nix @@ -2,7 +2,7 @@ # Do not edit! { - version = "0.91.3"; + version = "0.91.4"; components = { "abode" = ps: with ps; [ ]; "abode.alarm_control_panel" = ps: with ps; [ ]; diff --git a/nixpkgs/pkgs/servers/home-assistant/default.nix b/nixpkgs/pkgs/servers/home-assistant/default.nix index eacfa707ebdb..d2eb536a11e5 100644 --- a/nixpkgs/pkgs/servers/home-assistant/default.nix +++ b/nixpkgs/pkgs/servers/home-assistant/default.nix @@ -1,4 +1,4 @@ -{ lib, fetchFromGitHub, fetchpatch, python3 +{ lib, fetchFromGitHub, fetchpatch, python3, protobuf3_6 # Look up dependencies of specified components in component-packages.nix , extraComponents ? [] @@ -78,6 +78,13 @@ let }); }) + # required by aioesphomeapi + (self: super: { + protobuf = super.protobuf.override { + protobuf = protobuf3_6; + }; + }) + # hass-frontend does not exist in python3.pkgs (self: super: { hass-frontend = self.callPackage ./frontend.nix { }; @@ -111,7 +118,7 @@ let extraBuildInputs = extraPackages py.pkgs; # Don't forget to run parse-requirements.py after updating - hassVersion = "0.91.3"; + hassVersion = "0.91.4"; in with py.pkgs; buildPythonApplication rec { pname = "homeassistant"; @@ -126,7 +133,7 @@ in with py.pkgs; buildPythonApplication rec { owner = "home-assistant"; repo = "home-assistant"; rev = version; - sha256 = "00zzb466xbyz8sxjszkjsgks4smj94qw40agwyfn75ciiib2p8fw"; + sha256 = "195pif8lz0qxjsannpi39gxphfb6dkj9lkpah0vjw0pgx753sflv"; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/servers/home-assistant/esphome.nix b/nixpkgs/pkgs/servers/home-assistant/esphome.nix index d4420be94b5a..a8cb12193b0c 100644 --- a/nixpkgs/pkgs/servers/home-assistant/esphome.nix +++ b/nixpkgs/pkgs/servers/home-assistant/esphome.nix @@ -1,17 +1,40 @@ -{ lib, python3, fetchpatch, platformio, esptool, git }: +{ lib, python3, fetchpatch, platformio, esptool, git, protobuf3_7 }: + +let + python = python3.override { + packageOverrides = self: super: { + pyyaml = super.pyyaml.overridePythonAttrs (oldAttrs: rec { + version = "5.1"; + src = oldAttrs.src.override { + inherit version; + sha256 = "436bc774ecf7c103814098159fbb84c2715d25980175292c648f2da143909f95"; + }; + }); + tornado = super.tornado.overridePythonAttrs (oldAttrs: rec { + version = "5.1.1"; + src = oldAttrs.src.override { + inherit version; + sha256 = "4e5158d97583502a7e2739951553cbd88a72076f152b4b11b64b9a10c4c49409"; + }; + }); + protobuf = super.protobuf.override { + protobuf = protobuf3_7; + }; + }; + }; -python3.pkgs.buildPythonApplication rec { +in python.pkgs.buildPythonApplication rec { pname = "esphome"; - version = "1.11.2"; + version = "1.12.2"; - src = python3.pkgs.fetchPypi { + src = python.pkgs.fetchPypi { inherit pname version; - sha256 = "0kg8fqv3mv8i852jr42p4mipa9wjlzjwj60j1r2zpgzgr8p8wfs8"; + sha256 = "935fc3d0f05b2f5911c29f60c9b5538bed584a31455b492944007d8b1524462c"; }; ESPHOME_USE_SUBPROCESS = ""; - propagatedBuildInputs = with python3.pkgs; [ + propagatedBuildInputs = with python.pkgs; [ voluptuous pyyaml paho-mqtt colorlog tornado protobuf tzlocal pyserial ifaddr ]; @@ -24,11 +47,6 @@ python3.pkgs.buildPythonApplication rec { "--set ESPHOME_USE_SUBPROCESS ''" ]; - checkPhase = '' - $out/bin/esphomeyaml tests/test1.yaml compile - $out/bin/esphomeyaml tests/test2.yaml compile - ''; - # Platformio will try to access the network doCheck = false; diff --git a/nixpkgs/pkgs/servers/http/nginx/generic.nix b/nixpkgs/pkgs/servers/http/nginx/generic.nix index 02bef43c184f..12b873df6a40 100644 --- a/nixpkgs/pkgs/servers/http/nginx/generic.nix +++ b/nixpkgs/pkgs/servers/http/nginx/generic.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, fetchpatch, openssl, zlib, pcre, libxml2, libxslt -, gd, geoip +, substituteAll, gd, geoip , withDebug ? false , withStream ? true , withMail ? false @@ -75,7 +75,12 @@ stdenv.mkDerivation { preConfigure = (concatMapStringsSep "\n" (mod: mod.preConfigure or "") modules); - patches = stdenv.lib.optionals (stdenv.hostPlatform != stdenv.buildPlatform) [ + patches = stdenv.lib.singleton (substituteAll { + src = ./nix-etag-1.15.4.patch; + preInstall = '' + export nixStoreDir="$NIX_STORE" nixStoreDirLen="''${#NIX_STORE}" + ''; + }) ++ stdenv.lib.optionals (stdenv.hostPlatform != stdenv.buildPlatform) [ (fetchpatch { url = "https://raw.githubusercontent.com/openwrt/packages/master/net/nginx/patches/102-sizeof_test_fix.patch"; sha256 = "0i2k30ac8d7inj9l6bl0684kjglam2f68z8lf3xggcc2i5wzhh8a"; diff --git a/nixpkgs/pkgs/servers/http/nginx/mainline.nix b/nixpkgs/pkgs/servers/http/nginx/mainline.nix index d7ee0a0af0a9..d2ec9aa6adf3 100644 --- a/nixpkgs/pkgs/servers/http/nginx/mainline.nix +++ b/nixpkgs/pkgs/servers/http/nginx/mainline.nix @@ -1,6 +1,6 @@ { callPackage, ... }@args: callPackage ./generic.nix (args // { - version = "1.15.10"; - sha256 = "0g3wadbf9r730p0j5c0pnsmbmbrwvvnpyzhgbmsf9g2jplx78rdq"; + version = "1.15.12"; + sha256 = "1giavdph0jqhywdkj4650s5qhz6qfd6nrv74k9q005yy2ym90nrx"; }) diff --git a/nixpkgs/pkgs/servers/http/nginx/nix-etag-1.15.4.patch b/nixpkgs/pkgs/servers/http/nginx/nix-etag-1.15.4.patch new file mode 100644 index 000000000000..9dec715bf6c5 --- /dev/null +++ b/nixpkgs/pkgs/servers/http/nginx/nix-etag-1.15.4.patch @@ -0,0 +1,92 @@ +From f6a978f024d01202f954483423af1b2d5d5159a6 Mon Sep 17 00:00:00 2001 +From: Yegor Timoshenko <yegortimoshenko@riseup.net> +Date: Fri, 28 Sep 2018 03:27:04 +0000 +Subject: [PATCH] If root is in Nix store, set ETag to its path hash + +--- + src/http/ngx_http_core_module.c | 56 +++++++++++++++++++++++++++++---- + 1 file changed, 50 insertions(+), 6 deletions(-) + +diff --git a/src/http/ngx_http_core_module.c b/src/http/ngx_http_core_module.c +index c57ec00c..b7992de2 100644 +--- a/src/http/ngx_http_core_module.c ++++ b/src/http/ngx_http_core_module.c +@@ -1583,6 +1583,7 @@ ngx_http_set_etag(ngx_http_request_t *r) + { + ngx_table_elt_t *etag; + ngx_http_core_loc_conf_t *clcf; ++ u_char *real, *ptr1, *ptr2; + + clcf = ngx_http_get_module_loc_conf(r, ngx_http_core_module); + +@@ -1598,16 +1599,61 @@ ngx_http_set_etag(ngx_http_request_t *r) + etag->hash = 1; + ngx_str_set(&etag->key, "ETag"); + +- etag->value.data = ngx_pnalloc(r->pool, NGX_OFF_T_LEN + NGX_TIME_T_LEN + 3); +- if (etag->value.data == NULL) { ++ real = ngx_realpath(clcf->root.data, NULL); ++ ++ if (real == NULL) { + etag->hash = 0; + return NGX_ERROR; + } + +- etag->value.len = ngx_sprintf(etag->value.data, "\"%xT-%xO\"", +- r->headers_out.last_modified_time, +- r->headers_out.content_length_n) +- - etag->value.data; ++ #define NIX_STORE_DIR "@nixStoreDir@" ++ #define NIX_STORE_LEN @nixStoreDirLen@ ++ ++ if (r->headers_out.last_modified_time == 1 ++ && !ngx_strncmp(real, NIX_STORE_DIR, NIX_STORE_LEN) ++ && real[NIX_STORE_LEN] == '/' ++ && real[NIX_STORE_LEN + 1] != '\0') ++ { ++ ptr1 = real + NIX_STORE_LEN; ++ *ptr1 = '"'; ++ ++ ptr2 = (u_char *) ngx_strchr(ptr1, '-'); ++ ++ if (ptr2 == NULL) { ++ ngx_free(real); ++ etag->hash = 0; ++ return NGX_ERROR; ++ } ++ ++ *ptr2++ = '"'; ++ *ptr2 = '\0'; ++ ++ etag->value.len = ngx_strlen(ptr1); ++ etag->value.data = ngx_pnalloc(r->pool, etag->value.len); ++ ++ if (etag->value.data == NULL) { ++ ngx_free(real); ++ etag->hash = 0; ++ return NGX_ERROR; ++ } ++ ++ ngx_memcpy(etag->value.data, ptr1, etag->value.len); ++ } else { ++ etag->value.data = ngx_pnalloc(r->pool, NGX_OFF_T_LEN + NGX_TIME_T_LEN + 3); ++ ++ if (etag->value.data == NULL) { ++ ngx_free(real); ++ etag->hash = 0; ++ return NGX_ERROR; ++ } ++ ++ etag->value.len = ngx_sprintf(etag->value.data, "\"%xT-%xO\"", ++ r->headers_out.last_modified_time, ++ r->headers_out.content_length_n) ++ - etag->value.data; ++ } ++ ++ ngx_free(real); + + r->headers_out.etag = etag; + +-- +2.19.0 + diff --git a/nixpkgs/pkgs/servers/hylafaxplus/default.nix b/nixpkgs/pkgs/servers/hylafaxplus/default.nix index f5876687f8e7..bbd5b80184f7 100644 --- a/nixpkgs/pkgs/servers/hylafaxplus/default.nix +++ b/nixpkgs/pkgs/servers/hylafaxplus/default.nix @@ -30,8 +30,8 @@ let name = "hylafaxplus-${version}"; - version = "5.6.1"; - sha256 = "100jcnkf44g659fh732a3ic2ik6l619cv0zhhp37n2kaydv876s1"; + version = "7.0.0"; + sha256 = "1ryqd8mcaj536pxykja3qzwgd985ad1nn5zfqr1wksf2mzqvwscy"; configSite = substituteAll { name = "hylafaxplus-config.site"; diff --git a/nixpkgs/pkgs/servers/jellyfin/default.nix b/nixpkgs/pkgs/servers/jellyfin/default.nix index 4b53cf5ae21b..d429da78a32f 100644 --- a/nixpkgs/pkgs/servers/jellyfin/default.nix +++ b/nixpkgs/pkgs/servers/jellyfin/default.nix @@ -2,12 +2,12 @@ stdenv.mkDerivation rec { pname = "jellyfin"; - version = "10.2.2"; + version = "10.3.0"; # Impossible to build anything offline with dotnet src = fetchurl { url = "https://github.com/jellyfin/jellyfin/releases/download/v${version}/jellyfin_${version}_portable.tar.gz"; - sha256 = "1q5wwjhlvykcad6jcizbr4yx5fiyzs97zl4qnkf79236xgvdyx53"; + sha256 = "1q9rvyza5zi1kqg9301zrd0fnxzdwknyagpjc9r1cpqfx3yasnkc"; }; buildInputs = [ @@ -30,7 +30,7 @@ stdenv.mkDerivation rec { --prefix LD_LIBRARY_PATH : "${stdenv.lib.makeLibraryPath [ sqlite ]}" \ - --add-flags "$out/opt/jellyfin/jellyfin.dll -ffmpeg ${ffmpeg}/bin/ffmpeg -ffprobe ${ffmpeg}/bin/ffprobe" + --add-flags "$out/opt/jellyfin/jellyfin.dll --ffmpeg ${ffmpeg}/bin/ffmpeg" ''; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/servers/mail/dovecot/default.nix b/nixpkgs/pkgs/servers/mail/dovecot/default.nix index 802c6698df64..466cd5314e68 100644 --- a/nixpkgs/pkgs/servers/mail/dovecot/default.nix +++ b/nixpkgs/pkgs/servers/mail/dovecot/default.nix @@ -9,7 +9,7 @@ }: stdenv.mkDerivation rec { - name = "dovecot-2.3.5.1"; + name = "dovecot-2.3.5.2"; nativeBuildInputs = [ perl pkgconfig ]; buildInputs = @@ -21,7 +21,7 @@ stdenv.mkDerivation rec { src = fetchurl { url = "https://dovecot.org/releases/2.3/${name}.tar.gz"; - sha256 = "0gy3qzwbp6zsyn44pcfq8iiv9iy9q7z6py30h60alb1vkr3rv3yp"; + sha256 = "1whvyg087sjhkd8r0xnk4ij105j135acnfxq6n58c6nqxwdf855s"; }; enableParallelBuilding = true; diff --git a/nixpkgs/pkgs/servers/mail/postsrsd/default.nix b/nixpkgs/pkgs/servers/mail/postsrsd/default.nix index 7af785aa87c5..f13c57e3b35d 100644 --- a/nixpkgs/pkgs/servers/mail/postsrsd/default.nix +++ b/nixpkgs/pkgs/servers/mail/postsrsd/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { name = "postsrsd-${version}"; - version = "1.5"; + version = "1.6"; src = fetchFromGitHub { owner = "roehling"; repo = "postsrsd"; rev = version; - sha256 = "170pbjicpfac8qca2vkp6bpbw42xrcm14b3p1dhnp877glrzlih8"; + sha256 = "1dza22f0zlzsvr2dpnmsg8m8mj9rgdk0pzm1wvxrcfwyi8899ggm"; }; cmakeFlags = [ "-DGENERATE_SRS_SECRET=OFF" "-DINIT_FLAVOR=systemd" ]; diff --git a/nixpkgs/pkgs/servers/mail/rspamd/default.nix b/nixpkgs/pkgs/servers/mail/rspamd/default.nix index 756796d62017..f14d36f1efb7 100644 --- a/nixpkgs/pkgs/servers/mail/rspamd/default.nix +++ b/nixpkgs/pkgs/servers/mail/rspamd/default.nix @@ -32,7 +32,7 @@ stdenv.mkDerivation rec { cmakeFlags = [ "-DDEBIAN_BUILD=ON" - "-DRUNDIR=/var/run/rspamd" + "-DRUNDIR=/run/rspamd" "-DDBDIR=/var/lib/rspamd" "-DLOGDIR=/var/log/rspamd" "-DLOCAL_CONFDIR=/etc/rspamd" diff --git a/nixpkgs/pkgs/servers/memcached/default.nix b/nixpkgs/pkgs/servers/memcached/default.nix index 73251bfd9e19..493bcde6a148 100644 --- a/nixpkgs/pkgs/servers/memcached/default.nix +++ b/nixpkgs/pkgs/servers/memcached/default.nix @@ -1,12 +1,12 @@ {stdenv, fetchurl, cyrus_sasl, libevent}: stdenv.mkDerivation rec { - version = "1.5.12"; + version = "1.5.13"; name = "memcached-${version}"; src = fetchurl { url = "https://memcached.org/files/${name}.tar.gz"; - sha256 = "0aav15f0lh8k4i62aza2bdv4s8vv65j38pz2zc4v45snd3arfby0"; + sha256 = "0qsdkjrns4f02lmabq8c7mzl5n4382q2p6a0dvmsjdcpjisagqb1"; }; configureFlags = [ diff --git a/nixpkgs/pkgs/servers/monitoring/cadvisor/default.nix b/nixpkgs/pkgs/servers/monitoring/cadvisor/default.nix index 6422d7238037..502a3026b2ae 100644 --- a/nixpkgs/pkgs/servers/monitoring/cadvisor/default.nix +++ b/nixpkgs/pkgs/servers/monitoring/cadvisor/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { name = "cadvisor-${version}"; - version = "0.33.0"; + version = "0.33.1"; src = fetchFromGitHub { owner = "google"; repo = "cadvisor"; rev = "v${version}"; - sha256 = "14v4xjycr34kvilidhhavc8yvhkxb7mxc9qd56jd1x2c42lfna8k"; + sha256 = "15wddg0xwkz42n5y2f72yq3imhbvnp83g1jq6p81ddw9qzbz62zs"; }; nativeBuildInputs = [ go ]; diff --git a/nixpkgs/pkgs/servers/monitoring/grafana/default.nix b/nixpkgs/pkgs/servers/monitoring/grafana/default.nix index 6fd416baae83..ba5ba8725bc5 100644 --- a/nixpkgs/pkgs/servers/monitoring/grafana/default.nix +++ b/nixpkgs/pkgs/servers/monitoring/grafana/default.nix @@ -1,7 +1,7 @@ { lib, buildGoPackage, fetchurl, fetchFromGitHub, phantomjs2 }: buildGoPackage rec { - version = "6.1.3"; + version = "6.1.4"; name = "grafana-${version}"; goPackagePath = "github.com/grafana/grafana"; @@ -11,12 +11,12 @@ buildGoPackage rec { rev = "v${version}"; owner = "grafana"; repo = "grafana"; - sha256 = "0svg1q3h8m3i6snznsx0lwzb7lrv532v0nvpbwr6ydg2gd3aqwih"; + sha256 = "0a0k66vbsi2704pb5vr8mjr7n3v5dapnfhqxkrw6biicj8ahka30"; }; srcStatic = fetchurl { url = "https://s3-us-west-2.amazonaws.com/grafana-releases/release/grafana-${version}.linux-amd64.tar.gz"; - sha256 = "0a7160lmi67pa32q5d6ib14vp5rx7850w0vvfxchmdm08dv3hm7k"; + sha256 = "1wamnvv2jiyi6cyw9p65j2hm3si345asfwl7kjg7drx0vn08ks6g"; }; postPatch = '' diff --git a/nixpkgs/pkgs/servers/monitoring/newrelic-sysmond/default.nix b/nixpkgs/pkgs/servers/monitoring/newrelic-sysmond/default.nix index dc6faf151b77..401f9ffc4066 100644 --- a/nixpkgs/pkgs/servers/monitoring/newrelic-sysmond/default.nix +++ b/nixpkgs/pkgs/servers/monitoring/newrelic-sysmond/default.nix @@ -18,7 +18,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "System-wide monitoring for newrelic"; - homepage = http://newrelic.com/; + homepage = https://newrelic.com/; license = licenses.unfree; platforms = platforms.linux; maintainers = with maintainers; [ lnl7 ]; diff --git a/nixpkgs/pkgs/servers/monitoring/prometheus/statsd-bridge_deps.nix b/nixpkgs/pkgs/servers/monitoring/prometheus/statsd-bridge_deps.nix deleted file mode 100644 index fd11ab5a7eab..000000000000 --- a/nixpkgs/pkgs/servers/monitoring/prometheus/statsd-bridge_deps.nix +++ /dev/null @@ -1,74 +0,0 @@ -[ - { - goPackagePath = "github.com/golang/protobuf"; - fetch = { - type = "git"; - url = "https://github.com/golang/protobuf"; - rev = "59b73b37c1e45995477aae817e4a653c89a858db"; - sha256 = "1dx22jvhvj34ivpr7gw01fncg9yyx35mbpal4mpgnqka7ajmgjsa"; - }; - } - { - goPackagePath = "github.com/prometheus/client_model"; - fetch = { - type = "git"; - url = "https://github.com/prometheus/client_model"; - rev = "fa8ad6fec33561be4280a8f0514318c79d7f6cb6"; - sha256 = "11a7v1fjzhhwsl128znjcf5v7v6129xjgkdpym2lial4lac1dhm9"; - }; - } - { - goPackagePath = "github.com/beorn7/perks"; - fetch = { - type = "git"; - url = "https://github.com/beorn7/perks"; - rev = "b965b613227fddccbfffe13eae360ed3fa822f8d"; - sha256 = "1p8zsj4r0g61q922khfxpwxhdma2dx4xad1m5qx43mfn28kxngqk"; - }; - } - { - goPackagePath = "github.com/matttproud/golang_protobuf_extensions"; - fetch = { - type = "git"; - url = "https://github.com/matttproud/golang_protobuf_extensions"; - rev = "fc2b8d3a73c4867e51861bbdd5ae3c1f0869dd6a"; - sha256 = "0ajg41h6402big484drvm72wvid1af2sffw0qkzbmpy04lq68ahj"; - }; - } - { - goPackagePath = "github.com/prometheus/client_golang"; - fetch = { - type = "git"; - url = "https://github.com/prometheus/client_golang"; - rev = "6dbab8106ed3ed77359ac85d9cf08e30290df864"; - sha256 = "1i3g5h2ncdb8b67742kfpid7d0a1jas1pyicglbglwngfmzhpkna"; - }; - } - { - goPackagePath = "github.com/prometheus/procfs"; - fetch = { - type = "git"; - url = "https://github.com/prometheus/procfs"; - rev = "c91d8eefde16bd047416409eb56353ea84a186e4"; - sha256 = "0pj3gzw9b58l72w0rkpn03ayssglmqfmyxghhfad6mh0b49dvj3r"; - }; - } - { - goPackagePath = "bitbucket.org/ww/goautoneg"; - fetch = { - type = "hg"; - url = "bitbucket.org/ww/goautoneg"; - rev = "75cd24fc2f2c2a2088577d12123ddee5f54e0675"; - sha256 = "19khhn5xhqv1yp7d6k987gh5w5rhrjnp4p0c6fyrd8z6lzz5h9qi"; - }; - } - { - goPackagePath = "github.com/howeyc/fsnotify"; - fetch = { - type = "git"; - url = "https://github.com/fsnotify/fsnotify"; - rev = "ea925a0a47d225b2ca7f9932b01d2ed4f3ec74f6"; - sha256 = "15wqjpkfzsxnaxbz6y4r91hw6812g3sc4ipagxw1bya9klbnkdc9"; - }; - } -] diff --git a/nixpkgs/pkgs/servers/monitoring/prometheus/statsd-bridge.nix b/nixpkgs/pkgs/servers/monitoring/prometheus/statsd-exporter.nix index e5480e020372..792e0b33aee1 100644 --- a/nixpkgs/pkgs/servers/monitoring/prometheus/statsd-bridge.nix +++ b/nixpkgs/pkgs/servers/monitoring/prometheus/statsd-exporter.nix @@ -2,25 +2,23 @@ buildGoPackage rec { name = "statsd_exporter-${version}"; - version = "0.4.0"; + version = "0.9.0"; rev = version; - goPackagePath = "github.com/prometheus/statsd_bridge"; + goPackagePath = "github.com/prometheus/statsd_exporter"; src = fetchFromGitHub { rev = "v${version}"; owner = "prometheus"; repo = "statsd_exporter"; - sha256 = "1w11n7g663g7d7mbf6jfzcqmcm9rhaxy52bg0rqnad9v0rs5qxr6"; + sha256 = "0bgi00005j41p650rb6n1iz2w9m4p22d1w91f2hwlh5bqxf55al3"; }; - goDeps = ./statsd-bridge_deps.nix; - meta = with stdenv.lib; { description = "Receives StatsD-style metrics and exports them to Prometheus"; - homepage = https://github.com/prometheus/statsd_bridge; + homepage = https://github.com/prometheus/statsd_exporter; license = licenses.asl20; - maintainers = with maintainers; [ benley ]; + maintainers = with maintainers; [ benley ivan ]; platforms = platforms.unix; }; } diff --git a/nixpkgs/pkgs/servers/mqtt/mosquitto/default.nix b/nixpkgs/pkgs/servers/mqtt/mosquitto/default.nix index 75a0498dd3f1..ee1277292767 100644 --- a/nixpkgs/pkgs/servers/mqtt/mosquitto/default.nix +++ b/nixpkgs/pkgs/servers/mqtt/mosquitto/default.nix @@ -1,19 +1,16 @@ { stdenv, lib, fetchFromGitHub, fetchpatch, cmake, docbook_xsl, libxslt , openssl, libuuid, libwebsockets, c-ares, libuv -, systemd ? null }: +, systemd ? null, withSystemd ? stdenv.isLinux }: -let - withSystemd = stdenv.isLinux; - -in stdenv.mkDerivation rec { +stdenv.mkDerivation rec { name = "mosquitto-${version}"; - version = "1.5.8"; + version = "1.6"; src = fetchFromGitHub { owner = "eclipse"; repo = "mosquitto"; rev = "v${version}"; - sha256 = "1rf8g6fq7g1mhwsajsgvvlynasybgc51v0qg5j6ynsxfh8yi7s6r"; + sha256 = "1yvn0yj9hb502lvk2yrshpvrnq2fddjyarnw37ip34mhxynnz5gb"; }; postPatch = '' @@ -38,8 +35,6 @@ in stdenv.mkDerivation rec { nativeBuildInputs = [ cmake docbook_xsl libxslt ]; - enableParallelBuilding = true; - cmakeFlags = [ "-DWITH_THREADING=ON" "-DWITH_WEBSOCKETS=ON" diff --git a/nixpkgs/pkgs/servers/plex/default.nix b/nixpkgs/pkgs/servers/plex/default.nix index 5c69ecd39f82..1332edbab45f 100644 --- a/nixpkgs/pkgs/servers/plex/default.nix +++ b/nixpkgs/pkgs/servers/plex/default.nix @@ -1,81 +1,102 @@ -{ config, stdenv, fetchurl, rpmextract, glibc -, dataDir ? "/var/lib/plex" # Plex's data directory must be baked into the package due to symlinks. -, enablePlexPass ? config.plex.enablePlexPass or false +# The actual Plex package that we run is a FHS userenv of the "raw" package. +{ stdenv +, buildFHSUserEnv +, writeScript +, plexRaw + +# Old argument for overriding the Plex data directory; isn't necessary for this +# version of Plex to function, but still around for backwards-compatibility. +, dataDir ? "/var/lib/plex" }: -let - plexPass = throw "Plex pass has been removed at upstream's request; please unset nixpkgs.config.plex.pass"; - plexpkg = if enablePlexPass then plexPass else { - version = "1.15.3.876"; - vsnHash = "ad6e39743"; - sha256 = "01g7wccm01kg3nhf3qrmwcn20nkpv0bqz6zqv2gq5v03ps58h6g5"; - }; +buildFHSUserEnv rec { + name = "plexmediaserver"; + inherit (plexRaw) meta; -in stdenv.mkDerivation rec { - name = "plex-${version}"; - version = plexpkg.version; - vsnHash = plexpkg.vsnHash; - sha256 = plexpkg.sha256; + # This script is run when we start our Plex binary + runScript = writeScript "plex-run-script" '' + #!${stdenv.shell} - src = fetchurl { - url = "https://downloads.plex.tv/plex-media-server-new/${version}-${vsnHash}/redhat/plexmediaserver-${version}-${vsnHash}.x86_64.rpm"; - inherit sha256; - }; + set -eu - buildInputs = [ rpmextract glibc ]; + # The root path to our Plex installation + root=${plexRaw}/lib/plexmediaserver - phases = [ "unpackPhase" "installPhase" "fixupPhase" "distPhase" ]; + # Path to where we're storing our Plex data files. We default to storing + # them in the user's home directory under the XDG-compatible location, but + # allow overriding with an environment variable so the location can be + # configured in our NixOS module. + # + # NOTE: the old version of Plex used /var/lib/plex as the default location, + # but this package shouldn't assume that we're going to run Plex with the + # ability to write to /var/lib, so using a subdirectory of $HOME when none + # is specified feels less likely to have permission errors. + if [[ -z "''${PLEX_DATADIR:-}" ]]; then + PLEX_DATADIR="$HOME/.local/share/plex" + fi + if [[ ! -d "$PLEX_DATADIR" ]]; then + echo "Creating Plex data directory: $PLEX_DATADIR" + mkdir -p "$PLEX_DATADIR" + fi - unpackPhase = '' - rpmextract $src - ''; + # The database is stored under the given directory + db="$PLEX_DATADIR/.skeleton/com.plexapp.plugins.library.db" - installPhase = '' - install -d $out/usr/lib - cp -dr --no-preserve='ownership' usr/lib/plexmediaserver $out/usr/lib/ - - # Now we need to patch up the executables and libraries to work on Nix. - # Side note: PLEASE don't put spaces in your binary names. This is stupid. - for bin in "Plex Media Server" \ - "Plex Commercial Skipper" \ - "Plex DLNA Server" \ - "Plex Media Scanner" \ - "Plex Relay" \ - "Plex Script Host" \ - "Plex Transcoder" \ - "Plex Tuner Service" ; do - patchelf --set-interpreter "${glibc.out}/lib/ld-linux-x86-64.so.2" "$out/usr/lib/plexmediaserver/$bin" - patchelf --set-rpath "$out/usr/lib/plexmediaserver/lib" "$out/usr/lib/plexmediaserver/$bin" - done - - find $out/usr/lib/plexmediaserver/Resources -type f -a -perm -0100 \ - -print -exec patchelf --set-interpreter "${glibc.out}/lib/ld-linux-x86-64.so.2" '{}' \; - - # Our next problem is the "Resources" directory in /usr/lib/plexmediaserver. - # This is ostensibly a skeleton directory, which contains files that Plex - # copies into its folder in /var. Unfortunately, there are some SQLite - # databases in the directory that are opened at startup. Since these - # database files are read-only, SQLite chokes and Plex fails to start. To - # solve this, we keep the resources directory in the Nix store, but we - # rename the database files and replace the originals with symlinks to - # /var/lib/plex. Then, in the systemd unit, the base database files are - # copied to /var/lib/plex before starting Plex. - RSC=$out/usr/lib/plexmediaserver/Resources - for db in "com.plexapp.plugins.library.db"; do - mv $RSC/$db $RSC/base_$db - ln -s "${dataDir}/.skeleton/$db" $RSC/$db - done - ''; + # If we don't have a database in the expected path, then create one by + # copying our base database to that location. + if ! test -f "$db"; then + echo "Copying base database file to: $db" + mkdir -p "$(dirname "$db")" + cat "${plexRaw.basedb}" > "$db" + fi + + # Set up symbolic link at '/db', which is linked to by our Plex package + # (see the 'plexRaw' package). + ln -s "$db" /db + + # If we have a plugin list (set by our NixOS module), we create plugins in + # the data directory as expected. This is a colon-separated list of paths. + if [[ -n "''${PLEX_PLUGINS:-}" ]]; then + echo "Preparing plugin directory" + + pluginDir="$PLEX_DATADIR/Plex Media Server/Plug-ins" + test -d "$pluginDir" || mkdir -p "$pluginDir" - meta = with stdenv.lib; { - homepage = http://plex.tv/; - license = licenses.unfree; - platforms = platforms.linux; - maintainers = with stdenv.lib.maintainers; [ colemickens forkk thoughtpolice pjones lnl7 ]; - description = "Media / DLNA server"; - longDescription = '' - Plex is a media server which allows you to store your media and play it - back across many different devices. - ''; - }; + # First, remove all of the symlinks in the plugins directory. + echo "Removing old symlinks" + for f in $(ls "$pluginDir/"); do + if [[ -L "$pluginDir/$f" ]]; then + echo "Removing plugin symlink: $pluginDir/$f" + rm "$pluginDir/$f" + fi + done + + echo "Symlinking plugins" + IFS=':' read -ra pluginsArray <<< "$PLEX_PLUGINS" + for path in "''${pluginsArray[@]}"; do + dest="$pluginDir/$(basename "$path")" + + if [[ ! -d "$path" ]]; then + echo "Error symlinking plugin from $path: no such directory" + elif [[ -d "$dest" || -L "$dest" ]]; then + echo "Error symlinking plugin from $path to $dest: file or directory already exists" + else + echo "Symlinking plugin at: $path" + ln -s "$path" "$dest" + fi + done + fi + + # Tell Plex to use the data directory as the "Application Support" + # directory, otherwise it tries to write things into the user's home + # directory. + export PLEX_MEDIA_SERVER_APPLICATION_SUPPORT_DIR="$PLEX_DATADIR" + + # Tell Plex where the 'home' directory for itself is. + export PLEX_MEDIA_SERVER_HOME="${plexRaw}/lib/plexmediaserver" + + # Actually run Plex, prepending LD_LIBRARY_PATH with the libraries from + # the Plex package. + LD_LIBRARY_PATH=$LD_LIBRARY_PATH:$root exec "$root/Plex Media Server" + ''; } diff --git a/nixpkgs/pkgs/servers/plex/raw.nix b/nixpkgs/pkgs/servers/plex/raw.nix new file mode 100644 index 000000000000..de81235c26f3 --- /dev/null +++ b/nixpkgs/pkgs/servers/plex/raw.nix @@ -0,0 +1,70 @@ +{ stdenv +, fetchurl +, rpmextract +}: + +# The raw package that fetches and extracts the Plex RPM. Override the source +# and version of this derivation if you want to use a Plex Pass version of the +# server, and the FHS userenv and corresponding NixOS module should +# automatically pick up the changes. +stdenv.mkDerivation rec { + version = "1.15.3.876-ad6e39743"; + pname = "plexmediaserver"; + name = "${pname}-${version}"; + + # Fetch the source + src = fetchurl { + url = "https://downloads.plex.tv/plex-media-server-new/${version}/redhat/plexmediaserver-${version}.x86_64.rpm"; + sha256 = "01g7wccm01kg3nhf3qrmwcn20nkpv0bqz6zqv2gq5v03ps58h6g5"; + }; + + outputs = [ "out" "basedb" ]; + + nativeBuildInputs = [ rpmextract ]; + + phases = [ "unpackPhase" "installPhase" "fixupPhase" "distPhase" ]; + + unpackPhase = '' + rpmextract $src + ''; + + installPhase = '' + mkdir -p "$out/lib" + cp -dr --no-preserve='ownership' usr/lib/plexmediaserver $out/lib/ + + # Location of the initial Plex plugins database + f=$out/lib/plexmediaserver/Resources/com.plexapp.plugins.library.db + + # Store the base database in the 'basedb' output + cat $f > $basedb + + # Overwrite the base database in the Plex package with an absolute symlink + # to the '/db' file; we create this path in the FHS userenv (see the "plex" + # package). + ln -fs /db $f + ''; + + # We're running in a FHS userenv; don't patch anything + dontPatchShebangs = true; + dontStrip = true; + dontPatchELF = true; + dontAutoPatchelf = true; + + meta = with stdenv.lib; { + homepage = https://plex.tv/; + license = licenses.unfree; + platforms = platforms.linux; + maintainers = with maintainers; [ + colemickens + forkk + lnl7 + pjones + thoughtpolice + ]; + description = "Media library streaming server"; + longDescription = '' + Plex is a media server which allows you to store your media and play it + back across many different devices. + ''; + }; +} diff --git a/nixpkgs/pkgs/servers/sql/postgresql/ext/plv8.nix b/nixpkgs/pkgs/servers/sql/postgresql/ext/plv8.nix index dae3b2fd1af1..b6446f292c6c 100644 --- a/nixpkgs/pkgs/servers/sql/postgresql/ext/plv8.nix +++ b/nixpkgs/pkgs/servers/sql/postgresql/ext/plv8.nix @@ -1,8 +1,8 @@ { stdenv, fetchFromGitHub, v8, perl, postgresql }: stdenv.mkDerivation rec { - name = "plv8-${version}"; - version = "2.3.8"; + pname = "plv8"; + version = "2.3.11"; nativeBuildInputs = [ perl ]; buildInputs = [ v8 postgresql ]; @@ -11,7 +11,7 @@ stdenv.mkDerivation rec { owner = "plv8"; repo = "plv8"; rev = "v${version}"; - sha256 = "0hrmn1zzzdf52zwldg6axv57p0f3b279l9s8lbpijcv60fqrzx16"; + sha256 = "0bv2b8xxdqqhj6nwyc8kwhi5m5i7i1yl078sk3bnnc84b0mnza5x"; }; makeFlags = [ "--makefile=Makefile.shared" ]; diff --git a/nixpkgs/pkgs/servers/sql/postgresql/ext/timescaledb.nix b/nixpkgs/pkgs/servers/sql/postgresql/ext/timescaledb.nix index 3c53054ba7de..9a664bc25188 100644 --- a/nixpkgs/pkgs/servers/sql/postgresql/ext/timescaledb.nix +++ b/nixpkgs/pkgs/servers/sql/postgresql/ext/timescaledb.nix @@ -8,7 +8,7 @@ stdenv.mkDerivation rec { name = "timescaledb-${version}"; - version = "1.0.0"; + version = "1.2.2"; nativeBuildInputs = [ cmake ]; buildInputs = [ postgresql openssl ]; @@ -17,7 +17,7 @@ stdenv.mkDerivation rec { owner = "timescale"; repo = "timescaledb"; rev = "refs/tags/${version}"; - sha256 = "1359jc0dw8q3f0iipqfadzs8lvri9qa5w59ziz00x1d09ppw2q40"; + sha256 = "1fb1ab07jmgd1drinl25mbhwx966f75c7i7nh3ah0xf3cbk298xr"; }; # Fix the install phase which tries to install into the pgsql extension dir, @@ -28,7 +28,7 @@ stdenv.mkDerivation rec { --replace 'DESTINATION "''${PG_SHAREDIR}/extension"' "DESTINATION \"$out/share/extension\"" done - for x in src/CMakeLists.txt src/loader/CMakeLists.txt; do + for x in src/CMakeLists.txt src/loader/CMakeLists.txt tsl/src/CMakeLists.txt; do substituteInPlace "$x" \ --replace 'DESTINATION ''${PG_PKGLIBDIR}' "DESTINATION \"$out/lib\"" done @@ -45,7 +45,7 @@ stdenv.mkDerivation rec { description = "Scales PostgreSQL for time-series data via automatic partitioning across time and space"; homepage = https://www.timescale.com/; maintainers = with maintainers; [ volth ]; - platforms = platforms.linux; + platforms = postgresql.meta.platforms; license = licenses.asl20; }; } diff --git a/nixpkgs/pkgs/servers/u9fs/default.nix b/nixpkgs/pkgs/servers/u9fs/default.nix index b42fe004af33..4f5d9636fe6b 100644 --- a/nixpkgs/pkgs/servers/u9fs/default.nix +++ b/nixpkgs/pkgs/servers/u9fs/default.nix @@ -3,7 +3,7 @@ stdenv.mkDerivation { name = "u9fs-20110513"; src = fetchhg { - url = http://bitbucket.org/plan9-from-bell-labs/u9fs; + url = https://bitbucket.org/plan9-from-bell-labs/u9fs; rev = "9474edb23b11"; sha256 = "0irwyk8vnvx0fmz8lmbdb2jrlvas8imr61jr76a1pkwi9wpf2wv6"; }; diff --git a/nixpkgs/pkgs/servers/web-apps/codimd/CodeMirror/node-packages.nix b/nixpkgs/pkgs/servers/web-apps/codimd/CodeMirror/node-packages.nix index 8059c901a954..2aab16d1ec20 100644 --- a/nixpkgs/pkgs/servers/web-apps/codimd/CodeMirror/node-packages.nix +++ b/nixpkgs/pkgs/servers/web-apps/codimd/CodeMirror/node-packages.nix @@ -1206,7 +1206,7 @@ in buildInputs = globalBuildInputs; meta = { description = "No-ceremony linter"; - homepage = http://github.com/marijnh/blint; + homepage = https://github.com/marijnh/blint; }; production = true; bypassCache = true; diff --git a/nixpkgs/pkgs/servers/web-apps/codimd/node-packages.nix b/nixpkgs/pkgs/servers/web-apps/codimd/node-packages.nix index 6cbd64609716..bec83653aefd 100644 --- a/nixpkgs/pkgs/servers/web-apps/codimd/node-packages.nix +++ b/nixpkgs/pkgs/servers/web-apps/codimd/node-packages.nix @@ -12988,7 +12988,7 @@ in buildInputs = globalBuildInputs; meta = { description = "Extract text from bundle into a file."; - homepage = http://github.com/webpack/extract-text-webpack-plugin; + homepage = https://github.com/webpack/extract-text-webpack-plugin; license = "MIT"; }; production = true; @@ -13386,7 +13386,7 @@ in buildInputs = globalBuildInputs; meta = { description = "A Webpack plugin to optimize \\ minimize CSS assets."; - homepage = http://github.com/NMFR/optimize-css-assets-webpack-plugin; + homepage = https://github.com/NMFR/optimize-css-assets-webpack-plugin; license = "MIT"; }; production = true; @@ -14398,7 +14398,7 @@ in buildInputs = globalBuildInputs; meta = { description = "Microsoft Azure Storage Client Library for Node.js"; - homepage = http://github.com/Azure/azure-storage-node; + homepage = https://github.com/Azure/azure-storage-node; license = "Apache-2.0"; }; production = true; @@ -15516,7 +15516,7 @@ in buildInputs = globalBuildInputs; meta = { description = "lightweight translation module with dynamic json storage"; - homepage = http://github.com/mashpie/i18n-node; + homepage = https://github.com/mashpie/i18n-node; license = "MIT"; }; production = true; @@ -15925,7 +15925,7 @@ in description = "A JavaScript implementation of the W3C DOM, forked from jsdom, remove dependencies to contextify and node-gyp"; license = { type = "MIT"; - url = "http://github.com/tmpvar/jsdom/blob/master/LICENSE.txt"; + url = "https://github.com/tmpvar/jsdom/blob/master/LICENSE.txt"; }; }; production = true; @@ -17054,7 +17054,7 @@ in buildInputs = globalBuildInputs; meta = { description = "PostgreSQL client - pure javascript & libpq with the same API"; - homepage = http://github.com/brianc/node-postgres; + homepage = https://github.com/brianc/node-postgres; license = "MIT"; }; production = true; @@ -18237,7 +18237,7 @@ in buildInputs = globalBuildInputs; meta = { description = "Asynchronous, non-blocking SQLite3 bindings"; - homepage = http://github.com/mapbox/node-sqlite3; + homepage = https://github.com/mapbox/node-sqlite3; license = "BSD-3-Clause"; }; production = true; diff --git a/nixpkgs/pkgs/shells/bash/bash-5.0-patches.nix b/nixpkgs/pkgs/shells/bash/bash-5.0-patches.nix index a9877540988b..560aef16644c 100644 --- a/nixpkgs/pkgs/shells/bash/bash-5.0-patches.nix +++ b/nixpkgs/pkgs/shells/bash/bash-5.0-patches.nix @@ -3,4 +3,9 @@ patch: [ (patch "001" "12bjfdy6bg8nhyw27bdgxn7h4paylx8d927skfmi9pxd1wgrxzpj") (patch "002" "01w7yrzmz10mw06ys0546vhl7isv2v402ziyvfd7k67588spvs47") +(patch "003" "0ny81ridp5n0j69hb8ixrc7dmxybby54jbsz5hikly8kgg1wvssf") +(patch "004" "021gqqvgydixkrmqss64b6srfdlkvnx88lyfzpxfrn5d6bc7li0l") +(patch "005" "0xl2kyzm84nlyklrqzkn73ixabhzfhn9x91lzcmis89cppclvxav") +(patch "006" "0844749ixk1z60437nkznzms1f0nzh9an62kj7sny6r0zyk2k1fn") +(patch "007" "16xg37gp1b8zlj5969w8mcrparwqlcbj9695vn3qhgb7wdz1xd0p") ] diff --git a/nixpkgs/pkgs/shells/zsh/lambda-mod-zsh-theme/default.nix b/nixpkgs/pkgs/shells/zsh/lambda-mod-zsh-theme/default.nix index e167e5953e52..34d566f1239b 100644 --- a/nixpkgs/pkgs/shells/zsh/lambda-mod-zsh-theme/default.nix +++ b/nixpkgs/pkgs/shells/zsh/lambda-mod-zsh-theme/default.nix @@ -1,13 +1,13 @@ { stdenv, fetchFromGitHub, zsh }: stdenv.mkDerivation { - name = "lambda-mod-zsh-theme-unstable-2017-10-08"; + name = "lambda-mod-zsh-theme-unstable-2019-04-17"; src = fetchFromGitHub { owner = "halfo"; repo = "lambda-mod-zsh-theme"; - sha256 = "13yis07zyr192s0x2h04k5bm1yzbk5m3js83aa17xh5573w4b786"; - rev = "61c373c8aa5556d51522290b82ad44e7166bced1"; + rev = "6b83aedf9de41ea4e226cdbc78af1b7b92beb6ac"; + sha256 = "1xf451c349fxnqbvsb07y9r1iqrwslx6x4b6drmnqqqy4yx1r5dj"; }; installPhase = '' diff --git a/nixpkgs/pkgs/shells/zsh/nix-zsh-completions/default.nix b/nixpkgs/pkgs/shells/zsh/nix-zsh-completions/default.nix index 122a44dcd17c..0a5c3ef666e2 100644 --- a/nixpkgs/pkgs/shells/zsh/nix-zsh-completions/default.nix +++ b/nixpkgs/pkgs/shells/zsh/nix-zsh-completions/default.nix @@ -1,7 +1,7 @@ { stdenv, fetchFromGitHub }: let - version = "0.4.2"; + version = "0.4.3"; in stdenv.mkDerivation rec { @@ -11,7 +11,7 @@ stdenv.mkDerivation rec { owner = "spwhitt"; repo = "nix-zsh-completions"; rev = "${version}"; - sha256 = "1pfyn8kd9fc9fyy77imzg6xj00nzddkjagwjs2594db8ynp6cfil"; + sha256 = "0fq1zlnsj1bb7byli7mwlz7nm2yszwmyx43ccczcv51mjjfivyp3"; }; installPhase = '' diff --git a/nixpkgs/pkgs/shells/zsh/oh-my-zsh/default.nix b/nixpkgs/pkgs/shells/zsh/oh-my-zsh/default.nix index 6d6fa5d93e14..ab513f9b0ec0 100644 --- a/nixpkgs/pkgs/shells/zsh/oh-my-zsh/default.nix +++ b/nixpkgs/pkgs/shells/zsh/oh-my-zsh/default.nix @@ -4,13 +4,13 @@ { stdenv, fetchgit }: stdenv.mkDerivation rec { - version = "2019-04-04"; + version = "2019-04-22"; name = "oh-my-zsh-${version}"; - rev = "4ddb2e384ab0840b1d8a6d4c95770ef8a6c25fcc"; + rev = "f46476589aa092f75f5911fa4d6f65401a8d3577"; src = fetchgit { inherit rev; url = "https://github.com/robbyrussell/oh-my-zsh"; - sha256 = "0hvpq24qh10x3ii3j16kwpzlgas6zw9bg0sspd9dlfqb7rcmvqy2"; + sha256 = "1zfqa39da867zxwsyms12qv4jxl1z7rgnr817hhh1xn62i4y41f2"; }; pathsToLink = [ "/share/oh-my-zsh" ]; diff --git a/nixpkgs/pkgs/shells/zsh/zsh-git-prompt/default.nix b/nixpkgs/pkgs/shells/zsh/zsh-git-prompt/default.nix index 6ec5e816bb62..ddabfe87174f 100644 --- a/nixpkgs/pkgs/shells/zsh/zsh-git-prompt/default.nix +++ b/nixpkgs/pkgs/shells/zsh/zsh-git-prompt/default.nix @@ -64,7 +64,7 @@ haskellPackages.callPackage libraryHaskellDepends = [ base parsec process QuickCheck ]; executableHaskellDepends = libraryHaskellDepends; testHaskellDepends = [HUnit] ++ libraryHaskellDepends; - homepage = "http://github.com/olivierverdier/zsh-git-prompt#readme"; + homepage = "https://github.com/olivierverdier/zsh-git-prompt#readme"; description = "Informative git prompt for zsh"; license = stdenv.lib.licenses.mit; maintainers = [lib.maintainers.league]; diff --git a/nixpkgs/pkgs/stdenv/default.nix b/nixpkgs/pkgs/stdenv/default.nix index 4313a617f784..1f752f021524 100644 --- a/nixpkgs/pkgs/stdenv/default.nix +++ b/nixpkgs/pkgs/stdenv/default.nix @@ -43,8 +43,14 @@ in "x86_64-linux" = stagesLinux; "armv5tel-linux" = stagesLinux; "armv6l-linux" = stagesLinux; + "armv6m-linux" = stagesLinux; "armv7a-linux" = stagesLinux; "armv7l-linux" = stagesLinux; + "armv7r-linux" = stagesLinux; + "armv7m-linux" = stagesLinux; + "armv8a-linux" = stagesLinux; + "armv8r-linux" = stagesLinux; + "armv8m-linux" = stagesLinux; "aarch64-linux" = stagesLinux; "mipsel-linux" = stagesLinux; "powerpc-linux" = /* stagesLinux */ stagesNative; diff --git a/nixpkgs/pkgs/stdenv/linux/default.nix b/nixpkgs/pkgs/stdenv/linux/default.nix index 457e1671e260..2bccd620436a 100644 --- a/nixpkgs/pkgs/stdenv/linux/default.nix +++ b/nixpkgs/pkgs/stdenv/linux/default.nix @@ -13,7 +13,6 @@ "x86_64-linux" = import ./bootstrap-files/x86_64.nix; "armv5tel-linux" = import ./bootstrap-files/armv5tel.nix; "armv6l-linux" = import ./bootstrap-files/armv6l.nix; - "armv7a-linux" = import ./bootstrap-files/armv7l.nix; "armv7l-linux" = import ./bootstrap-files/armv7l.nix; "aarch64-linux" = import ./bootstrap-files/aarch64.nix; "mipsel-linux" = import ./bootstrap-files/loongson2f.nix; @@ -26,10 +25,19 @@ "powerpc64le-linux" = import ./bootstrap-files/ppc64le-musl.nix; }; }; + + # Try to find an architecture compatible with our current system. We + # just try every bootstrap we’ve got and test to see if it is + # compatible with or current architecture. + getCompatibleTools = lib.foldl (v: system: + if v != null then v + else if localSystem.isCompatible (lib.systems.elaborate { inherit system; }) then archLookupTable.${system} + else null) null (lib.attrNames archLookupTable); + archLookupTable = table.${localSystem.libc} or (abort "unsupported libc for the pure Linux stdenv"); - files = archLookupTable.${localSystem.system} - or (abort "unsupported platform for the pure Linux stdenv"); + files = archLookupTable.${localSystem.system} or (if getCompatibleTools != null then getCompatibleTools + else (abort "unsupported platform for the pure Linux stdenv")); in files }: diff --git a/nixpkgs/pkgs/tools/X11/x2x/default.nix b/nixpkgs/pkgs/tools/X11/x2x/default.nix index 0c3538a0db9c..5c8e3d4f8fb6 100644 --- a/nixpkgs/pkgs/tools/X11/x2x/default.nix +++ b/nixpkgs/pkgs/tools/X11/x2x/default.nix @@ -4,7 +4,7 @@ stdenv.mkDerivation { name = "x2x-1.27"; src = fetchurl { - url = "http://github.com/downloads/dottedmag/x2x/x2x-1.27.tar.gz"; + url = "https://github.com/downloads/dottedmag/x2x/x2x-1.27.tar.gz"; sha256 = "0dha0kn1lbc4as0wixsvk6bn4innv49z9a0sm5wlx4q1v0vzqzyj"; }; diff --git a/nixpkgs/pkgs/tools/admin/awscli/default.nix b/nixpkgs/pkgs/tools/admin/awscli/default.nix index c36b6d2fbd65..e09833869d67 100644 --- a/nixpkgs/pkgs/tools/admin/awscli/default.nix +++ b/nixpkgs/pkgs/tools/admin/awscli/default.nix @@ -21,6 +21,13 @@ let sha256 = "48eb22f4f8461b1df5734a074b57042430fb06e1d61bd1e11b078c0fe6d7a1f1"; }; }); + pyyaml = super.pyyaml.overridePythonAttrs (oldAttrs: rec { + version = "3.13"; + src = oldAttrs.src.override { + inherit version; + sha256 = "3ef3092145e9b70e3ddd2c7ad59bdd0252a94dfe3949721633e41344de00a6bf"; + }; + }); }; }; diff --git a/nixpkgs/pkgs/tools/admin/salt/pepper/default.nix b/nixpkgs/pkgs/tools/admin/salt/pepper/default.nix index 24e59a355532..4bf24e3092d7 100644 --- a/nixpkgs/pkgs/tools/admin/salt/pepper/default.nix +++ b/nixpkgs/pkgs/tools/admin/salt/pepper/default.nix @@ -1,17 +1,20 @@ { lib -, fetchurl -, python2Packages +, pythonPackages +, salt }: -python2Packages.buildPythonApplication rec { - name = "salt-pepper-${version}"; - version = "0.5.5"; - src = fetchurl { - url = "https://github.com/saltstack/pepper/releases/download/${version}/${name}.tar.gz"; - sha256 = "1wj1k64ly6af6qsmiizlx32jxh23a37smd9wb57l5zl0x8sfqq1n"; +pythonPackages.buildPythonApplication rec { + pname = "salt-pepper"; + version = "0.7.5"; + src = pythonPackages.fetchPypi { + inherit pname version; + sha256 = "1wh6yidwdk8jvjpr5g3azhqgsk24c5rlzmw6l86dmi0mpvmxm94w"; }; - doCheck = false; # no tests available + buildInputs = with pythonPackages; [ setuptools setuptools_scm salt ]; + checkInputs = with pythonPackages; [ + pytest mock pyzmq pytest-rerunfailures pytestcov cherrypy tornado_4 + ]; meta = with lib; { description = "A CLI front-end to a running salt-api system"; diff --git a/nixpkgs/pkgs/tools/archivers/gnutar/default.nix b/nixpkgs/pkgs/tools/archivers/gnutar/default.nix index 8ec34ce6730b..b64696a34202 100644 --- a/nixpkgs/pkgs/tools/archivers/gnutar/default.nix +++ b/nixpkgs/pkgs/tools/archivers/gnutar/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "gnutar-${version}"; - version = "1.31"; + version = "1.32"; src = fetchurl { url = "mirror://gnu/tar/tar-${version}.tar.xz"; - sha256 = "1h9dxhjhz1jnyhmh6jfhqw1g1sxqbg3cd32vpwg7x2xxxqffzwrp"; + sha256 = "1n7xy657ii0sa42zx6944v2m4v9qrh6sqgmw17l3nch3y43sxlyh"; }; # avoid retaining reference to CF during stdenv bootstrap diff --git a/nixpkgs/pkgs/tools/archivers/pxattr/default.nix b/nixpkgs/pkgs/tools/archivers/pxattr/default.nix index 05d6a4718de9..7f813dd76c89 100644 --- a/nixpkgs/pkgs/tools/archivers/pxattr/default.nix +++ b/nixpkgs/pkgs/tools/archivers/pxattr/default.nix @@ -16,7 +16,7 @@ stdenv.mkDerivation rec { ''; meta = { - homepage = http://www.lesbonscomptes.com/pxattr/index.html; + homepage = https://www.lesbonscomptes.com/pxattr/index.html; description = "Provides a single interface to extended file attributes"; maintainers = [ stdenv.lib.maintainers.vrthra ]; license = [ stdenv.lib.licenses.mit ]; diff --git a/nixpkgs/pkgs/tools/backup/automysqlbackup/default.nix b/nixpkgs/pkgs/tools/backup/automysqlbackup/default.nix new file mode 100644 index 000000000000..44c14f2dc324 --- /dev/null +++ b/nixpkgs/pkgs/tools/backup/automysqlbackup/default.nix @@ -0,0 +1,32 @@ +{ stdenv, fetchurl, makeWrapper, mysql, mailutils, pbzip2, pigz, bzip2, gzip }: + +stdenv.mkDerivation rec { + pname = "automysqlbackup"; + version = "3.0_rc6"; + + src = fetchurl { + url = "mirror://sourceforge/automysqlbackup/AutoMySQLBackup/AutoMySQLBackup%20VER%203.0/automysqlbackup-v${version}.tar.gz"; + sha256 = "1h1wq86q6my1a682nr8pjagjhai4lxz967m17lhpw1vb116hd7l8"; + }; + + sourceRoot = "."; + + nativeBuildInputs = [ makeWrapper ]; + + installPhase = '' + mkdir -p $out/bin $out/etc + + cp automysqlbackup $out/bin/ + cp automysqlbackup.conf $out/etc/ + + wrapProgram $out/bin/automysqlbackup --prefix PATH : ${stdenv.lib.makeBinPath [ mysql mailutils pbzip2 pigz bzip2 gzip ]} + ''; + + meta = with stdenv.lib; { + description = "A script to run daily, weekly and monthly backups for your MySQL database"; + homepage = https://sourceforge.net/projects/automysqlbackup/; + platforms = platforms.linux; + maintainers = [ maintainers.aanderse ]; + license = licenses.gpl2Plus; + }; +} diff --git a/nixpkgs/pkgs/tools/backup/bareos/default.nix b/nixpkgs/pkgs/tools/backup/bareos/default.nix index 5cf675a9af10..ad0001f22e17 100644 --- a/nixpkgs/pkgs/tools/backup/bareos/default.nix +++ b/nixpkgs/pkgs/tools/backup/bareos/default.nix @@ -42,8 +42,8 @@ stdenv.mkDerivation rec { "--with-working-dir=/var/lib/bareos" "--with-bsrdir=/var/lib/bareos" "--with-logdir=/var/log/bareos" - "--with-pid-dir=/var/run/bareos" - "--with-subsys-dir=/var/run/bareos" + "--with-pid-dir=/run/bareos" + "--with-subsys-dir=/run/bareos" "--enable-ndmp" "--enable-lmdb" "--enable-batch-insert" diff --git a/nixpkgs/pkgs/tools/backup/btrbk/default.nix b/nixpkgs/pkgs/tools/backup/btrbk/default.nix index 9c749bd7bdd8..2df609384c18 100644 --- a/nixpkgs/pkgs/tools/backup/btrbk/default.nix +++ b/nixpkgs/pkgs/tools/backup/btrbk/default.nix @@ -3,11 +3,11 @@ stdenv.mkDerivation rec { name = "btrbk-${version}"; - version = "0.27.1"; + version = "0.27.2"; src = fetchurl { url = "https://digint.ch/download/btrbk/releases/${name}.tar.xz"; - sha256 = "040f6qzv188yd38n11zdiin3gaavrlld36xf1ks4hxhq921bh0jc"; + sha256 = "1xxpwlvijzgvn17sag9gx3vbmk82plmyp19wah714z3j07djczh2"; }; nativeBuildInputs = [ asciidoc makeWrapper ]; diff --git a/nixpkgs/pkgs/tools/backup/tarsnapper/remove-argparse.patch b/nixpkgs/pkgs/tools/backup/tarsnapper/remove-argparse.patch index 5fd36b14a133..19c7f9f172e2 100644 --- a/nixpkgs/pkgs/tools/backup/tarsnapper/remove-argparse.patch +++ b/nixpkgs/pkgs/tools/backup/tarsnapper/remove-argparse.patch @@ -1,7 +1,7 @@ --- tarsnapper-0.4-src.org/setup.py 1980-01-02 00:00:00.000000000 +0000 +++ tarsnapper-0.4-src/setup.py 2017-07-16 10:54:36.596499451 +0100 @@ -45,6 +45,6 @@ - url='http://github.com/miracle2k/tarsnapper', + url='https://github.com/miracle2k/tarsnapper', license='BSD', packages=['tarsnapper'], - install_requires = ['argparse>=1.1', 'pyyaml>=3.09', 'python-dateutil>=2.4.0', 'pexpect>=3.1'], diff --git a/nixpkgs/pkgs/tools/compression/dejsonlz4/default.nix b/nixpkgs/pkgs/tools/compression/dejsonlz4/default.nix new file mode 100644 index 000000000000..53b968c5134b --- /dev/null +++ b/nixpkgs/pkgs/tools/compression/dejsonlz4/default.nix @@ -0,0 +1,28 @@ +{ stdenv, fetchFromGitHub }: +stdenv.mkDerivation rec { + pname = "dejsonlz4"; + version = "1.1"; + src = fetchFromGitHub { + owner = "avih"; + repo = pname; + rev = "v${version}"; + sha256 = "0ggs69qamaama5mid07mhp95m1x42wljdb953lrwfr7p8p6f8czh"; + }; + + buildPhase = '' + gcc -Wall -o dejsonlz4 src/dejsonlz4.c src/lz4.c + ''; + + installPhase = '' + mkdir -p $out/bin/ + cp dejsonlz4 $out/bin/ + ''; + + meta = with stdenv.lib; { + description = "Decompress Mozilla Firefox bookmarks backup files"; + homepage = https://github.com/avih/dejsonlz4; + license = licenses.bsd2; + maintainers = with maintainers; [ mt-caret ]; + platforms = platforms.linux; + }; + } diff --git a/nixpkgs/pkgs/tools/filesystems/aefs/default.nix b/nixpkgs/pkgs/tools/filesystems/aefs/default.nix index 9344ada8f93e..1be33e1299d2 100644 --- a/nixpkgs/pkgs/tools/filesystems/aefs/default.nix +++ b/nixpkgs/pkgs/tools/filesystems/aefs/default.nix @@ -11,7 +11,7 @@ stdenv.mkDerivation rec { buildInputs = [ fuse ]; meta = with stdenv.lib; { - homepage = https://nixos.org/~edolstra/aefs/; + homepage = https://github.com/edolstra/aefs; description = "A cryptographic filesystem implemented in userspace using FUSE"; platforms = platforms.linux; maintainers = [ maintainers.eelco ]; diff --git a/nixpkgs/pkgs/tools/filesystems/bindfs/default.nix b/nixpkgs/pkgs/tools/filesystems/bindfs/default.nix index a674de9ac49b..ebf5291f43a6 100644 --- a/nixpkgs/pkgs/tools/filesystems/bindfs/default.nix +++ b/nixpkgs/pkgs/tools/filesystems/bindfs/default.nix @@ -1,12 +1,12 @@ { stdenv, fetchurl, fuse, pkgconfig }: stdenv.mkDerivation rec { - version = "1.13.10"; + version = "1.13.11"; name = "bindfs-${version}"; src = fetchurl { url = "https://bindfs.org/downloads/${name}.tar.gz"; - sha256 = "14wfp2dcjm0f1pmqqvkf94k7pijbi8ka395cm3hryqpi4k0w3f4j"; + sha256 = "0ayadwlc6j1ba0n0dkry4iyp49nxkkj5l4dazzqybl5d5c4n605b"; }; dontStrip = true; diff --git a/nixpkgs/pkgs/tools/filesystems/dosfstools/default.nix b/nixpkgs/pkgs/tools/filesystems/dosfstools/default.nix index bc79577ab2b5..82f64362fadf 100644 --- a/nixpkgs/pkgs/tools/filesystems/dosfstools/default.nix +++ b/nixpkgs/pkgs/tools/filesystems/dosfstools/default.nix @@ -18,8 +18,7 @@ stdenv.mkDerivation rec { meta = { description = "Utilities for creating and checking FAT and VFAT file systems"; - repositories.git = git://daniel-baumann.ch/git/software/dosfstools.git; - homepage = https://www.daniel-baumann.ch/software/dosfstools/; + homepage = https://github.com/dosfstools/dosfstools; platforms = stdenv.lib.platforms.linux ++ stdenv.lib.platforms.darwin; license = stdenv.lib.licenses.gpl3; }; diff --git a/nixpkgs/pkgs/tools/filesystems/ifuse/default.nix b/nixpkgs/pkgs/tools/filesystems/ifuse/default.nix index f9021c5a3aa7..083bae24d601 100644 --- a/nixpkgs/pkgs/tools/filesystems/ifuse/default.nix +++ b/nixpkgs/pkgs/tools/filesystems/ifuse/default.nix @@ -2,15 +2,15 @@ stdenv.mkDerivation rec { pname = "ifuse"; - version = "1.1.3"; + version = "2018-10-08"; name = "${pname}-${version}"; src = fetchFromGitHub { owner = "libimobiledevice"; repo = pname; - rev = version; - sha256 = "0p01rds3vc5864v48swgqw5dv0h937nqnxggryixg9pkvzhc6cx5"; + rev = "e75d32c34d0e8b80320f0a007d5ecbb3f55ef7f0"; + sha256 = "1b9w2i0sliswlkkb890l9i0rxrf631xywxf8ihygfmjdsfw47h1m"; }; nativeBuildInputs = [ autoreconfHook pkgconfig fuse usbmuxd libimobiledevice ]; @@ -26,6 +26,6 @@ stdenv.mkDerivation rec { ''; license = licenses.lgpl21Plus; platforms = platforms.linux; - maintainers = with maintainers; [ ]; + maintainers = with maintainers; [ infinisil ]; }; } diff --git a/nixpkgs/pkgs/tools/filesystems/irods/default.nix b/nixpkgs/pkgs/tools/filesystems/irods/default.nix index 24a88349bf43..031c1440fcf9 100644 --- a/nixpkgs/pkgs/tools/filesystems/irods/default.nix +++ b/nixpkgs/pkgs/tools/filesystems/irods/default.nix @@ -59,7 +59,7 @@ in rec { version = "4.2.2"; name = "irods-icommands-${version}"; src = fetchurl { - url = "http://github.com/irods/irods_client_icommands/archive/${version}.tar.gz"; + url = "https://github.com/irods/irods_client_icommands/archive/${version}.tar.gz"; sha256 = "15zcxrx0q5c3rli3snd0b2q4i0hs3zzcrbpnibbhsip855qvs77h"; }; diff --git a/nixpkgs/pkgs/tools/graphics/appleseed/default.nix b/nixpkgs/pkgs/tools/graphics/appleseed/default.nix index 7ac9a2016611..72f1a4188075 100644 --- a/nixpkgs/pkgs/tools/graphics/appleseed/default.nix +++ b/nixpkgs/pkgs/tools/graphics/appleseed/default.nix @@ -1,6 +1,6 @@ { stdenv, fetchFromGitHub, cmake, boost165, pkgconfig, guile, eigen, libpng, python, libGLU, qt4, openexr, openimageio, -opencolorio, xercesc, ilmbase, osl, seexpr +opencolorio, xercesc, ilmbase, osl, seexpr, makeWrapper }: let boost_static = boost165.override { @@ -10,21 +10,21 @@ let boost_static = boost165.override { in stdenv.mkDerivation rec { name = "appleseed-${version}"; - version = "1.9.0-beta"; + version = "2.0.5-beta"; src = fetchFromGitHub { owner = "appleseedhq"; repo = "appleseed"; - rev = "1.9.0-beta"; - sha256 = "0m7zvfkdjfn48zzaxh2wa1bsaj4l876a05bzgmjlfq5dz3202anr"; + rev = version; + sha256 = "1sq9s0rzjksdn8ayp1g17gdqhp7fqks8v1ddd3i5rsl96b04fqx5"; }; buildInputs = [ cmake pkgconfig boost_static guile eigen libpng python libGLU qt4 openexr openimageio opencolorio xercesc - osl seexpr + osl seexpr makeWrapper ]; - NIX_CFLAGS_COMPILE = "-I${openexr.dev}/include/OpenEXR -I${ilmbase.dev}/include/OpenEXR -I${openimageio.dev}/include/OpenImageIO"; + NIX_CFLAGS_COMPILE = "-I${openexr.dev}/include/OpenEXR -I${ilmbase.dev}/include/OpenEXR -I${openimageio.dev}/include/OpenImageIO -Wno-unused-but-set-variable"; cmakeFlags = [ "-DUSE_EXTERNAL_XERCES=ON" "-DUSE_EXTERNAL_OCIO=ON" "-DUSE_EXTERNAL_OIIO=ON" @@ -49,6 +49,7 @@ in stdenv.mkDerivation rec { # Work around a bug in the CMake build: postInstall = '' chmod a+x $out/bin/* + wrapProgram $out/bin/appleseed.studio --set PYTHONHOME ${python} ''; } diff --git a/nixpkgs/pkgs/tools/graphics/flam3/default.nix b/nixpkgs/pkgs/tools/graphics/flam3/default.nix index e3c9cbf2e69f..e619a889219c 100644 --- a/nixpkgs/pkgs/tools/graphics/flam3/default.nix +++ b/nixpkgs/pkgs/tools/graphics/flam3/default.nix @@ -17,7 +17,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "Cosmic recursive fractal flames"; - homepage = http://flam3.com/; + homepage = https://flam3.com/; maintainers = [ maintainers.nand0p ]; platforms = platforms.linux; license = licenses.cc-by-nc-sa-20; diff --git a/nixpkgs/pkgs/tools/graphics/gifsicle/default.nix b/nixpkgs/pkgs/tools/graphics/gifsicle/default.nix index 0fbfae1b9668..7d2323c6d480 100644 --- a/nixpkgs/pkgs/tools/graphics/gifsicle/default.nix +++ b/nixpkgs/pkgs/tools/graphics/gifsicle/default.nix @@ -3,12 +3,12 @@ with stdenv.lib; stdenv.mkDerivation rec { - name = "gifsicle-${version}"; - version = "1.91"; + pname = "gifsicle"; + version = "1.92"; src = fetchurl { - url = "https://www.lcdf.org/gifsicle/${name}.tar.gz"; - sha256 = "00586z1yz86qcblgmf16yly39n4lkjrscl52hvfxqk14m81fckha"; + url = "https://www.lcdf.org/gifsicle/${pname}-${version}.tar.gz"; + sha256 = "0rffpzxcak19k6cngpxn73khvm3z1gswrqs90ycdzzb53p05ddas"; }; buildInputs = optional gifview [ xorgproto libXt libX11 ]; diff --git a/nixpkgs/pkgs/tools/graphics/jbig2enc/default.nix b/nixpkgs/pkgs/tools/graphics/jbig2enc/default.nix index 393c18d38529..1be5f0120fd9 100644 --- a/nixpkgs/pkgs/tools/graphics/jbig2enc/default.nix +++ b/nixpkgs/pkgs/tools/graphics/jbig2enc/default.nix @@ -4,7 +4,7 @@ stdenv.mkDerivation { name = "jbig2enc-0.28"; src = fetchurl { - url = http://github.com/agl/jbig2enc/archive/0.28-dist.tar.gz; + url = https://github.com/agl/jbig2enc/archive/0.28-dist.tar.gz; sha256 = "1wc0lmqz4jag3rhhk1xczlqpfv2qqp3fz7wzic2lba3vsbi1rrw3"; }; diff --git a/nixpkgs/pkgs/tools/graphics/maim/default.nix b/nixpkgs/pkgs/tools/graphics/maim/default.nix index 0cea8d4011c7..360a233e139f 100644 --- a/nixpkgs/pkgs/tools/graphics/maim/default.nix +++ b/nixpkgs/pkgs/tools/graphics/maim/default.nix @@ -5,13 +5,13 @@ stdenv.mkDerivation rec { name = "maim-${version}"; - version = "5.5.2"; + version = "5.5.3"; src = fetchFromGitHub { owner = "naelstrof"; repo = "maim"; rev = "v${version}"; - sha256 = "14mfxdm39kc5jk8wysrzx05ag2g4sk9l24i8m5pzqn8j611150v3"; + sha256 = "1kbxsz8whfxl5blwsvpva2q95zwy72argwhi1cfqh5lrhzq5zrpp"; }; nativeBuildInputs = [ cmake pkgconfig ]; diff --git a/nixpkgs/pkgs/tools/graphics/wallutils/default.nix b/nixpkgs/pkgs/tools/graphics/wallutils/default.nix new file mode 100644 index 000000000000..25ef433304c9 --- /dev/null +++ b/nixpkgs/pkgs/tools/graphics/wallutils/default.nix @@ -0,0 +1,34 @@ +{ buildGoModule, fetchFromGitHub, lib +, wayland, libX11, xbitmaps, libXcursor, libXmu +}: + +buildGoModule rec { + name = "wallutils-${version}"; + version = "5.7.2"; + + src = fetchFromGitHub { + owner = "xyproto"; + repo = "wallutils"; + rev = version; + sha256 = "1q4487s83iwwgd40hkihpns84ya8mg54zp63ag519cdjizz38xyi"; + }; + + modSha256 = "0kj9s9ymd99a5w9r1d997qysnzlgpnmh5dnki33h1jlwz47nwkld"; + + patches = [ ./lscollection-Add-NixOS-paths-to-DefaultWallpaperDirectories.patch ]; + + postPatch = '' + # VersionString is sometimes not up-to-date: + sed -iE 's/VersionString = "[0-9].[0-9].[0-9]"/VersionString = "${version}"/' wallutils.go + ''; + + buildInputs = [ wayland libX11 xbitmaps libXcursor libXmu ]; + + meta = with lib; { + description = "Utilities for handling monitors, resolutions, and (timed) wallpapers"; + inherit (src.meta) homepage; + license = licenses.mit; + maintainers = with maintainers; [ primeos ]; + platforms = platforms.linux; + }; +} diff --git a/nixpkgs/pkgs/tools/graphics/wallutils/lscollection-Add-NixOS-paths-to-DefaultWallpaperDirectories.patch b/nixpkgs/pkgs/tools/graphics/wallutils/lscollection-Add-NixOS-paths-to-DefaultWallpaperDirectories.patch new file mode 100644 index 000000000000..00aeed6363e3 --- /dev/null +++ b/nixpkgs/pkgs/tools/graphics/wallutils/lscollection-Add-NixOS-paths-to-DefaultWallpaperDirectories.patch @@ -0,0 +1,25 @@ +From 9d064428cec970ced9be6753d6250b20a45a9fe2 Mon Sep 17 00:00:00 2001 +From: Michael Weiss <dev.primeos@gmail.com> +Date: Fri, 19 Apr 2019 11:56:50 +0200 +Subject: [PATCH] lscollection: Add NixOS paths to DefaultWallpaperDirectories + +--- + collections.go | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +diff --git a/collections.go b/collections.go +index ef74ea6..9f9a608 100644 +--- a/collections.go ++++ b/collections.go +@@ -21,7 +21,7 @@ const ( + ) + + var ( +- DefaultWallpaperDirectories = []string{"/usr/share/pixmaps", "/usr/share/wallpapers", "/usr/share/backgrounds", "/usr/local/share/pixmaps", "/usr/local/share/wallpapers", "/usr/local/share/backgrounds", "/usr/share/archlinux"} ++ DefaultWallpaperDirectories = []string{"/run/current-system/sw/share/pixmaps", "/run/current-system/sw/share/wallpapers", "/run/current-system/sw/share/backgrounds", "/usr/share/pixmaps", "/usr/share/wallpapers", "/usr/share/backgrounds", "/usr/local/share/pixmaps", "/usr/local/share/wallpapers", "/usr/local/share/backgrounds", "/usr/share/archlinux"} + ) + + type SearchResults struct { +-- +2.19.2 + diff --git a/nixpkgs/pkgs/tools/misc/ffsend/default.nix b/nixpkgs/pkgs/tools/misc/ffsend/default.nix index c59ddd084983..9eaba1e8d3f6 100644 --- a/nixpkgs/pkgs/tools/misc/ffsend/default.nix +++ b/nixpkgs/pkgs/tools/misc/ffsend/default.nix @@ -11,17 +11,17 @@ assert (x11Support && stdenv.isLinux) -> xclip != null || xsel != null; with rustPlatform; buildRustPackage rec { - name = "ffsend-${version}"; - version = "0.2.42"; + pname = "ffsend"; + version = "0.2.45"; src = fetchFromGitLab { owner = "timvisee"; repo = "ffsend"; rev = "v${version}"; - sha256 = "0lsdn967rq6hf3fmkynznsvj8fcka5wi1mpcl3wfa7hf58r266yq"; + sha256 = "1rhbpkalbbklbg0bq3xzbqw918ymqjnwhib3agzqd7477hrh1bkr"; }; - cargoSha256 = "1f1npdr8v9vlv3xdraq1qang4l0j0w9slbws4da5ylw195nb4qx5"; + cargoSha256 = "1218v6rm1j545764g8rkpanwafjzk1c7f5x22v9ivzm0b6lmnm56"; nativeBuildInputs = [ cmake pkgconfig ]; buildInputs = [ openssl ] diff --git a/nixpkgs/pkgs/tools/misc/fontforge/default.nix b/nixpkgs/pkgs/tools/misc/fontforge/default.nix index 04717974a8b3..33a44dccc2d5 100644 --- a/nixpkgs/pkgs/tools/misc/fontforge/default.nix +++ b/nixpkgs/pkgs/tools/misc/fontforge/default.nix @@ -1,26 +1,26 @@ -{ stdenv, fetchFromGitHub, lib +{ stdenv, fetchurl, lib , autoconf, automake, gnum4, libtool, perl, gnulib, uthash, pkgconfig, gettext -, python, freetype, zlib, glib, libungif, libpng, libjpeg, libtiff, libxml2, pango +, python, freetype, zlib, glib, libungif, libpng, libjpeg, libtiff, libxml2, cairo, pango +, readline, woff2, zeromq , withSpiro ? false, libspiro , withGTK ? false, gtk2 , withPython ? true +, withExtras ? true , Carbon ? null, Cocoa ? null }: stdenv.mkDerivation rec { - name = "fontforge-${version}"; - version = "20170730"; + pname = "fontforge"; + version = "20190317"; - src = fetchFromGitHub { - owner = "fontforge"; - repo = "fontforge"; - rev = version; - sha256 = "15k6x97383p8l40jvcivalhwgbbcdg5vciyjz6m9r0lrlnjqkv99"; + src = fetchurl { + url = "https://github.com/${pname}/${pname}/releases/download/${version}/${pname}-${version}.tar.gz"; + sha256 = "1ddqbpc32cgbccdnv0lfw0qhj59hcqzb7616ph5lkvm91pnas4dp"; }; patches = [ ./fontforge-20140813-use-system-uthash.patch ]; - # use $SOURCE_DATE_EPOCH instead of non-determenistic timestamps + # use $SOURCE_DATE_EPOCH instead of non-deterministic timestamps postPatch = '' find . -type f -name '*.c' -exec sed -r -i 's#\btime\(&(.+)\)#if (getenv("SOURCE_DATE_EPOCH")) \1=atol(getenv("SOURCE_DATE_EPOCH")); else &#g' {} \; sed -r -i 's#author\s*!=\s*NULL#& \&\& !getenv("SOURCE_DATE_EPOCH")#g' fontforge/cvexport.c fontforge/dumppfa.c fontforge/print.c fontforge/svg.c fontforge/splineutil2.c @@ -32,19 +32,20 @@ stdenv.mkDerivation rec { # do not use x87's 80-bit arithmetic, rouding errors result in very different font binaries NIX_CFLAGS_COMPILE = lib.optionals stdenv.isi686 [ "-msse2" "-mfpmath=sse" ]; - nativeBuildInputs = [ pkgconfig ]; + nativeBuildInputs = [ pkgconfig autoconf automake gnum4 libtool perl gettext ]; buildInputs = [ - autoconf automake gnum4 libtool perl gettext uthash + readline uthash woff2 zeromq python freetype zlib glib libungif libpng libjpeg libtiff libxml2 ] ++ lib.optionals withSpiro [libspiro] - ++ lib.optionals withGTK [ gtk2 pango ] + ++ lib.optionals withGTK [ gtk2 cairo pango ] ++ lib.optionals stdenv.isDarwin [ Carbon Cocoa ]; - configureFlags = - lib.optionals (!withPython) [ "--disable-python-scripting" "--disable-python-extension" ] + configureFlags = [ "--enable-woff2" ] + ++ lib.optionals (!withPython) [ "--disable-python-scripting" "--disable-python-extension" ] ++ lib.optional withGTK "--enable-gtk2-use" - ++ lib.optional (!withGTK) "--without-x"; + ++ lib.optional (!withGTK) "--without-x" + ++ lib.optional withExtras "--enable-fontforge-extras"; # work-around: git isn't really used, but configuration fails without it preConfigure = '' @@ -54,7 +55,7 @@ stdenv.mkDerivation rec { export GIT="$(type -P true)" cp -r "${gnulib}" ./gnulib chmod +w -R ./gnulib - ./bootstrap --skip-git --gnulib-srcdir=./gnulib + ./bootstrap --skip-git --gnulib-srcdir=./gnulib --force ''; doCheck = false; # tries to wget some fonts diff --git a/nixpkgs/pkgs/tools/misc/fontforge/fontforge-20140813-use-system-uthash.patch b/nixpkgs/pkgs/tools/misc/fontforge/fontforge-20140813-use-system-uthash.patch index 27c8274c1d21..fb53c619b2bc 100644 --- a/nixpkgs/pkgs/tools/misc/fontforge/fontforge-20140813-use-system-uthash.patch +++ b/nixpkgs/pkgs/tools/misc/fontforge/fontforge-20140813-use-system-uthash.patch @@ -8,10 +8,11 @@ EXTRA_DIST = CLEANFILES = MOSTLYCLEANFILES = -@@ -113,7 +112,6 @@ +@@ -113,8 +112,7 @@ Packaging/FontForge-doc.spec \ Packaging/FontForge.spec \ Packaging/FontForge.static.spec \ + README \ - uthash/src \ $(NULL) diff --git a/nixpkgs/pkgs/tools/misc/fontforge/fontforge-fonttools.nix b/nixpkgs/pkgs/tools/misc/fontforge/fontforge-fonttools.nix index 551fc71b7a74..ea4acfc5f0bb 100644 --- a/nixpkgs/pkgs/tools/misc/fontforge/fontforge-fonttools.nix +++ b/nixpkgs/pkgs/tools/misc/fontforge/fontforge-fonttools.nix @@ -1,32 +1 @@ -{ stdenv, fetchFromGitHub, zlib }: - -stdenv.mkDerivation rec { - version = "20160404"; - name = "fontforge-fonttools-${version}"; - - src = fetchFromGitHub { - owner = "fontforge"; - repo = "fontforge"; - rev = version; - sha256 = "15nacq84n9gvlzp3slpmfrrbh57kfb6lbdlc46i7aqgci4qv6fg0"; - }; - - buildInputs = [ zlib ]; - - setSourceRoot = ''export sourceRoot="$(echo */contrib/fonttools)"''; - - installPhase = '' - mkdir -p "$out"/{bin,share/doc/fontforge-fonttools} - for i in *.c; do - $CC "$i" -lz -lm --std=c99 -o "$out"/bin/$(basename "$i" .c) - done - cp README* "$out/share/doc/fontforge-fonttools" - ''; - - meta = with stdenv.lib; { - description = ''Small font tools shipped in FontForge contrib''; - license = licenses.bsd3; - maintainers = with maintainers; [ raskin ]; - platforms = with platforms; unix; - }; -} +{ fontforge }: fontforge.override { withExtras = true; } diff --git a/nixpkgs/pkgs/tools/misc/fwup/default.nix b/nixpkgs/pkgs/tools/misc/fwup/default.nix index 4a95563b2ecd..f5b4e4052b49 100644 --- a/nixpkgs/pkgs/tools/misc/fwup/default.nix +++ b/nixpkgs/pkgs/tools/misc/fwup/default.nix @@ -4,13 +4,13 @@ stdenv.mkDerivation rec { name = "fwup-${version}"; - version = "1.2.7"; + version = "1.3.0"; src = fetchFromGitHub { owner = "fhunleth"; repo = "fwup"; rev = "v${version}"; - sha256 = "0r48l5jcb4pxb72ykigmaissm4ikadi7f6lkfma4jnd037w15bnl"; + sha256 = "1npxps5kg5z9f52k3p62sxf4cvdrdddrggfpip0n0whm1dx9rjrx"; }; doCheck = true; diff --git a/nixpkgs/pkgs/tools/misc/html-proofer/Gemfile.lock b/nixpkgs/pkgs/tools/misc/html-proofer/Gemfile.lock index 139863d36ecb..6441513071f1 100644 --- a/nixpkgs/pkgs/tools/misc/html-proofer/Gemfile.lock +++ b/nixpkgs/pkgs/tools/misc/html-proofer/Gemfile.lock @@ -27,7 +27,7 @@ GEM mercenary (0.3.6) mini_portile2 (2.4.0) minitest (5.11.3) - nokogiri (1.10.2) + nokogiri (1.10.3) mini_portile2 (~> 2.4.0) parallel (1.17.0) public_suffix (3.0.3) diff --git a/nixpkgs/pkgs/tools/misc/html-proofer/gemset.nix b/nixpkgs/pkgs/tools/misc/html-proofer/gemset.nix index 6a6e62b726ed..182f75e50c56 100644 --- a/nixpkgs/pkgs/tools/misc/html-proofer/gemset.nix +++ b/nixpkgs/pkgs/tools/misc/html-proofer/gemset.nix @@ -120,10 +120,10 @@ platforms = []; source = { remotes = ["https://rubygems.org"]; - sha256 = "0sy96cc8i5y4p67fhf4d9c6sg8ymrrva21zyvzw55l0pa1582wx2"; + sha256 = "02bjydih0j515szfv9mls195cvpyidh6ixm7dwbl3s2sbaxxk5s4"; type = "gem"; }; - version = "1.10.2"; + version = "1.10.3"; }; parallel = { groups = ["default"]; diff --git a/nixpkgs/pkgs/tools/misc/ideviceinstaller/default.nix b/nixpkgs/pkgs/tools/misc/ideviceinstaller/default.nix index 957267ae10ee..15af413a999d 100644 --- a/nixpkgs/pkgs/tools/misc/ideviceinstaller/default.nix +++ b/nixpkgs/pkgs/tools/misc/ideviceinstaller/default.nix @@ -2,15 +2,15 @@ stdenv.mkDerivation rec { pname = "ideviceinstaller"; - version = "2018-06-01"; + version = "2018-10-01"; name = "${pname}-${version}"; src = fetchFromGitHub { owner = "libimobiledevice"; repo = pname; - rev = "f7988de8279051f3d2d7973b8d7f2116aa5d9317"; - sha256 = "1vmdvbwnjz3f90b9bqq7jg04q7awsbi9pmkvgwal8xdpp6jcwkwx"; + rev = "f14def7cd9303a0fe622732fae9830ae702fdd7c"; + sha256 = "1biwhbldvgdhn8ygp7w79ca0rivzdjpykr76pyhy7r2fa56mrwq8"; }; nativeBuildInputs = [ autoreconfHook pkgconfig usbmuxd libimobiledevice libzip ]; @@ -19,12 +19,12 @@ stdenv.mkDerivation rec { homepage = https://github.com/libimobiledevice/ideviceinstaller; description = "List/modify installed apps of iOS devices"; longDescription = '' - ideviceinstaller is a tool to interact with the installation_proxy + ideviceinstaller is a tool to interact with the installation_proxy of an iOS device allowing to install, upgrade, uninstall, archive, restore and enumerate installed or archived apps. ''; license = licenses.gpl2; platforms = platforms.linux; - maintainers = with maintainers; [ aristid ]; + maintainers = with maintainers; [ aristid infinisil ]; }; } diff --git a/nixpkgs/pkgs/tools/misc/lf/default.nix b/nixpkgs/pkgs/tools/misc/lf/default.nix index cd2b1b795f74..6b5e836b14da 100644 --- a/nixpkgs/pkgs/tools/misc/lf/default.nix +++ b/nixpkgs/pkgs/tools/misc/lf/default.nix @@ -1,29 +1,26 @@ -{ stdenv, buildGoPackage, fetchFromGitHub }: +{ buildGoModule, fetchFromGitHub, lib }: -buildGoPackage rec { +buildGoModule rec { name = "lf-${version}"; - version = "11"; + version = "12"; src = fetchFromGitHub { owner = "gokcehan"; repo = "lf"; rev = "r${version}"; - sha256 = "13622sx6xmbm8gf38dn8y8mkfnlbpamg4hmzsy9jnzg4h8qbjm6b"; + sha256 = "1pjydnwlc6mrnwz13s13c91nvjvb1ibwl944ppg8xq8dcy9b2cs4"; }; - goPackagePath = "github.com/gokcehan/lf"; - goDeps = ./deps.nix; + modSha256 = "14fvn8yjm9cnpsmzgxw2dypr3h8h36mxrbk7zma42w8rsp46jpz7"; # TODO: Setting buildFlags probably isn't working properly. I've tried a few # variants, e.g.: # - buildFlags = "-ldflags \"-s -w -X 'main.gVersion=${version}'\""; # - buildFlags = "-ldflags \\\"-X ${goPackagePath}/main.gVersion=${version}\\\""; - # Override the build phase (to set buildFlags): buildPhase = '' runHook preBuild runHook renameImports - cd go/src/${goPackagePath} go install -ldflags="-s -w -X main.gVersion=r${version}" runHook postBuild ''; @@ -32,7 +29,7 @@ buildGoPackage rec { install -D --mode=444 lf.1 $out/share/man/man1/lf.1 ''; - meta = with stdenv.lib; { + meta = with lib; { description = "A terminal file manager written in Go and heavily inspired by ranger"; longDescription = '' lf (as in "list files") is a terminal file manager written in Go. It is diff --git a/nixpkgs/pkgs/tools/misc/lf/deps.nix b/nixpkgs/pkgs/tools/misc/lf/deps.nix deleted file mode 100644 index 8f1e5c75c281..000000000000 --- a/nixpkgs/pkgs/tools/misc/lf/deps.nix +++ /dev/null @@ -1,20 +0,0 @@ -[ - { - goPackagePath = "github.com/nsf/termbox-go"; - fetch = { - type = "git"; - url = "https://github.com/nsf/termbox-go"; - rev = "02980233997d87bbda048393d47b4d453f7a398d"; # master - sha256 = "1zxysi00bk7bv5ka6vn9dnzk5q9wjp0252cm3v6l2hbrcx7405zw"; - }; - } - { - goPackagePath = "github.com/mattn/go-runewidth"; - fetch = { - type = "git"; - url = "https://github.com/mattn/go-runewidth"; - rev = "3ee7d812e62a0804a7d0a324e0249ca2db3476d3"; # v0.0.4 - sha256 = "00b3ssm7wiqln3k54z2wcnxr3k3c7m1ybyhb9h8ixzbzspld0qzs"; - }; - } -] diff --git a/nixpkgs/pkgs/tools/misc/lnav/default.nix b/nixpkgs/pkgs/tools/misc/lnav/default.nix index 85e4ecd3229b..da7db422377d 100644 --- a/nixpkgs/pkgs/tools/misc/lnav/default.nix +++ b/nixpkgs/pkgs/tools/misc/lnav/default.nix @@ -9,7 +9,7 @@ stdenv.mkDerivation rec { owner = "tstack"; repo = "lnav"; rev = "v${meta.version}"; - sha256 = "0wzzny0sgrq1ga9qw9nr8ly4j3vy4agszma73902dsw2rwf17j6y"; + sha256 = "0z8bsr0falxlkmd1b5gy871vyafyih0sw7lgg858lqnbsy0q2m4i"; inherit name; }; @@ -43,7 +43,7 @@ stdenv.mkDerivation rec { ''; downloadPage = "https://github.com/tstack/lnav/releases"; license = licenses.bsd2; - version = "0.8.4"; + version = "0.8.5"; maintainers = [ maintainers.dochang ]; platforms = platforms.unix; }; diff --git a/nixpkgs/pkgs/tools/misc/mandoc/default.nix b/nixpkgs/pkgs/tools/misc/mandoc/default.nix index f485cb95f5d7..9ddd92188016 100644 --- a/nixpkgs/pkgs/tools/misc/mandoc/default.nix +++ b/nixpkgs/pkgs/tools/misc/mandoc/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "mandoc-${version}"; - version = "1.14.4"; + version = "1.14.5"; src = fetchurl { url = "https://mandoc.bsd.lv/snapshots/mandoc-${version}.tar.gz"; - sha256 = "24eb72103768987dcc63b53d27fdc085796330782f44b3b40c4660b1e1ee9b9c"; + sha256 = "1xyqllxpjj1kimlipx11pzyywf5c25i4wmv0lqm7ph3gnlnb86c2"; }; buildInputs = [ zlib ]; diff --git a/nixpkgs/pkgs/tools/misc/mimeo/default.nix b/nixpkgs/pkgs/tools/misc/mimeo/default.nix index 02637e4ea8fa..03f9354445b2 100644 --- a/nixpkgs/pkgs/tools/misc/mimeo/default.nix +++ b/nixpkgs/pkgs/tools/misc/mimeo/default.nix @@ -2,11 +2,11 @@ python3Packages.buildPythonApplication rec { name = "mimeo-${version}"; - version = "2018.12"; + version = "2019.3"; src = fetchurl { url = "https://xyne.archlinux.ca/projects/mimeo/src/${name}.tar.xz"; - sha256 = "1bjhqwfi8rrf1m4fwwqvg0qzk035qcnxlmhh4kxrpm6rqhw48vk8"; + sha256 = "1ry9f08584vngznbja76z53as12q2i06ncfnf52dxyidfgw5mx65"; }; buildInputs = [ file desktop-file-utils ]; diff --git a/nixpkgs/pkgs/tools/misc/mprime/default.nix b/nixpkgs/pkgs/tools/misc/mprime/default.nix index e18e9020e1da..778671f0b54e 100644 --- a/nixpkgs/pkgs/tools/misc/mprime/default.nix +++ b/nixpkgs/pkgs/tools/misc/mprime/default.nix @@ -47,7 +47,7 @@ stdenv.mkDerivation rec { client. It is identical to Prime95 in functionality, except it lacks a graphical user interface. ''; - homepage = "http://www.mersenne.org/"; + homepage = "https://www.mersenne.org/"; # Unfree, because of a license requirement to share prize money if you find # a suitable prime. http://www.mersenne.org/legal/#EULA license = stdenv.lib.licenses.unfree; diff --git a/nixpkgs/pkgs/tools/misc/pgmetrics/default.nix b/nixpkgs/pkgs/tools/misc/pgmetrics/default.nix index 1fcb79663c48..053c2efe441d 100644 --- a/nixpkgs/pkgs/tools/misc/pgmetrics/default.nix +++ b/nixpkgs/pkgs/tools/misc/pgmetrics/default.nix @@ -1,19 +1,17 @@ -{ stdenv, buildGoPackage, fetchFromGitHub }: +{ stdenv, buildGoModule, fetchFromGitHub }: -buildGoPackage rec { +buildGoModule rec { pname = "pgmetrics"; - version = "1.6.1"; - - goPackagePath = "github.com/rapidloop/pgmetrics"; + version = "1.6.2"; src = fetchFromGitHub { owner = "rapidloop"; - repo = "pgmetrics"; - rev = "refs/tags/v${version}"; - sha256 = "0dj4b4gghzzwnzb0fdix1ps97scfr24f6dfa7d0vwak95ds5vz3s"; + repo = pname; + rev = "v${version}"; + sha256 = "06yqv6a6p7h10rkp95ssifzqp2h2j0vlm57hliyi94jxd8srgwh5"; }; - goDeps = ./deps.nix; + modSha256 = "0llbx2sgcx95ym2q4l3334rdj3nkgr9z5jyp8406cp3k1ixi7gdb"; meta = with stdenv.lib; { homepage = https://pgmetrics.io/; diff --git a/nixpkgs/pkgs/tools/misc/pgmetrics/deps.nix b/nixpkgs/pkgs/tools/misc/pgmetrics/deps.nix deleted file mode 100644 index 3d04249d5dda..000000000000 --- a/nixpkgs/pkgs/tools/misc/pgmetrics/deps.nix +++ /dev/null @@ -1,84 +0,0 @@ -# file generated from go.mod using vgo2nix (https://github.com/adisbladis/vgo2nix) -[ - { - goPackagePath = "github.com/dustin/go-humanize"; - fetch = { - type = "git"; - url = "https://github.com/dustin/go-humanize"; - rev = "bb3d318650d4"; - sha256 = "1lqd8ix3cb164j5iazjby2jpa6bdsflhy0h9mi4yldvvcvrc194c"; - }; - } - { - goPackagePath = "github.com/howeyc/gopass"; - fetch = { - type = "git"; - url = "https://github.com/howeyc/gopass"; - rev = "bf9dde6d0d2c"; - sha256 = "1jxzyfnqi0h1fzlsvlkn10bncic803bfhslyijcxk55mgh297g45"; - }; - } - { - goPackagePath = "github.com/pborman/getopt"; - fetch = { - type = "git"; - url = "https://github.com/pborman/getopt"; - rev = "7148bc3a4c30"; - sha256 = "0zhvvmv671r1fbdd5hbv3flx8k2rb60giqx115w0553c56qkqfpj"; - }; - } - { - goPackagePath = "github.com/rapidloop/pq"; - fetch = { - type = "git"; - url = "https://github.com/rapidloop/pq"; - rev = "66681b501d6d"; - sha256 = "1pa3h40b52g3vdwgh6ny0hw66qmxf64jqapxyy1zkjhhwab1h71y"; - }; - } - { - goPackagePath = "github.com/xdg-go/stringprep"; - fetch = { - type = "git"; - url = "https://github.com/xdg-go/stringprep"; - rev = "v1.0.0"; - sha256 = "03nard51zgzbaq64p6gsvrz8fps3yazl3ydd115y0bppkdx2i4ji"; - }; - } - { - goPackagePath = "github.com/xdg/stringprep"; - fetch = { - type = "git"; - url = "https://github.com/xdg/stringprep"; - rev = "v1.0.0"; - sha256 = "03nard51zgzbaq64p6gsvrz8fps3yazl3ydd115y0bppkdx2i4ji"; - }; - } - { - goPackagePath = "golang.org/x/crypto"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/crypto"; - rev = "432090b8f568"; - sha256 = "1i8616qqwih6g5nx8c1hfqhp0kb110ml3xkgsn6qvc36q04amjmq"; - }; - } - { - goPackagePath = "golang.org/x/sys"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/sys"; - rev = "37707fdb30a5"; - sha256 = "1abrr2507a737hdqv4q7pw7hv6ls9pdiq9crhdi52r3gcz6hvizg"; - }; - } - { - goPackagePath = "golang.org/x/text"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/text"; - rev = "v0.3.0"; - sha256 = "0r6x6zjzhr8ksqlpiwm5gdd7s209kwk5p4lw54xjvz10cs3qlq19"; - }; - } -] diff --git a/nixpkgs/pkgs/tools/misc/pk2cmd/default.nix b/nixpkgs/pkgs/tools/misc/pk2cmd/default.nix index 4312aecaacd6..c1db4f9d5978 100644 --- a/nixpkgs/pkgs/tools/misc/pk2cmd/default.nix +++ b/nixpkgs/pkgs/tools/misc/pk2cmd/default.nix @@ -3,7 +3,7 @@ stdenv.mkDerivation { name = "pk2cmd-1.20"; src = fetchurl { - url = http://ww1.microchip.com/downloads/en/DeviceDoc/pk2cmdv1.20LinuxMacSource.tar.gz; + url = https://ww1.microchip.com/downloads/en/DeviceDoc/pk2cmdv1.20LinuxMacSource.tar.gz; sha256 = "1yjpi2qshnqfpan4w3ggakkr3znfrx5cxkny92ka7v9na3g2fc4h"; }; @@ -19,7 +19,7 @@ stdenv.mkDerivation { buildInputs = [ libusb makeWrapper ]; meta = { - homepage = http://www.microchip.com/pickit2; + homepage = https://www.microchip.com/pickit2; license = stdenv.lib.licenses.unfree; #MicroChip-PK2 description = "Microchip PIC programming software for the PICKit2 programmer"; }; diff --git a/nixpkgs/pkgs/tools/misc/profile-sync-daemon/default.nix b/nixpkgs/pkgs/tools/misc/profile-sync-daemon/default.nix index 5140fc312cba..ac98df58784f 100644 --- a/nixpkgs/pkgs/tools/misc/profile-sync-daemon/default.nix +++ b/nixpkgs/pkgs/tools/misc/profile-sync-daemon/default.nix @@ -5,7 +5,7 @@ stdenv.mkDerivation rec { name = "profile-sync-daemon-${version}"; src = fetchurl { - url = "http://github.com/graysky2/profile-sync-daemon/archive/v${version}.tar.gz"; + url = "https://github.com/graysky2/profile-sync-daemon/archive/v${version}.tar.gz"; sha256 = "0v6yzgfwv3mhf1q2fp6abrvr15p9b1c1gahj3mdh5b4bfcsg3n5a"; }; diff --git a/nixpkgs/pkgs/tools/misc/pubs/default.nix b/nixpkgs/pkgs/tools/misc/pubs/default.nix index fcf67870e878..4e840957ce32 100644 --- a/nixpkgs/pkgs/tools/misc/pubs/default.nix +++ b/nixpkgs/pkgs/tools/misc/pubs/default.nix @@ -11,11 +11,18 @@ python3Packages.buildPythonApplication rec { sha256 = "16zwdqfbmlla6906g3a57a4nj8wnl11fq78r20qms717bzv211j0"; }; - # Fix for bibtexparser 1.1.0 - patches = fetchpatch { - url = https://github.com/pubs/pubs/pull/185/commits/e58ae98b93b8364a07fd5f5f452ba88ad332c948.patch; - sha256 = "1n7zrk119v395jj8wqg8wlymc9l9pq3v752yy3kam9kflc0aashp"; - }; + patches = [ + # Fix for bibtexparser 1.1.0 + (fetchpatch { + url = https://github.com/pubs/pubs/pull/185/commits/e58ae98b93b8364a07fd5f5f452ba88ad332c948.patch; + sha256 = "1n7zrk119v395jj8wqg8wlymc9l9pq3v752yy3kam9kflc0aashp"; + }) + # Fix test broken by PyYAML 5.1 + (fetchpatch { + url = https://github.com/pubs/pubs/pull/194/commits/c3cb713ae76528eeeaaeb948fe319a76ab3934d8.patch; + sha256 = "05as418m7wzs65839bb91b2jrs8l68z8ldcjcd9cn4b9fcgsf3rk"; + }) + ]; propagatedBuildInputs = with python3Packages; [ argcomplete dateutil configobj feedparser bibtexparser pyyaml requests six beautifulsoup4 diff --git a/nixpkgs/pkgs/tools/misc/sl/default.nix b/nixpkgs/pkgs/tools/misc/sl/default.nix index 641a0c3e3652..b45304a43c4b 100644 --- a/nixpkgs/pkgs/tools/misc/sl/default.nix +++ b/nixpkgs/pkgs/tools/misc/sl/default.nix @@ -13,6 +13,8 @@ stdenv.mkDerivation rec { buildInputs = [ ncurses ]; + makeFlags = [ "CC:=$(CC)" ]; + installPhase = '' runHook preInstall diff --git a/nixpkgs/pkgs/tools/misc/timidity/timidity.cfg b/nixpkgs/pkgs/tools/misc/timidity/timidity.cfg index fda915729ae5..fa07eb43eee6 100644 --- a/nixpkgs/pkgs/tools/misc/timidity/timidity.cfg +++ b/nixpkgs/pkgs/tools/misc/timidity/timidity.cfg @@ -41,8 +41,8 @@ # point to the library directory: # -dir /var/run/current-system/sw/share/timidity/instruments -dir /var/run/current-system/sw/share/timidity/patch +dir /run/current-system/sw/share/timidity/instruments +dir /run/current-system/sw/share/timidity/patch ############################################################################## # This sets up the default patches in the TiMidity support package diff --git a/nixpkgs/pkgs/tools/misc/usbmuxd/default.nix b/nixpkgs/pkgs/tools/misc/usbmuxd/default.nix index 2dfd4752f07a..9b94d0037060 100644 --- a/nixpkgs/pkgs/tools/misc/usbmuxd/default.nix +++ b/nixpkgs/pkgs/tools/misc/usbmuxd/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "usbmuxd"; - version = "2018-10-10"; + version = "2019-03-05"; src = fetchFromGitHub { owner = "libimobiledevice"; repo = pname; - rev = "96e4aabe0b9a46ea9da4955a10c774a8e58fe677"; - sha256 = "03xnj4y606adbhl829vv46qa78f6w2ik4mjz19a34x9lhkcrqxqi"; + rev = "b1b0bf390363fa36aff1bc09443ff751943b9c34"; + sha256 = "176hapckx98h4x0ni947qpkv2s95f8xfwz00wi2w7rgbr6cviwjq"; }; nativeBuildInputs = [ autoreconfHook pkgconfig ]; @@ -33,6 +33,6 @@ stdenv.mkDerivation rec { ''; license = licenses.gpl2Plus; platforms = platforms.linux; - maintainers = with maintainers; [ ]; + maintainers = with maintainers; [ infinisil ]; }; } diff --git a/nixpkgs/pkgs/tools/misc/watchexec/default.nix b/nixpkgs/pkgs/tools/misc/watchexec/default.nix index ddfdf0f492f7..b3f5e90c3f4e 100644 --- a/nixpkgs/pkgs/tools/misc/watchexec/default.nix +++ b/nixpkgs/pkgs/tools/misc/watchexec/default.nix @@ -1,4 +1,4 @@ -{ stdenv, rustPlatform, fetchFromGitHub, CoreServices, CoreFoundation }: +{ stdenv, rustPlatform, fetchFromGitHub, CoreServices, darwin }: rustPlatform.buildRustPackage rec { name = "watchexec-${version}"; @@ -13,13 +13,11 @@ rustPlatform.buildRustPackage rec { cargoSha256 = "1xlcfr2q2pw47sav9iryjva7w9chv90g18hszq8s0q0w71sccv6j"; - buildInputs = stdenv.lib.optionals stdenv.isDarwin [ CoreServices ]; - - # FIXME: Use impure version of CoreFoundation because of missing symbols. - # Undefined symbols for architecture x86_64: "_CFURLResourceIsReachable" - preConfigure = stdenv.lib.optionalString stdenv.isDarwin '' - export NIX_LDFLAGS="-F${CoreFoundation}/Library/Frameworks -framework CoreFoundation $NIX_LDFLAGS" - ''; + buildInputs = stdenv.lib.optionals stdenv.isDarwin [ + CoreServices + # This is needed to avoid an undefined symbol error "_CFURLResourceIsReachable" + darwin.cf-private + ]; meta = with stdenv.lib; { description = "Executes commands in response to file modifications"; diff --git a/nixpkgs/pkgs/tools/misc/xv/default.nix b/nixpkgs/pkgs/tools/misc/xv/default.nix new file mode 100644 index 000000000000..2611f5670bb4 --- /dev/null +++ b/nixpkgs/pkgs/tools/misc/xv/default.nix @@ -0,0 +1,28 @@ +{ stdenv, fetchFromGitHub, rustPlatform, ncurses }: + +rustPlatform.buildRustPackage rec { + pname = "xv"; + version = "0.1.0"; + + src = fetchFromGitHub { + owner = "chrisvest"; + repo = pname; + rev = "${version}"; + sha256 = "1cghg3ypxx6afllvwzc6j4z4h7mylapapipqghpdndrfizk7rsxi"; + }; + + cargoSha256 = "0iwx9cxnxlif135s2v2hji8xil38xk5a1h147ryb54v6nabaxvjw"; + + buildInputs = [ ncurses ]; + + meta = with stdenv.lib; { + description = "A visual hex viewer for the terminal"; + longDescription = '' + XV is a terminal hex viewer with a text user interface, written in 100% safe Rust. + ''; + homepage = https://chrisvest.github.io/xv/; + license = with licenses; [ asl20 ]; + maintainers = with maintainers; [ lilyball ]; + platforms = platforms.all; + }; +} diff --git a/nixpkgs/pkgs/tools/misc/youtube-dl/default.nix b/nixpkgs/pkgs/tools/misc/youtube-dl/default.nix index d3cca59bf190..b69a2d6c979b 100644 --- a/nixpkgs/pkgs/tools/misc/youtube-dl/default.nix +++ b/nixpkgs/pkgs/tools/misc/youtube-dl/default.nix @@ -19,11 +19,11 @@ buildPythonPackage rec { # The websites youtube-dl deals with are a very moving target. That means that # downloads break constantly. Because of that, updates should always be backported # to the latest stable release. - version = "2019.04.07"; + version = "2019.04.24"; src = fetchurl { url = "https://yt-dl.org/downloads/${version}/${pname}-${version}.tar.gz"; - sha256 = "1krx4y8nzpaqpq9qs8dnv2y988jpdccyfl9sdx9wrb0baycl119k"; + sha256 = "1kzz3y2q6798mwn20i69imf48kb04gx3rznfl06hb8qv5zxm9gqz"; }; nativeBuildInputs = [ makeWrapper ]; diff --git a/nixpkgs/pkgs/tools/networking/curl/default.nix b/nixpkgs/pkgs/tools/networking/curl/default.nix index 45ff2947c685..29e64205a2b7 100644 --- a/nixpkgs/pkgs/tools/networking/curl/default.nix +++ b/nixpkgs/pkgs/tools/networking/curl/default.nix @@ -24,14 +24,14 @@ assert brotliSupport -> brotli != null; assert gssSupport -> libkrb5 != null; stdenv.mkDerivation rec { - name = "curl-7.64.0"; + name = "curl-7.64.1"; src = fetchurl { urls = [ "https://curl.haxx.se/download/${name}.tar.bz2" "https://github.com/curl/curl/releases/download/${lib.replaceStrings ["."] ["_"] name}/${name}.tar.bz2" ]; - sha256 = "1szj9ia1snbfqzfcsk6hx1j7jhbqsy0f9k5d7x9xiy8w5lfblwym"; + sha256 = "0pd484nk4q4dxjilwla3bw1l1jy44043xs991xld0l2jncwcgisc"; }; outputs = [ "bin" "dev" "out" "man" "devdoc" ]; diff --git a/nixpkgs/pkgs/tools/networking/dhcp/default.nix b/nixpkgs/pkgs/tools/networking/dhcp/default.nix index 41074e3dad6b..6987bc553a58 100644 --- a/nixpkgs/pkgs/tools/networking/dhcp/default.nix +++ b/nixpkgs/pkgs/tools/networking/dhcp/default.nix @@ -1,5 +1,6 @@ { stdenv, fetchurl, perl, file, nettools, iputils, iproute, makeWrapper , coreutils, gnused, openldap ? null +, buildPackages, lib }: stdenv.mkDerivation rec { @@ -19,7 +20,11 @@ stdenv.mkDerivation rec { ./set-hostname.patch ]; - buildInputs = [ perl makeWrapper openldap ]; + nativeBuildInputs = [ perl ]; + + buildInputs = [ makeWrapper openldap ]; + + depsBuildBuild = [ buildPackages.stdenv.cc ]; configureFlags = [ "--enable-failover" @@ -31,6 +36,7 @@ stdenv.mkDerivation rec { "--enable-early-chroot" "--sysconfdir=/etc" "--localstatedir=/var" + (lib.optional stdenv.isLinux "--with-randomdev=/dev/random") ] ++ stdenv.lib.optionals (openldap != null) [ "--with-ldap" "--with-ldapcrypto" ]; NIX_CFLAGS_COMPILE = [ "-Wno-error=pointer-compare" ]; @@ -57,6 +63,8 @@ stdenv.mkDerivation rec { substituteInPlace configure --replace "/usr/bin/file" "${file}/bin/file" sed -i "includes/dhcpd.h" \ -"es|^ *#define \+_PATH_DHCLIENT_SCRIPT.*$|#define _PATH_DHCLIENT_SCRIPT \"$out/sbin/dhclient-script\"|g" + + export AR='${stdenv.cc.bintools.bintools}/bin/${stdenv.cc.targetPrefix}ar' ''; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/tools/networking/gandi-cli/default.nix b/nixpkgs/pkgs/tools/networking/gandi-cli/default.nix index 84250c1f2098..913cba54ae88 100644 --- a/nixpkgs/pkgs/tools/networking/gandi-cli/default.nix +++ b/nixpkgs/pkgs/tools/networking/gandi-cli/default.nix @@ -19,7 +19,7 @@ buildPythonApplication rec { meta = with stdenv.lib; { description = "Command-line interface to the public Gandi.net API"; - homepage = http://cli.gandi.net/; + homepage = https://cli.gandi.net/; license = licenses.gpl3Plus; maintainers = with maintainers; [ ckampka ]; }; diff --git a/nixpkgs/pkgs/tools/networking/gupnp-tools/default.nix b/nixpkgs/pkgs/tools/networking/gupnp-tools/default.nix index 80ea61249c63..830d27fa6ce2 100644 --- a/nixpkgs/pkgs/tools/networking/gupnp-tools/default.nix +++ b/nixpkgs/pkgs/tools/networking/gupnp-tools/default.nix @@ -1,23 +1,45 @@ -{fetchurl, fetchpatch, stdenv, meson, ninja, gupnp, gssdp, pkgconfig, gtk3, libuuid, gettext, gupnp-av, gtksourceview4, gnome3, wrapGAppsHook}: +{ stdenv +, fetchurl +, meson +, ninja +, gupnp +, gssdp +, pkgconfig +, gtk3 +, libuuid +, gettext +, gupnp-av +, gtksourceview4 +, gnome3 +, wrapGAppsHook +}: stdenv.mkDerivation rec { pname = "gupnp-tools"; - version = "0.8.15"; + version = "0.10.0"; src = fetchurl { url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; - sha256 = "1awpqjs08cf6aimvzldnlnz5zmdyw8aq4k2rl5239j4zkfhg8vik"; + sha256 = "13d1qr1avz9r76989nvgxhhclmqzr025xjk4rfnja94fpbspznj1"; }; - patches = [ - (fetchpatch { - url = https://gitlab.gnome.org/GNOME/gupnp-tools/commit/2845d07b1584789a23a0e691ceff476e5d82ccb7.patch; - sha256 = "1a8bhsz41s27kbaxp9jbmbisabin6lz2ln87012syvi6f2s332hv"; - }) + nativeBuildInputs = [ + meson + ninja + pkgconfig + gettext + wrapGAppsHook ]; - nativeBuildInputs = [ meson ninja pkgconfig gettext wrapGAppsHook ]; - buildInputs = [ gupnp libuuid gssdp gtk3 gupnp-av gtksourceview4 gnome3.adwaita-icon-theme ]; + buildInputs = [ + gupnp + libuuid + gssdp + gtk3 + gupnp-av + gtksourceview4 + gnome3.adwaita-icon-theme + ]; passthru = { updateScript = gnome3.updateScript { diff --git a/nixpkgs/pkgs/tools/networking/haproxy/default.nix b/nixpkgs/pkgs/tools/networking/haproxy/default.nix index 6efbec8a08f3..7c62e82e26a4 100644 --- a/nixpkgs/pkgs/tools/networking/haproxy/default.nix +++ b/nixpkgs/pkgs/tools/networking/haproxy/default.nix @@ -9,12 +9,12 @@ assert usePcre -> pcre != null; stdenv.mkDerivation rec { pname = "haproxy"; - version = "1.9.3"; + version = "1.9.6"; name = "${pname}-${version}"; src = fetchurl { url = "https://www.haproxy.org/download/${stdenv.lib.versions.majorMinor version}/src/${name}.tar.gz"; - sha256 = "1d0d0zdr3908wbmwqllq0l968iawykxyf6fd8vdf545pb0bc2b6j"; + sha256 = "1vwvy6kgjv767c5bdv415c9ic6vbx237hj20axl0zm0l55gc6dq8"; }; buildInputs = [ openssl zlib ] diff --git a/nixpkgs/pkgs/tools/networking/network-manager/applet.nix b/nixpkgs/pkgs/tools/networking/network-manager/applet.nix index 81dc7558d79b..d9f9e6570acb 100644 --- a/nixpkgs/pkgs/tools/networking/network-manager/applet.nix +++ b/nixpkgs/pkgs/tools/networking/network-manager/applet.nix @@ -33,9 +33,14 @@ in stdenv.mkDerivation rec { nativeBuildInputs = [ meson ninja intltool pkgconfig wrapGAppsHook gobject-introspection python3 gtk-doc docbook_xsl docbook_xml_dtd_43 libxml2 ]; + # Needed for wingpanel-indicator-network and switchboard-plug-network + patches = [ ./hardcode-gsettings.patch ]; + postPatch = '' chmod +x meson_post_install.py # patchShebangs requires executable file patchShebangs meson_post_install.py + + substituteInPlace src/wireless-security/eap-method.c --subst-var-by NM_APPLET_GSETTINGS $lib/share/gsettings-schemas/${name}/glib-2.0/schemas ''; passthru = { diff --git a/nixpkgs/pkgs/tools/networking/network-manager/default.nix b/nixpkgs/pkgs/tools/networking/network-manager/default.nix index 1695e23514a9..78aeb2a03edd 100644 --- a/nixpkgs/pkgs/tools/networking/network-manager/default.nix +++ b/nixpkgs/pkgs/tools/networking/network-manager/default.nix @@ -79,7 +79,7 @@ in stdenv.mkDerivation rec { installFlags = [ "sysconfdir=${placeholder "out"}/etc" "localstatedir=${placeholder "out"}/var" - "runstatedir=${placeholder "out"}/var/run" + "runstatedir=${placeholder "out"}/run" ]; postInstall = '' diff --git a/nixpkgs/pkgs/tools/networking/network-manager/hardcode-gsettings.patch b/nixpkgs/pkgs/tools/networking/network-manager/hardcode-gsettings.patch new file mode 100644 index 000000000000..a480fd6d91e7 --- /dev/null +++ b/nixpkgs/pkgs/tools/networking/network-manager/hardcode-gsettings.patch @@ -0,0 +1,32 @@ +diff --git a/src/wireless-security/eap-method.c b/src/wireless-security/eap-method.c +index 2e9daa23..6663b3ce 100644 +--- a/src/wireless-security/eap-method.c ++++ b/src/wireless-security/eap-method.c +@@ -265,8 +265,11 @@ eap_method_ca_cert_ignore_get (EAPMethod *method, NMConnection *connection) + static GSettings * + _get_ca_ignore_settings (NMConnection *connection) + { ++ GSettingsSchemaSource *schema_source; ++ g_autoptr (GSettingsSchema) *schema; + GSettings *settings; +- char *path = NULL; ++ ++ g_autofree char *path = NULL; + const char *uuid; + + g_return_val_if_fail (connection, NULL); +@@ -274,9 +277,12 @@ _get_ca_ignore_settings (NMConnection *connection) + uuid = nm_connection_get_uuid (connection); + g_return_val_if_fail (uuid && *uuid, NULL); + ++ schema_source = g_settings_schema_source_new_from_directory ("@NM_APPLET_GSETTINGS@", g_settings_schema_source_get_default (), TRUE, NULL); ++ schema = g_settings_schema_source_lookup (schema_source, "org.gnome.nm-applet.eap", FALSE); ++ g_settings_schema_source_unref (schema_source); ++ + path = g_strdup_printf ("/org/gnome/nm-applet/eap/%s/", uuid); +- settings = g_settings_new_with_path ("org.gnome.nm-applet.eap", path); +- g_free (path); ++ settings = g_settings_new_full (schema, NULL, path); + + return settings; + } diff --git a/nixpkgs/pkgs/tools/networking/nzbget/default.nix b/nixpkgs/pkgs/tools/networking/nzbget/default.nix index 3575cc65b2b2..2b5669e3f31e 100644 --- a/nixpkgs/pkgs/tools/networking/nzbget/default.nix +++ b/nixpkgs/pkgs/tools/networking/nzbget/default.nix @@ -6,7 +6,7 @@ stdenv.mkDerivation rec { version = "20.0"; src = fetchurl { - url = "http://github.com/nzbget/nzbget/releases/download/v${version}/nzbget-${version}-src.tar.gz"; + url = "https://github.com/nzbget/nzbget/releases/download/v${version}/nzbget-${version}-src.tar.gz"; sha256 = "0vyhmjg3ipjlv41il6kklys3m6rhqifdkv25a7ak772l6ba3dp04"; }; diff --git a/nixpkgs/pkgs/tools/networking/openfortivpn/default.nix b/nixpkgs/pkgs/tools/networking/openfortivpn/default.nix index d92984d3c9d6..dcab89cc2b97 100644 --- a/nixpkgs/pkgs/tools/networking/openfortivpn/default.nix +++ b/nixpkgs/pkgs/tools/networking/openfortivpn/default.nix @@ -3,7 +3,7 @@ with stdenv.lib; let repo = "openfortivpn"; - version = "1.8.1"; + version = "1.9.0"; in stdenv.mkDerivation { name = "${repo}-${version}"; @@ -12,7 +12,7 @@ in stdenv.mkDerivation { owner = "adrienverge"; inherit repo; rev = "v${version}"; - sha256 = "16k5sb7sz5blhm59rxhzhcq91pgivpbpdq6wbhcaa563nnk7pxys"; + sha256 = "1nf992wjx5n2883b31hgxrb6y0n3p5yz7h42bwripwrfpdjaaqcv"; }; nativeBuildInputs = [ autoreconfHook pkgconfig ]; diff --git a/nixpkgs/pkgs/tools/networking/shadowfox/default.nix b/nixpkgs/pkgs/tools/networking/shadowfox/default.nix index eb2fe70cf4ba..4f9dba1d62f2 100644 --- a/nixpkgs/pkgs/tools/networking/shadowfox/default.nix +++ b/nixpkgs/pkgs/tools/networking/shadowfox/default.nix @@ -1,18 +1,19 @@ -{ stdenv, fetchFromGitHub, buildGoPackage }: +{ stdenv, fetchFromGitHub, buildGoModule }: -buildGoPackage rec { - name = "shadowfox-${version}"; - version = "1.5.2"; +buildGoModule rec { + pname = "shadowfox"; + version = "1.7.20"; src = fetchFromGitHub { owner = "SrKomodo"; repo = "shadowfox-updater"; rev = "v${version}"; - sha256 = "07695hba72q722d18q75pwa45azg9jibj6vqnhwb7mnwz2i7hkkc"; + sha256 = "14crips12l4n050b8hrqkfqbxl0l8s3y4y9lm8n0bjpxdpjbpr7q"; }; goPackagePath = "github.com/SrKomodo/shadowfox-updater"; - goDeps = ./deps.nix; + + modSha256 = "143ky1fj7xglhjyzh78qzgh1m4j53kqps25c9vnq9q4vdyzm93sr"; buildFlags = "--tags release"; diff --git a/nixpkgs/pkgs/tools/networking/shadowfox/deps.nix b/nixpkgs/pkgs/tools/networking/shadowfox/deps.nix deleted file mode 100644 index f3a824af686e..000000000000 --- a/nixpkgs/pkgs/tools/networking/shadowfox/deps.nix +++ /dev/null @@ -1,75 +0,0 @@ -# This file was generated by https://github.com/kamilchm/go2nix v1.2.1 -[ - { - goPackagePath = "github.com/gdamore/encoding"; - fetch = { - type = "git"; - url = "https://github.com/gdamore/encoding"; - rev = "b23993cbb6353f0e6aa98d0ee318a34728f628b9"; - sha256 = "0d7irqpx2fa9vkxgkhf04yiwazsm10fxh0yk86x5crflhph5fv8a"; - }; - } - { - goPackagePath = "github.com/gdamore/tcell"; - fetch = { - type = "git"; - url = "https://github.com/gdamore/tcell"; - rev = "b3cebc399d6f98536af845ed8a5144ab586f6759"; - sha256 = "1kbf08msh4bjbdwnj1cjmddl5jfiarswfim3q085x77bcb43l0qa"; - }; - } - { - goPackagePath = "github.com/go-ini/ini"; - fetch = { - type = "git"; - url = "https://github.com/go-ini/ini"; - rev = "cec2bdc49009247305a260b082a18e802d0fe292"; - sha256 = "0d4ab6v83w5ya4rnnaymasmza4p2jl0lqf4xcgsmsk6lnnrwm5s8"; - }; - } - { - goPackagePath = "github.com/lucasb-eyer/go-colorful"; - fetch = { - type = "git"; - url = "https://github.com/lucasb-eyer/go-colorful"; - rev = "d9cec903b20cbeda6062366e460c2c1bdc717e4d"; - sha256 = "1j8nxi71v0qplfx6alfdx8rm2dxrcfk2k27gjrh2aksa322cmryb"; - }; - } - { - goPackagePath = "github.com/mattn/go-runewidth"; - fetch = { - type = "git"; - url = "https://github.com/mattn/go-runewidth"; - rev = "ce7b0b5c7b45a81508558cd1dba6bb1e4ddb51bb"; - sha256 = "0lc39b6xrxv7h3v3y1kgz49cgi5qxwlygs715aam6ba35m48yi7g"; - }; - } - { - goPackagePath = "github.com/mitchellh/go-homedir"; - fetch = { - type = "git"; - url = "https://github.com/mitchellh/go-homedir"; - rev = "3864e76763d94a6df2f9960b16a20a33da9f9a66"; - sha256 = "1n8vya16l60i5jms43yb8fzdgwvqa2q926p5wkg3lbrk8pxy1nv0"; - }; - } - { - goPackagePath = "github.com/rivo/tview"; - fetch = { - type = "git"; - url = "https://github.com/rivo/tview"; - rev = "306abd9cb98c97417ab6c58aa0400b2e5daac88b"; - sha256 = "00q8hymxa36a178hbzylsw3ald6a7gyg8adnki3flyv1jg3dnahx"; - }; - } - { - goPackagePath = "golang.org/x/text"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/text"; - rev = "5cec4b58c438bd98288aeb248bab2c1840713d21"; - sha256 = "19iyz2nppicvwap5lv796sbzd82glk2jn4yn5w6z6zymwnykfzds"; - }; - } -] diff --git a/nixpkgs/pkgs/tools/networking/unbound/default.nix b/nixpkgs/pkgs/tools/networking/unbound/default.nix index 25e03d80369e..69464c69f756 100644 --- a/nixpkgs/pkgs/tools/networking/unbound/default.nix +++ b/nixpkgs/pkgs/tools/networking/unbound/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "unbound-${version}"; - version = "1.9.0"; + version = "1.9.1"; src = fetchurl { url = "https://unbound.net/downloads/${name}.tar.gz"; - sha256 = "05xrb8havr2vgjsdy7n85kgnvk1mg7qwhjp4a8n6pg4jhd5zjnj1"; + sha256 = "1iarvk0i92asvrkpla9z55aan20k6pklzpck9yk4rfnchsdvzh63"; }; outputs = [ "out" "lib" "man" ]; # "dev" would only split ~20 kB diff --git a/nixpkgs/pkgs/tools/networking/wicd/wpa2-ttls b/nixpkgs/pkgs/tools/networking/wicd/wpa2-ttls index c5ac798be5df..650375cbbaae 100644 --- a/nixpkgs/pkgs/tools/networking/wicd/wpa2-ttls +++ b/nixpkgs/pkgs/tools/networking/wicd/wpa2-ttls @@ -4,7 +4,7 @@ version = 1 require identity *Identity anonymous_identity *Anonymous_identity password *Password ca_cert *Path_to_CA_Cert protected password *Password ----- -ctrl_interface=/var/run/wpa_supplicant +ctrl_interface=/run/wpa_supplicant network={ ssid="$_ESSID" scan_ssid=$_SCAN diff --git a/nixpkgs/pkgs/tools/networking/wireguard-tools/default.nix b/nixpkgs/pkgs/tools/networking/wireguard-tools/default.nix index 0d59864154d0..2f71ea7a421e 100644 --- a/nixpkgs/pkgs/tools/networking/wireguard-tools/default.nix +++ b/nixpkgs/pkgs/tools/networking/wireguard-tools/default.nix @@ -4,11 +4,11 @@ with stdenv.lib; stdenv.mkDerivation rec { name = "wireguard-tools-${version}"; - version = "0.0.20190227"; + version = "0.0.20190406"; src = fetchzip { url = "https://git.zx2c4.com/WireGuard/snapshot/WireGuard-${version}.tar.xz"; - sha256 = "1kaq0mjcdr4hxr3rx8z11rvlh4ycjsn5654fjw1gh1fdbjgczax5"; + sha256 = "1rqyyyx7j41vpp4jigagqs2qdyfngh15y48ghdqfrkv7v93vwdak"; }; sourceRoot = "source/src/tools"; diff --git a/nixpkgs/pkgs/tools/networking/xl2tpd/default.nix b/nixpkgs/pkgs/tools/networking/xl2tpd/default.nix index f3c1fbd853a1..4b39594d84ee 100644 --- a/nixpkgs/pkgs/tools/networking/xl2tpd/default.nix +++ b/nixpkgs/pkgs/tools/networking/xl2tpd/default.nix @@ -1,14 +1,14 @@ { stdenv, fetchFromGitHub, libpcap, ppp }: stdenv.mkDerivation rec { - name = "xl2tpd-${version}"; - version = "1.3.13"; + pname = "xl2tpd"; + version = "1.3.14"; src = fetchFromGitHub { owner = "xelerance"; repo = "xl2tpd"; rev = "v${version}"; - sha256 = "1nzkmhi9arwd4smhr07l0sssx46w48z0cblv7xcz25wg4hw86mcd"; + sha256 = "1c2ahxz2zmmxwmk951d2qhijgz67zhwa1hn0r59fgz0y14w22myi"; }; buildInputs = [ libpcap ]; diff --git a/nixpkgs/pkgs/tools/package-management/appimage-run/default.nix b/nixpkgs/pkgs/tools/package-management/appimage-run/default.nix index 49fe307667af..54abfa89991a 100644 --- a/nixpkgs/pkgs/tools/package-management/appimage-run/default.nix +++ b/nixpkgs/pkgs/tools/package-management/appimage-run/default.nix @@ -10,7 +10,15 @@ in buildFHSUserEnv (fhsArgs // { runScript = writeScript "appimage-exec" '' #!${runtimeShell} + if [ $# -eq 0 ]; then + echo "Usage: $0 FILE [OPTION...]" + echo + echo 'Options are passed on to the appimage.' + echo "If you want to execute a custom command in the appimage's environment, set the APPIMAGE_DEBUG_EXEC environment variable." + exit 1 + fi APPIMAGE="$(realpath "$1")" + shift if [ ! -x "$APPIMAGE" ]; then echo "fatal: $APPIMAGE is not executable" @@ -43,6 +51,6 @@ in buildFHSUserEnv (fhsArgs // { exec "$APPIMAGE_DEBUG_EXEC" fi - exec ./AppRun + exec ./AppRun "$@" ''; }) diff --git a/nixpkgs/pkgs/tools/package-management/cargo-outdated/default.nix b/nixpkgs/pkgs/tools/package-management/cargo-outdated/default.nix new file mode 100644 index 000000000000..b54f67b0fd4d --- /dev/null +++ b/nixpkgs/pkgs/tools/package-management/cargo-outdated/default.nix @@ -0,0 +1,29 @@ +{ stdenv, fetchFromGitHub, rustPlatform, pkgconfig, openssl, darwin }: + +rustPlatform.buildRustPackage rec { + pname = "cargo-outdated"; + version = "unstable-2019-04-13"; + + src = fetchFromGitHub { + owner = "kbknapp"; + repo = pname; + rev = "ce4b6baddc94b77a155abbb5a4fa4d3b31a45598"; + sha256 = "0x00vn0ldnm2hvndfmq4g4q5w6axyg9vsri3i5zxhmir7423xabp"; + }; + + cargoSha256 = "1xqii2z0asgkwn1ny9n19w7d4sjz12a6i55x2pf4cfrciapdpvdl"; + + nativeBuildInputs = [ pkgconfig ]; + buildInputs = [ openssl ] + ++ stdenv.lib.optionals stdenv.isDarwin [ + darwin.apple_sdk.frameworks.Security + ]; + + meta = with stdenv.lib; { + description = "A cargo subcommand for displaying when Rust dependencies are out of date"; + homepage = https://github.com/kbknapp/cargo-outdated; + license = with licenses; [ asl20 /* or */ mit ]; + platforms = platforms.all; + maintainers = [ maintainers.sondr3 ]; + }; +} diff --git a/nixpkgs/pkgs/tools/package-management/nixops/default.nix b/nixpkgs/pkgs/tools/package-management/nixops/default.nix index 73e8c90d4e42..7cd7935d155c 100644 --- a/nixpkgs/pkgs/tools/package-management/nixops/default.nix +++ b/nixpkgs/pkgs/tools/package-management/nixops/default.nix @@ -1,24 +1,9 @@ { callPackage, newScope, pkgs, fetchurl }: callPackage ./generic.nix (rec { - version = "1.6.1"; + version = "1.7"; src = fetchurl { - url = "http://nixos.org/releases/nixops/nixops-${version}/nixops-${version}.tar.bz2"; - sha256 = "0lfx5fhyg3z6725ydsk0ibg5qqzp5s0x9nbdww02k8s307axiah3"; - }; -# nixops is incompatible with the most recent versions of listed -# azure-mgmt-* packages, therefore we are pinning them to -# package-private versions, so that they don't get trampled by -# updates. -# see -# https://github.com/NixOS/nixops/issues/1065 - python2Packages = pkgs.python2Packages.override { - overrides = (self: super: let callPackage = newScope self; in { - azure-mgmt-compute = callPackage ./azure-mgmt-compute { }; - azure-mgmt-network = callPackage ./azure-mgmt-network { }; - azure-mgmt-nspkg = callPackage ./azure-mgmt-nspkg { }; - azure-mgmt-resource = callPackage ./azure-mgmt-resource { }; - azure-mgmt-storage = callPackage ./azure-mgmt-storage { }; - }); + url = "https://nixos.org/releases/nixops/nixops-${version}/nixops-${version}.tar.bz2"; + sha256 = "091c0b5bca57d4aa20be20e826ec161efe3aec9c788fbbcf3806a734a517f0f3"; }; }) diff --git a/nixpkgs/pkgs/tools/package-management/nixops/generic.nix b/nixpkgs/pkgs/tools/package-management/nixops/generic.nix index 813ae64bef55..79400a8e5ea0 100644 --- a/nixpkgs/pkgs/tools/package-management/nixops/generic.nix +++ b/nixpkgs/pkgs/tools/package-management/nixops/generic.nix @@ -1,4 +1,4 @@ -{ lib, python2Packages, libxslt, docbook_xsl_ns, openssh, cacert +{ lib, python2Packages, libxslt, docbook_xsl_ns, openssh, cacert, nixopsAzurePackages ? [] # version args , src, version , meta ? {} @@ -16,11 +16,6 @@ python2Packages.buildPythonApplication { boto3 hetzner libcloud - azure-storage - azure-mgmt-compute - azure-mgmt-network - azure-mgmt-resource - azure-mgmt-storage adal # Go back to sqlite once Python 2.7.13 is released pysqlite @@ -28,7 +23,7 @@ python2Packages.buildPythonApplication { digital-ocean libvirt typing - ]; + ] ++ nixopsAzurePackages; checkPhase = # Ensure, that there are no (python) import errors @@ -53,7 +48,7 @@ python2Packages.buildPythonApplication { meta = { homepage = https://github.com/NixOS/nixops; description = "NixOS cloud provisioning and deployment tool"; - maintainers = with lib.maintainers; [ eelco rob domenkozar ]; + maintainers = with lib.maintainers; [ aminechikhaoui eelco rob domenkozar ]; platforms = lib.platforms.unix; license = lib.licenses.lgpl3; } // meta; diff --git a/nixpkgs/pkgs/tools/package-management/nixops/nixops-v1_6_1.nix b/nixpkgs/pkgs/tools/package-management/nixops/nixops-v1_6_1.nix new file mode 100644 index 000000000000..d9ba324dce72 --- /dev/null +++ b/nixpkgs/pkgs/tools/package-management/nixops/nixops-v1_6_1.nix @@ -0,0 +1,31 @@ +{ callPackage, newScope, pkgs, fetchurl }: + +callPackage ./generic.nix (rec { + version = "1.6.1"; + src = fetchurl { + url = "http://nixos.org/releases/nixops/nixops-${version}/nixops-${version}.tar.bz2"; + sha256 = "0lfx5fhyg3z6725ydsk0ibg5qqzp5s0x9nbdww02k8s307axiah3"; + }; + nixopsAzurePackages = with python2Packages; [ + azure-storage + azure-mgmt-compute + azure-mgmt-network + azure-mgmt-resource + azure-mgmt-storage + ]; + # nixops is incompatible with the most recent versions of listed + # azure-mgmt-* packages, therefore we are pinning them to + # package-private versions, so that they don't get trampled by + # updates. + # see + # https://github.com/NixOS/nixops/issues/1065 + python2Packages = pkgs.python2Packages.override { + overrides = (self: super: let callPackage = newScope self; in { + azure-mgmt-compute = callPackage ./azure-mgmt-compute { }; + azure-mgmt-network = callPackage ./azure-mgmt-network { }; + azure-mgmt-nspkg = callPackage ./azure-mgmt-nspkg { }; + azure-mgmt-resource = callPackage ./azure-mgmt-resource { }; + azure-mgmt-storage = callPackage ./azure-mgmt-storage { }; + }); + }; +}) diff --git a/nixpkgs/pkgs/tools/package-management/nixops/unstable.nix b/nixpkgs/pkgs/tools/package-management/nixops/unstable.nix index 88d9d0c94bef..94975807dea6 100644 --- a/nixpkgs/pkgs/tools/package-management/nixops/unstable.nix +++ b/nixpkgs/pkgs/tools/package-management/nixops/unstable.nix @@ -5,26 +5,9 @@ # Then copy the URL to the tarball. callPackage ./generic.nix (rec { - version = "1.6.1pre2728_8ed39f9"; + version = "1.7pre2764_932bf43"; src = fetchurl { - url = "https://hydra.nixos.org/build/88329589/download/2/nixops-${version}.tar.bz2"; - sha256 = "1ppnhqmsbiijm6r77h86abv3fjny5iq35yvj207s520kjwzaj7kc"; + url = "https://hydra.nixos.org/build/92372343/download/2/nixops-${version}.tar.bz2"; + sha256 = "f35bf81bf2805473ea54248d0ee92d163d00a1992f3f75d17e8cf430db1f9919"; }; - # # Marking unstable as broken, instead of using the pinned version, - # # like stable does You might be able to use the following code (as - # # in stable), to run unstable against the pinned packages - # python2Packages = pkgs.python2Packages.override { - # overrides = (self: super: let callPackage = newScope self; in { - # azure-mgmt-compute = callPackage ./azure-mgmt-compute { }; - # azure-mgmt-network = callPackage ./azure-mgmt-network { }; - # azure-mgmt-nspkg = callPackage ./azure-mgmt-nspkg { }; - # azure-mgmt-resource = callPackage ./azure-mgmt-resource { }; - # azure-mgmt-storage = callPackage ./azure-mgmt-storage { }; - # }); - # }; - # # otherwise - # # see https://github.com/NixOS/nixpkgs/pull/52550 - # # see https://github.com/NixOS/nixops/issues/1065 - # # see https://github.com/NixOS/nixpkgs/issues/52547 - meta.broken = true; }) diff --git a/nixpkgs/pkgs/tools/security/aws-okta/default.nix b/nixpkgs/pkgs/tools/security/aws-okta/default.nix index cdb35453d3d2..36b6a5767a1e 100644 --- a/nixpkgs/pkgs/tools/security/aws-okta/default.nix +++ b/nixpkgs/pkgs/tools/security/aws-okta/default.nix @@ -1,8 +1,8 @@ -{ buildGoPackage, fetchFromGitHub, stdenv }: +{ buildGoPackage, fetchFromGitHub, libusb1, pkgconfig, stdenv }: buildGoPackage rec { name = "aws-okta-${version}"; - version = "0.19.0"; + version = "0.20.1"; goPackagePath = "github.com/segmentio/aws-okta"; @@ -10,11 +10,16 @@ buildGoPackage rec { owner = "segmentio"; repo = "aws-okta"; rev = "v${version}"; - sha256 = "1c9mn492yva7cdsx2b0n8g2fdl9660v3xma0v82jzb0c9y9rq0ms"; + sha256 = "084lb9rp04vbpzmvsb2l92a4gp7c8g28x4xsagzwkqqpwi3fd15d"; }; + goDeps = ./deps.nix; + buildFlags = "--tags release"; + nativeBuildInputs = [ pkgconfig ]; + buildInputs = [ libusb1 ]; + meta = with stdenv.lib; { inherit version; description = "aws-vault like tool for Okta authentication"; diff --git a/nixpkgs/pkgs/tools/security/aws-okta/deps.nix b/nixpkgs/pkgs/tools/security/aws-okta/deps.nix new file mode 100644 index 000000000000..180aa69d56c5 --- /dev/null +++ b/nixpkgs/pkgs/tools/security/aws-okta/deps.nix @@ -0,0 +1,29 @@ +[ + { + goPackagePath = "github.com/sirupsen/logrus"; + fetch = { + type = "git"; + url = "https://github.com/sirupsen/logrus.git"; + rev = "a437dfd2463eaedbec3dfe443e477d3b0a810b3f"; + sha256 = "1904s2bbc7p88anzjp6fyj3jrbm5p6wbb8j4490674dq10kkcfbj"; + }; + } + { + goPackagePath = "golang.org/x/sys/unix"; + fetch = { + type = "git"; + url = "https://github.com/golang/sys.git"; + rev = "b699b7032584f0953262cb2788a0ca19bb494703"; + sha256 = "172sw1bm581qwal9pbf9qj1sgivr74nabbj8qq4q4fhgpzams9ix"; + }; + } + { + goPackagePath = "github.com/marshallbrekka/go-u2fhost"; + fetch = { + type = "git"; + url = "https://github.com/marshallbrekka/go-u2fhost"; + rev = "72b0e7a3f583583996b3b382d2dfaa81fdc4b82c"; + sha256 = "0apzmf0bjpr58ynw55agyjsl74zyg5qjk19nyyy4zhip3s9b1d0h"; + }; + } +] diff --git a/nixpkgs/pkgs/tools/security/bitwarden_rs/default.nix b/nixpkgs/pkgs/tools/security/bitwarden_rs/default.nix new file mode 100644 index 000000000000..788c561da3c5 --- /dev/null +++ b/nixpkgs/pkgs/tools/security/bitwarden_rs/default.nix @@ -0,0 +1,27 @@ +{ lib, rustPlatform, fetchFromGitHub, pkgconfig, openssl }: + +rustPlatform.buildRustPackage rec { + pname = "bitwarden_rs"; + version = "1.8.0"; + + src = fetchFromGitHub { + owner = "dani-garcia"; + repo = pname; + rev = version; + sha256 = "0jz9r6ck6sfz4ig95x0ja6g5ikyq6z0xw1zn9zf4kxha4klqqbkx"; + }; + + buildInputs = [ pkgconfig openssl ]; + + RUSTC_BOOTSTRAP = 1; + + cargoSha256 = "0bzid5wrpcrghazv5652ghyv4amp298p5kfridswv175kmr9gg0x"; + + meta = with lib; { + description = "An unofficial lightweight implementation of the Bitwarden server API using Rust and SQLite"; + homepage = https://github.com/dani-garcia/bitwarden_rs; + license = licenses.gpl3; + maintainers = with maintainers; [ msteen ]; + platforms = platforms.all; + }; +} diff --git a/nixpkgs/pkgs/tools/security/bitwarden_rs/vault.nix b/nixpkgs/pkgs/tools/security/bitwarden_rs/vault.nix new file mode 100644 index 000000000000..1f7f23049d06 --- /dev/null +++ b/nixpkgs/pkgs/tools/security/bitwarden_rs/vault.nix @@ -0,0 +1,25 @@ +{ stdenv, fetchurl }: + +stdenv.mkDerivation rec { + pname = "bitwarden_rs-vault"; + version = "2.9.0"; + + src = fetchurl { + url = "https://github.com/dani-garcia/bw_web_builds/releases/download/v${version}/bw_web_v${version}.tar.gz"; + sha256 = "0kh7nqd688ilw73n4pw3s6fahghwbhiql548js6cdwsp4car3vbb"; + }; + + buildCommand = '' + mkdir -p $out/share/bitwarden_rs/vault + cd $out/share/bitwarden_rs/vault + tar xf $src + ''; + + meta = with stdenv.lib; { + description = "Integrates the web vault into bitwarden_rs"; + homepage = https://github.com/dani-garcia/bw_web_builds; + platforms = platforms.all; + license = licenses.gpl3; + maintainers = with maintainers; [ msteen ]; + }; +} diff --git a/nixpkgs/pkgs/tools/security/chipsec/default.nix b/nixpkgs/pkgs/tools/security/chipsec/default.nix new file mode 100644 index 000000000000..a32752f8b231 --- /dev/null +++ b/nixpkgs/pkgs/tools/security/chipsec/default.nix @@ -0,0 +1,40 @@ +{ stdenv, lib, fetchFromGitHub, python27Packages, nasm, libelf +, kernel ? null, withDriver ? false }: +python27Packages.buildPythonApplication rec { + name = "chipsec-${version}"; + version = "1.3.7"; + + src = fetchFromGitHub { + owner = "chipsec"; + repo = "chipsec"; + rev = version; + sha256 = "00hwhi5f24y429zazhm77l1pp31q7fmx7ks3sfm6d16v89zbcp9a"; + }; + + nativeBuildInputs = [ + nasm libelf + ]; + + setupPyBuildFlags = lib.optional (!withDriver) "--skip-driver"; + + checkPhase = "python setup.py build " + + lib.optionalString (!withDriver) "--skip-driver " + + "test"; + + KERNEL_SRC_DIR = lib.optionalString withDriver "${kernel.dev}/lib/modules/${kernel.modDirVersion}/build"; + + meta = with stdenv.lib; { + description = "Platform Security Assessment Framework"; + longDescription = '' + CHIPSEC is a framework for analyzing the security of PC platforms + including hardware, system firmware (BIOS/UEFI), and platform components. + It includes a security test suite, tools for accessing various low level + interfaces, and forensic capabilities. It can be run on Windows, Linux, + Mac OS X and UEFI shell. + ''; + license = licenses.gpl2; + homepage = https://github.com/chipsec/chipsec; + maintainers = with maintainers; [ johnazoidberg ]; + platforms = if withDriver then [ "x86_64-linux" ] else platforms.all; + }; +} diff --git a/nixpkgs/pkgs/tools/security/neopg/default.nix b/nixpkgs/pkgs/tools/security/neopg/default.nix index cf5f760cda47..88494e8dbdf7 100644 --- a/nixpkgs/pkgs/tools/security/neopg/default.nix +++ b/nixpkgs/pkgs/tools/security/neopg/default.nix @@ -3,7 +3,7 @@ , cmake , sqlite , botan2 -, boost164 +, boost , curl , gettext , pkgconfig @@ -12,26 +12,26 @@ stdenv.mkDerivation rec { name = "neopg-${version}"; - version = "0.0.4"; + version = "0.0.6"; src = fetchFromGitHub { owner = "das-labor"; repo = "neopg"; rev = "v${version}"; - sha256 = "0hhkl326ff6f76k8pwggpzmivbm13fz497nlyy6ybn5bmi9xfblm"; + sha256 = "15xp5w046ix59cfrhh8ka4camr0d8qqw643g184sqrcqwpk7nbrx"; fetchSubmodules = true; }; nativeBuildInputs = [ pkgconfig ]; - buildInputs = [ cmake sqlite botan2 boost164 curl gettext libusb gnutls ]; + buildInputs = [ cmake sqlite botan2 boost curl gettext libusb gnutls ]; doCheck = true; checkTarget = "test"; + dontUseCmakeBuildDir = true; - postInstall = '' - mkdir -p $out/bin - cp src/neopg $out/bin/neopg + preCheck = '' + export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:$(pwd)/3rdparty/googletest/googletest:$(pwd)/neopg ''; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/tools/security/nmap/qt.nix b/nixpkgs/pkgs/tools/security/nmap/qt.nix index c15d9bf25283..c0b7a72d18e0 100644 --- a/nixpkgs/pkgs/tools/security/nmap/qt.nix +++ b/nixpkgs/pkgs/tools/security/nmap/qt.nix @@ -1,33 +1,35 @@ -{ stdenv, fetchurl, cmake, pkgconfig, makeWrapper +{ stdenv, fetchFromGitHub, cmake, pkgconfig, makeWrapper , dnsutils, nmap -, qtbase, qtscript, qtwebkit }: +, qtbase, qtscript, qtwebengine }: stdenv.mkDerivation rec { name = "nmapsi4-${version}"; - version = "0.5-alpha1"; + version = "0.4.80-20180430"; - src = fetchurl { - url = "mirror://sourceforge/nmapsi/${name}.tar.xz"; - sha256 = "18v9a3l2nmij3gb4flscigxr5c44nphkjfmk07qpyy73fy61mzrs"; + src = fetchFromGitHub { + owner = "nmapsi4"; + repo = "nmapsi4"; + rev = "d7f18e4c1e38dcf9c29cb4496fe14f9ff172861a"; + sha256 = "10wqyrjzmad1g7lqa65rymbkna028xbp4xcpj442skw8gyrs3994"; }; nativeBuildInputs = [ cmake makeWrapper pkgconfig ]; - buildInputs = [ qtbase qtscript qtwebkit ]; + buildInputs = [ qtbase qtscript qtwebengine ]; enableParallelBuilding = true; postPatch = '' + substituteInPlace src/platform/digmanager.cpp \ + --replace '"dig"' '"${dnsutils}/bin/dig"' + substituteInPlace src/platform/discover.cpp \ + --replace '"nping"' '"${nmap}/bin/nping"' for f in \ - src/platform/digmanager.cpp \ - src/platform/discover.cpp \ src/platform/monitor/monitor.cpp \ src/platform/nsemanager.cpp ; do substituteInPlace $f \ - --replace '"dig"' '"${dnsutils}/bin/dig"'\ - --replace '"nmap"' '"${nmap}/bin/nmap"' \ - --replace '"nping"' '"${nmap}/bin/nping"' + --replace '"nmap"' '"${nmap}/bin/nmap"' done ''; @@ -45,9 +47,8 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "Qt frontend for nmap"; - homepage = https://www.nmapsi4.org/; license = licenses.gpl2; - platforms = platforms.all; maintainers = with maintainers; [ peterhoeg ]; + inherit (src.meta) homepage; }; } diff --git a/nixpkgs/pkgs/tools/security/pcsclite/default.nix b/nixpkgs/pkgs/tools/security/pcsclite/default.nix index 66a5615ee135..5c40ccdef584 100644 --- a/nixpkgs/pkgs/tools/security/pcsclite/default.nix +++ b/nixpkgs/pkgs/tools/security/pcsclite/default.nix @@ -3,13 +3,13 @@ stdenv.mkDerivation rec { name = "pcsclite-${version}"; - version = "1.8.24"; + version = "1.8.25"; outputs = [ "bin" "out" "dev" "doc" "man" ]; src = fetchurl { url = "https://pcsclite.apdu.fr/files/pcsc-lite-${version}.tar.bz2"; - sha256 = "0s3mv6csbi9303vvis0hilm71xsmi6cqkbh2kiipdisydbx6865q"; + sha256 = "14l7irs1nsh8b036ag4cfy8wryyysch78scz5dw6xxqwqgnpjvfp"; }; patches = [ ./no-dropdir-literals.patch ]; diff --git a/nixpkgs/pkgs/tools/security/pinentry/default.nix b/nixpkgs/pkgs/tools/security/pinentry/default.nix index 705338634f11..87df0ffd834d 100644 --- a/nixpkgs/pkgs/tools/security/pinentry/default.nix +++ b/nixpkgs/pkgs/tools/security/pinentry/default.nix @@ -11,6 +11,7 @@ stdenv.mkDerivation rec { sha256 = "0w35ypl960pczg5kp6km3dyr000m1hf0vpwwlh72jjkjza36c1v8"; }; + nativeBuildInputs = [ pkgconfig ]; buildInputs = [ libgpgerror libassuan libcap libsecret gtk2 gcr ncurses qt ]; prePatch = '' @@ -33,9 +34,10 @@ stdenv.mkDerivation rec { (stdenv.lib.enableFeature (gtk2 != null) "pinentry-gtk2") (stdenv.lib.enableFeature (gcr != null) "pinentry-gnome3") (stdenv.lib.enableFeature (qt != null) "pinentry-qt") - ]; - nativeBuildInputs = [ pkgconfig ]; + "--with-libassuan-prefix=${libassuan.dev}" + "--with-libgpg-error-prefix=${libgpgerror.dev}" + ]; meta = with stdenv.lib; { homepage = http://gnupg.org/aegypten2/; diff --git a/nixpkgs/pkgs/tools/security/prey/default.nix b/nixpkgs/pkgs/tools/security/prey/default.nix index ab041c8b05d6..b24af50ee7c2 100644 --- a/nixpkgs/pkgs/tools/security/prey/default.nix +++ b/nixpkgs/pkgs/tools/security/prey/default.nix @@ -39,7 +39,7 @@ in stdenv.mkDerivation rec { ''; meta = with stdenv.lib; { - homepage = http://preyproject.com; + homepage = https://preyproject.com; description = "Proven tracking software that helps you find, lock and recover your devices when stolen or missing"; maintainers = with maintainers; [ domenkozar ]; license = licenses.gpl3; diff --git a/nixpkgs/pkgs/tools/security/rng-tools/default.nix b/nixpkgs/pkgs/tools/security/rng-tools/default.nix index 86a5a1b7c396..3e460c5f8448 100644 --- a/nixpkgs/pkgs/tools/security/rng-tools/default.nix +++ b/nixpkgs/pkgs/tools/security/rng-tools/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, libtool, autoconf, automake, pkgconfig +{ stdenv, fetchFromGitHub, libtool, autoreconfHook, pkgconfig , sysfsutils # WARNING: DO NOT USE BEACON GENERATED VALUES AS SECRET CRYPTOGRAPHIC KEYS # https://www.nist.gov/programs-projects/nist-randomness-beacon @@ -8,32 +8,43 @@ # Not sure if jitterentropy is safe to use for cryptography # and thus a default entropy source , jitterentropy ? null, withJitterEntropy ? false +, libp11 ? null, withPkcs11 ? true }: + with stdenv.lib; + stdenv.mkDerivation rec { - name = "rng-tools-${version}"; - version = "6.6"; + pname = "rng-tools"; + version = "6.7"; src = fetchFromGitHub { owner = "nhorman"; repo = "rng-tools"; rev = "v${version}"; - sha256 = "0c32sxfvngdjzfmxn5ngc5yxwi8ij3yl216nhzyz9r31qi3m14v7"; + sha256 = "19f75m6mzg8h7b4snzg7d6ypvkz6nq32lrpi9ja95gqz4wsd18a5"; }; - nativeBuildInputs = [ libtool autoconf automake pkgconfig ]; + postPatch = '' + cp README.md README + ''; - preConfigure = "./autogen.sh"; + nativeBuildInputs = [ autoreconfHook libtool pkgconfig ]; - configureFlags = - optional (!withJitterEntropy) "--disable-jitterentropy" - ++ optional (!withNistBeacon) "--without-nistbeacon" - ++ optional (!withGcrypt) "--without-libgcrypt"; + configureFlags = [ + (withFeature withGcrypt "libgcrypt") + (enableFeature withJitterEntropy "jitterentropy") + (withFeature withNistBeacon "nistbeacon") + (withFeature withPkcs11 "pkcs11") + ]; buildInputs = [ sysfsutils ] - ++ optional withJitterEntropy [ jitterentropy ] - ++ optional withGcrypt [ libgcrypt.dev ] - ++ optional withNistBeacon [ openssl.dev curl.dev libxml2.dev ]; + ++ optionals withGcrypt [ libgcrypt ] + ++ optionals withJitterEntropy [ jitterentropy ] + ++ optionals withNistBeacon [ openssl curl libxml2 ] + ++ optionals withPkcs11 [ libp11 openssl ]; + + # This shouldn't be necessary but is as of 6.7 + NIX_LDFLAGS = optionalString withPkcs11 "-lcrypto"; enableParallelBuilding = true; @@ -43,8 +54,8 @@ stdenv.mkDerivation rec { meta = { description = "A random number generator daemon"; homepage = https://github.com/nhorman/rng-tools; - license = stdenv.lib.licenses.gpl2Plus; - platforms = stdenv.lib.platforms.linux; - maintainers = with stdenv.lib.maintainers; [ johnazoidberg ]; + license = licenses.gpl2Plus; + platforms = platforms.linux; + maintainers = with maintainers; [ johnazoidberg ]; }; } diff --git a/nixpkgs/pkgs/tools/security/sops/default.nix b/nixpkgs/pkgs/tools/security/sops/default.nix index 2db2fddd9a20..4940ce8372d7 100644 --- a/nixpkgs/pkgs/tools/security/sops/default.nix +++ b/nixpkgs/pkgs/tools/security/sops/default.nix @@ -1,16 +1,16 @@ { stdenv, buildGoPackage, fetchFromGitHub }: buildGoPackage rec { - name = "sops-${version}"; - version = "3.2.0"; + pname = "sops"; + version = "3.3.0"; goPackagePath = "go.mozilla.org/sops"; src = fetchFromGitHub { rev = version; owner = "mozilla"; - repo = "sops"; - sha256 = "0lzwql3f4n70gmw1d0vnsg7hd0ma6ys0a4x54g3jk10nrn2f7wxl"; + repo = pname; + sha256 = "0h02iy1dfn4874gyj3k07gbw8byb7rngvsi9kjglnad2pkf0pq2d"; }; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/tools/system/acpica-tools/default.nix b/nixpkgs/pkgs/tools/system/acpica-tools/default.nix index dd180210f6d2..e19d5fba99fc 100644 --- a/nixpkgs/pkgs/tools/system/acpica-tools/default.nix +++ b/nixpkgs/pkgs/tools/system/acpica-tools/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { name = "acpica-tools-${version}"; - version = "20190215"; + version = "20190405"; src = fetchurl { url = "https://acpica.org/sites/acpica/files/acpica-unix-${version}.tar.gz"; - sha256 = "0dqdkg7agzwb34p74dhvr79a6xqraxh1025mm5vg3qmjn6r3l4vi"; + sha256 = "0d4hajb3d82laf74m2xa91kqkjwmym08r25jf0hly1jbbh7cl0fy"; }; NIX_CFLAGS_COMPILE = "-O3"; diff --git a/nixpkgs/pkgs/tools/text/diffstat/default.nix b/nixpkgs/pkgs/tools/text/diffstat/default.nix index 1490f0900b9d..fa5718ce4d36 100644 --- a/nixpkgs/pkgs/tools/text/diffstat/default.nix +++ b/nixpkgs/pkgs/tools/text/diffstat/default.nix @@ -18,7 +18,7 @@ stdenv.mkDerivation rec { insertions, deletions, and modifications per-file. It is useful for reviewing large, complex patch files. ''; - homepage = http://invisible-island.net/diffstat/; + homepage = https://invisible-island.net/diffstat/; license = licenses.mit; platforms = platforms.unix; maintainers = [ maintainers.bjornfor ]; diff --git a/nixpkgs/pkgs/tools/text/mawk/default.nix b/nixpkgs/pkgs/tools/text/mawk/default.nix index ed54f9d349ee..9fed14c6d550 100644 --- a/nixpkgs/pkgs/tools/text/mawk/default.nix +++ b/nixpkgs/pkgs/tools/text/mawk/default.nix @@ -13,7 +13,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "Interpreter for the AWK Programming Language"; - homepage = http://invisible-island.net/mawk/mawk.html; + homepage = https://invisible-island.net/mawk/mawk.html; license = licenses.gpl2; maintainers = with maintainers; [ ehmry ]; platforms = with platforms; unix; diff --git a/nixpkgs/pkgs/tools/text/mdbook/default.nix b/nixpkgs/pkgs/tools/text/mdbook/default.nix index 36368e172a29..ea7602a60020 100644 --- a/nixpkgs/pkgs/tools/text/mdbook/default.nix +++ b/nixpkgs/pkgs/tools/text/mdbook/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, rustPlatform, CoreServices }: +{ stdenv, fetchFromGitHub, rustPlatform, CoreServices, darwin }: rustPlatform.buildRustPackage rec { name = "mdbook-${version}"; @@ -13,7 +13,11 @@ rustPlatform.buildRustPackage rec { cargoSha256 = "0kcc0b2644qbalz7dnqwxsjdmw1h57k0rjrvwqh8apj2sgl64gyv"; - buildInputs = stdenv.lib.optionals stdenv.isDarwin [ CoreServices ]; + buildInputs = stdenv.lib.optionals stdenv.isDarwin [ + CoreServices + # This is needed to avoid an undefined symbol error for "_CFURLResourceIsReachable" + darwin.cf-private + ]; meta = with stdenv.lib; { description = "Create books from MarkDown"; @@ -21,9 +25,5 @@ rustPlatform.buildRustPackage rec { license = [ licenses.asl20 licenses.mit ]; maintainers = [ maintainers.havvy ]; platforms = platforms.all; - - # Because CoreServices needs to be updated, - # but Apple won't release the source. - broken = stdenv.isDarwin; }; } diff --git a/nixpkgs/pkgs/tools/text/unoconv/unoconv-python3.patch b/nixpkgs/pkgs/tools/text/unoconv/unoconv-python3.patch index b23f5d54c18f..2c6e9c719411 100644 --- a/nixpkgs/pkgs/tools/text/unoconv/unoconv-python3.patch +++ b/nixpkgs/pkgs/tools/text/unoconv/unoconv-python3.patch @@ -360,7 +360,7 @@ index 30e6706..f72cf08 100755 - print >>sys.stderr, " http://github.com/dagwieers/unoconv/issues" + print("unoconv: Cannot find a suitable office installation on your system.", file=sys.stderr) + print("ERROR: Please locate your office installation and send your feedback to:", file=sys.stderr) -+ print(" http://github.com/dagwieers/unoconv/issues", file=sys.stderr) ++ print(" https://github.com/dagwieers/unoconv/issues", file=sys.stderr) sys.exit(1) ### Now that we have found a working pyuno library, let's import some classes diff --git a/nixpkgs/pkgs/tools/typesetting/multimarkdown/default.nix b/nixpkgs/pkgs/tools/typesetting/multimarkdown/default.nix index d7522969b4c7..3a7d7be006a1 100644 --- a/nixpkgs/pkgs/tools/typesetting/multimarkdown/default.nix +++ b/nixpkgs/pkgs/tools/typesetting/multimarkdown/default.nix @@ -42,10 +42,10 @@ stdenv.mkDerivation rec { glossary entries (LaTeX only) document metadata (e.g. title, author, date, etc.) ''; - homepage = http://fletcherpenney.net/multimarkdown/; + homepage = https://fletcherpenney.net/multimarkdown/; # licensed under GPLv2+ or MIT: # https://raw.githubusercontent.com/fletcher/MultiMarkdown-4/master/LICENSE - license = with stdenv.lib.licenses; [ gpl2Plus ]; + license = with stdenv.lib.licenses; [ gpl2Plus mit ]; platforms = platforms.all; maintainers = with stdenv.lib.maintainers; [ lowfatcomputing ]; }; diff --git a/nixpkgs/pkgs/tools/virtualization/cloud-init/default.nix b/nixpkgs/pkgs/tools/virtualization/cloud-init/default.nix index 5e2f84dee1d2..178720436a6f 100644 --- a/nixpkgs/pkgs/tools/virtualization/cloud-init/default.nix +++ b/nixpkgs/pkgs/tools/virtualization/cloud-init/default.nix @@ -36,7 +36,7 @@ in pythonPackages.buildPythonApplication rec { doCheck = false; meta = { - homepage = http://cloudinit.readthedocs.org; + homepage = https://cloudinit.readthedocs.org; description = "Provides configuration and customization of cloud instance"; maintainers = [ lib.maintainers.madjar lib.maintainers.phile314 ]; platforms = lib.platforms.all; diff --git a/nixpkgs/pkgs/top-level/aliases.nix b/nixpkgs/pkgs/top-level/aliases.nix index 1b6b362d975c..ada0de74be71 100644 --- a/nixpkgs/pkgs/top-level/aliases.nix +++ b/nixpkgs/pkgs/top-level/aliases.nix @@ -253,7 +253,6 @@ mapAliases ({ postgis = postgresqlPackages.postgis; # end procps-ng = procps; # added 2018-06-08 - prometheus-statsd-bridge = prometheus-statsd-exporter; # added 2017-08-27 pulseaudioLight = pulseaudio; # added 2018-04-25 qca-qt5 = libsForQt5.qca-qt5; # added 2015-12-19 qt_gstreamer = qt-gstreamer; # added 2017-02 diff --git a/nixpkgs/pkgs/top-level/all-packages.nix b/nixpkgs/pkgs/top-level/all-packages.nix index c8d951f6cdaf..e31c00067cfe 100644 --- a/nixpkgs/pkgs/top-level/all-packages.nix +++ b/nixpkgs/pkgs/top-level/all-packages.nix @@ -666,6 +666,10 @@ in bcachefs-tools = callPackage ../tools/filesystems/bcachefs-tools { }; + bitwarden_rs = callPackage ../tools/security/bitwarden_rs { }; + + bitwarden_rs-vault = callPackage ../tools/security/bitwarden_rs/vault.nix { }; + bitwarden-cli = callPackage ../tools/security/bitwarden-cli { }; bmap-tools = callPackage ../tools/misc/bmap-tools { }; @@ -683,6 +687,11 @@ in chezmoi = callPackage ../tools/misc/chezmoi { }; + chipsec = callPackage ../tools/security/chipsec { + kernel = null; + withDriver = false; + }; + clair = callPackage ../tools/admin/clair { }; cloud-sql-proxy = callPackage ../tools/misc/cloud-sql-proxy { }; @@ -697,6 +706,8 @@ in cozy = callPackage ../applications/audio/cozy-audiobooks { }; + crumbs = callPackage ../applications/misc/crumbs { }; + deskew = callPackage ../applications/graphics/deskew { }; detect-secrets = python3Packages.callPackage ../development/tools/detect-secrets { }; @@ -877,6 +888,8 @@ in autojump = callPackage ../tools/misc/autojump { }; + automysqlbackup = callPackage ../tools/backup/automysqlbackup { }; + autorandr = callPackage ../tools/misc/autorandr {}; avahi = callPackage ../development/libraries/avahi (config.avahi or {}); @@ -915,6 +928,8 @@ in bar = callPackage ../tools/system/bar {}; + base16-shell-preview = callPackage ../misc/base16-shell-preview { }; + base16-builder = callPackage ../misc/base16-builder { }; basex = callPackage ../tools/text/xml/basex { }; @@ -1324,6 +1339,8 @@ in deja-dup = callPackage ../applications/backup/deja-dup { }; + dejsonlz4 = callPackage ../tools/compression/dejsonlz4 { }; + desync = callPackage ../applications/networking/sync/desync { }; devmem2 = callPackage ../os-specific/linux/devmem2 { }; @@ -1407,6 +1424,8 @@ in elementary-xfce-icon-theme = callPackage ../data/icons/elementary-xfce-icon-theme { }; + ell = callPackage ../os-specific/linux/ell { }; + elm-github-install = callPackage ../tools/package-management/elm-github-install { }; emby = callPackage ../servers/emby { }; @@ -1607,6 +1626,8 @@ in long-shebang = callPackage ../misc/long-shebang {}; + numatop = callPackage ../os-specific/linux/numatop { }; + iio-sensor-proxy = callPackage ../os-specific/linux/iio-sensor-proxy { }; ipvsadm = callPackage ../os-specific/linux/ipvsadm { }; @@ -2127,7 +2148,7 @@ in mozc = callPackage ../tools/inputmethods/ibus-engines/ibus-mozc rec { python = python2; inherit (python2Packages) gyp; - protobuf = pkgs.protobuf3_6.overrideDerivation (oldAttrs: { stdenv = clangStdenv; }); + protobuf = pkgs.protobuf.overrideDerivation (oldAttrs: { stdenv = clangStdenv; }); }; table = callPackage ../tools/inputmethods/ibus-engines/ibus-table { @@ -2200,6 +2221,8 @@ in cocoapods = callPackage ../development/mobile/cocoapods { }; + cocoapods-beta = lowPrio (callPackage ../development/mobile/cocoapods { beta = true; }); + compass = callPackage ../development/tools/compass { }; conda = callPackage ../tools/package-management/conda { }; @@ -2553,6 +2576,8 @@ in inherit (pythonPackages) sphinx; }; + wallutils = callPackage ../tools/graphics/wallutils { }; + wl-clipboard = callPackage ../tools/misc/wl-clipboard { }; zabbix-cli = callPackage ../tools/misc/zabbix-cli { }; @@ -2567,7 +2592,7 @@ in cholmod-extra = callPackage ../development/libraries/science/math/cholmod-extra { }; - emscriptenVersion = "1.37.36"; + emscriptenVersion = "1.38.28"; emscripten = callPackage ../development/compilers/emscripten { }; @@ -2734,7 +2759,7 @@ in mozc = callPackage ../tools/inputmethods/fcitx-engines/fcitx-mozc rec { python = python2; inherit (python2Packages) gyp; - protobuf = pkgs.protobuf.overrideDerivation (oldAttrs: { stdenv = clangStdenv; }); + protobuf = pkgs.protobuf3_6.overrideDerivation (oldAttrs: { stdenv = clangStdenv; }); }; table-extra = callPackage ../tools/inputmethods/fcitx-engines/fcitx-table-extra { }; @@ -3720,6 +3745,8 @@ in john = callPackage ../tools/security/john { }; + joplin = nodePackages.joplin; + joplin-desktop = callPackage ../applications/misc/joplin-desktop { }; journalbeat = callPackage ../tools/system/journalbeat { }; @@ -3854,6 +3881,8 @@ in k6 = callPackage ../development/tools/k6 { }; + lalezar-fonts = callPackage ../data/fonts/lalezar-fonts { }; + ldc = callPackage ../development/compilers/ldc { }; lbreakout2 = callPackage ../games/lbreakout2 { }; @@ -5024,6 +5053,7 @@ in pinentry = callPackage ../tools/security/pinentry { libcap = if stdenv.isDarwin then null else libcap; + gcr = null; }; pinentry_ncurses = res.pinentry.override { @@ -5079,6 +5109,7 @@ in playbar2 = libsForQt5.callPackage ../applications/audio/playbar2 { }; plex = callPackage ../servers/plex { }; + plexRaw = callPackage ../servers/plex/raw.nix { }; tautulli = callPackage ../servers/tautulli { python = python2; }; @@ -6286,7 +6317,7 @@ in wal_e = callPackage ../tools/backup/wal-e { }; watchexec = callPackage ../tools/misc/watchexec { - inherit (darwin.apple_sdk.frameworks) CoreServices CoreFoundation; + inherit (darwin.apple_sdk.frameworks) CoreServices; }; watchman = callPackage ../development/tools/watchman { @@ -6638,6 +6669,8 @@ in xurls = callPackage ../tools/text/xurls {}; + xv = callPackage ../tools/misc/xv {}; + xvfb_run = callPackage ../tools/misc/xvfb-run { inherit (texFunctions) fontsConf; }; xvkbd = callPackage ../tools/X11/xvkbd {}; @@ -7746,6 +7779,7 @@ in cargo-download = callPackage ../tools/package-management/cargo-download { }; cargo-edit = callPackage ../tools/package-management/cargo-edit { }; + cargo-outdated = callPackage ../tools/package-management/cargo-outdated {}; cargo-release = callPackage ../tools/package-management/cargo-release { inherit (darwin.apple_sdk.frameworks) Security; }; @@ -7755,6 +7789,7 @@ in cargo-asm = callPackage ../development/tools/rust/cargo-asm { inherit (darwin.apple_sdk.frameworks) Security; }; + cargo-bloat = callPackage ../development/tools/rust/cargo-bloat { }; cargo-expand = callPackage ../development/tools/rust/cargo-expand { }; cargo-fuzz = callPackage ../development/tools/rust/cargo-fuzz { }; cargo-xbuild = callPackage ../development/tools/rust/cargo-xbuild { }; @@ -8919,6 +8954,8 @@ in eresi = callPackage ../development/tools/analysis/eresi { }; + evmdis = callPackage ../development/tools/analysis/evmdis { }; + eweb = callPackage ../development/tools/literate-programming/eweb { }; eztrace = callPackage ../development/tools/profiling/EZTrace { }; @@ -9013,6 +9050,8 @@ in grail = callPackage ../development/libraries/grail { }; + graphene-hardened-malloc = callPackage ../development/libraries/graphene-hardened-malloc { }; + gtk-doc = callPackage ../development/tools/documentation/gtk-doc { }; gtkdialog = callPackage ../development/tools/misc/gtkdialog { }; @@ -9173,6 +9212,8 @@ in moby = callPackage ../development/tools/misc/moby { }; + modd = callPackage ../development/tools/modd { }; + msgpack-tools = callPackage ../development/tools/msgpack-tools { }; msgpuck = callPackage ../development/libraries/msgpuck { }; @@ -9566,6 +9607,8 @@ in aalib = callPackage ../development/libraries/aalib { }; + abseil-cpp = callPackage ../development/libraries/abseil-cpp { }; + accountsservice = callPackage ../development/libraries/accountsservice { }; acl = callPackage ../development/libraries/acl { }; @@ -9861,6 +9904,8 @@ in curlcpp = callPackage ../development/libraries/curlcpp { }; + curlpp = callPackage ../development/libraries/curlpp { }; + cutee = callPackage ../development/libraries/cutee { }; cutelyst = libsForQt5.callPackage ../development/libraries/cutelyst { }; @@ -10465,6 +10510,8 @@ in glib-networking = callPackage ../development/libraries/glib-networking {}; + gom = callPackage ../development/libraries/gom { }; + ace = callPackage ../development/libraries/ace { }; atk = callPackage ../development/libraries/atk { }; @@ -11136,6 +11183,8 @@ in libiio = callPackage ../development/libraries/libiio { }; + liburing = callPackage ../development/libraries/liburing { }; + libseccomp = callPackage ../development/libraries/libseccomp { }; libsecret = callPackage ../development/libraries/libsecret { }; @@ -11229,6 +11278,8 @@ in libguestfs-appliance = callPackage ../development/libraries/libguestfs/appliance.nix {}; libguestfs = callPackage ../development/libraries/libguestfs { }; + libguestfs-with-appliance = libguestfs.override { appliance = libguestfs-appliance; }; + libhangul = callPackage ../development/libraries/libhangul { }; @@ -12781,6 +12832,8 @@ in selinux-sandbox = callPackage ../os-specific/linux/selinux-sandbox { }; + seasocks = callPackage ../development/libraries/seasocks { }; + serd = callPackage ../development/libraries/serd {}; serf = callPackage ../development/libraries/serf {}; @@ -14256,6 +14309,8 @@ in timescaledb-parallel-copy = callPackage ../development/tools/database/timescaledb-parallel-copy { }; + timescaledb-tune = callPackage ../development/tools/database/timescaledb-tune { }; + inherit (import ../servers/sql/postgresql pkgs) postgresql_9_4 postgresql_9_5 @@ -14300,7 +14355,7 @@ in buildGoPackage = buildGo110Package; }; prometheus-tor-exporter = callPackage ../servers/monitoring/prometheus/tor-exporter.nix { }; - prometheus-statsd-exporter = callPackage ../servers/monitoring/prometheus/statsd-bridge.nix { }; + prometheus-statsd-exporter = callPackage ../servers/monitoring/prometheus/statsd-exporter.nix { }; prometheus-surfboard-exporter = callPackage ../servers/monitoring/prometheus/surfboard-exporter.nix { }; prometheus-unifi-exporter = callPackage ../servers/monitoring/prometheus/unifi-exporter { }; prometheus-varnish-exporter = callPackage ../servers/monitoring/prometheus/varnish-exporter.nix { }; @@ -14876,6 +14931,8 @@ in openisns = callPackage ../os-specific/linux/open-isns { }; + osxfuse = callPackage ../os-specific/darwin/osxfuse { }; + powerstat = callPackage ../os-specific/linux/powerstat { }; smemstat = callPackage ../os-specific/linux/smemstat { }; @@ -15027,6 +15084,11 @@ in blcr = callPackage ../os-specific/linux/blcr { }; + chipsec = callPackage ../tools/security/chipsec { + inherit kernel; + withDriver = true; + }; + cryptodev = callPackage ../os-specific/linux/cryptodev { }; cpupower = callPackage ../os-specific/linux/cpupower { }; @@ -15397,9 +15459,7 @@ in gomodifytags = callPackage ../development/tools/gomodifytags { }; - go-langserver = callPackage ../development/tools/go-langserver { - buildGoPackage = buildGo110Package; - }; + go-langserver = callPackage ../development/tools/go-langserver { }; gotests = callPackage ../development/tools/gotests { }; @@ -15630,6 +15690,7 @@ in ubootTools ubootA20OlinuxinoLime ubootBananaPi + ubootBananaPim64 ubootBeagleboneBlack ubootClearfog ubootGuruplug @@ -16760,8 +16821,6 @@ in amdappsdk = amdappsdk28; }; - chatzilla = callPackage ../applications/networking/irc/chatzilla { }; - chirp = callPackage ../applications/radio/chirp { inherit (pythonPackages) pyserial pygtk; }; @@ -18895,6 +18954,8 @@ in shogun = callPackage ../applications/science/machine-learning/shogun { }; + sky = callPackage ../applications/networking/instant-messengers/sky {}; + smplayer = libsForQt5.callPackage ../applications/video/smplayer { }; smtube = libsForQt5.callPackage ../applications/video/smtube {}; @@ -19856,6 +19917,10 @@ in bison = bison2; }; + stretchly = callPackage ../applications/misc/stretchly { + inherit (gnome2) GConf; + }; + stumpish = callPackage ../applications/window-managers/stumpish {}; stumpwm = callPackage ../applications/window-managers/stumpwm { @@ -19875,6 +19940,10 @@ in sublime3-dev = sublime3Packages.sublime3-dev; + inherit (callPackage ../applications/version-management/sublime-merge {}) + sublime-merge + sublime-merge-dev; + inherit (callPackages ../applications/version-management/subversion { sasl = cyrus_sasl; }) subversion18 subversion19 subversion_1_10 subversion_1_11; @@ -21363,6 +21432,8 @@ in }; }; + riko4 = callPackage ../games/riko4 { }; + rili = callPackage ../games/rili { }; rimshot = callPackage ../games/rimshot { love = love_0_7; }; @@ -21892,6 +21963,8 @@ in ezminc = callPackage ../applications/science/biology/EZminc { }; + exonerate = callPackage ../applications/science/biology/exonerate { }; + hisat2 = callPackage ../applications/science/biology/hisat2 { }; htslib = callPackage ../development/libraries/science/biology/htslib { }; @@ -21958,6 +22031,8 @@ in plink-ng = callPackage ../applications/science/biology/plink-ng { }; + prodigal = callPackage ../applications/science/biology/prodigal { }; + raxml = callPackage ../applications/science/biology/raxml { }; raxml-mpi = appendToName "mpi" (raxml.override { @@ -21979,6 +22054,11 @@ in strelka = callPackage ../applications/science/biology/strelka { }; + inherit (callPackages ../applications/science/biology/sumatools {}) + sumalibs + sumaclust + sumatra; + seaview = callPackage ../applications/science/biology/seaview { }; varscan = callPackage ../applications/science/biology/varscan { }; @@ -22076,7 +22156,9 @@ in nauty = callPackage ../applications/science/math/nauty {}; - or-tools = callPackage ../development/libraries/science/math/or-tools {}; + or-tools = callPackage ../development/libraries/science/math/or-tools { + pythonProtobuf = pythonPackages.protobuf; + }; rubiks = callPackage ../development/libraries/science/math/rubiks { }; @@ -22168,6 +22250,8 @@ in dafny = dotnetPackages.Dafny; + groove = callPackage ../applications/science/programming/groove { }; + plm = callPackage ../applications/science/programming/plm { }; scyther = callPackage ../applications/science/programming/scyther { }; @@ -22383,6 +22467,8 @@ in gtkwave = callPackage ../applications/science/electronics/gtkwave { }; + fped = callPackage ../applications/science/electronics/fped { }; + kicad = callPackage ../applications/science/electronics/kicad { wxGTK = wxGTK30; boost = boost160; @@ -22924,6 +23010,8 @@ in nixops = callPackage ../tools/package-management/nixops { }; + nixops_1_6_1 = callPackage ../tools/package-management/nixops/nixops-v1_6_1.nix {}; + nixopsUnstable = lowPrio (callPackage ../tools/package-management/nixops/unstable.nix { }); nixops-dns = callPackage ../tools/package-management/nixops/nixops-dns.nix { }; @@ -23343,6 +23431,8 @@ in terragrunt = callPackage ../applications/networking/cluster/terragrunt {}; + tilt = callPackage ../applications/networking/cluster/tilt {}; + tetex = callPackage ../tools/typesetting/tex/tetex { libpng = libpng12; }; tetra-gtk-theme = callPackage ../misc/themes/tetra { }; diff --git a/nixpkgs/pkgs/top-level/dotnet-packages.nix b/nixpkgs/pkgs/top-level/dotnet-packages.nix index b8aa2cb9bb99..ea4a0962a43d 100644 --- a/nixpkgs/pkgs/top-level/dotnet-packages.nix +++ b/nixpkgs/pkgs/top-level/dotnet-packages.nix @@ -402,7 +402,7 @@ let self = dotnetPackages // overrides; dotnetPackages = with self; { meta = with stdenv.lib; { description = "A programming language with built-in specification constructs"; - homepage = "http://research.microsoft.com/dafny"; + homepage = "https://research.microsoft.com/dafny"; maintainers = with maintainers; [ layus ]; license = licenses.mit; platforms = with platforms; (linux ++ darwin); @@ -563,7 +563,7 @@ let self = dotnetPackages // overrides; dotnetPackages = with self; { meta = { description = "The F# compiler services package is a component derived from the F# compiler source code that exposes additional functionality for implementing F# language bindings"; - homepage = "http://fsharp.github.io/FSharp.Compiler.Service/"; + homepage = "https://fsharp.github.io/FSharp.Compiler.Service/"; license = stdenv.lib.licenses.asl20; maintainers = with stdenv.lib.maintainers; [ obadz ]; platforms = with stdenv.lib.platforms; linux; @@ -607,7 +607,7 @@ let self = dotnetPackages // overrides; dotnetPackages = with self; { meta = { description = "F# Data: Library for Data Access"; - homepage = "http://fsharp.github.io/FSharp.Data/"; + homepage = "https://fsharp.github.io/FSharp.Data/"; license = stdenv.lib.licenses.asl20; maintainers = with stdenv.lib.maintainers; [ obadz ]; platforms = with stdenv.lib.platforms; linux; @@ -639,7 +639,7 @@ let self = dotnetPackages // overrides; dotnetPackages = with self; { # # meta = { # description = "FSharpx.Extras is a collection of libraries and tools for use with F#"; - # homepage = "http://fsprojects.github.io/FSharpx.Extras/"; + # homepage = "https://fsprojects.github.io/FSharpx.Extras/"; # license = stdenv.lib.licenses.asl20; # maintainers = with stdenv.lib.maintainers; [ obadz ]; # platforms = with stdenv.lib.platforms; linux; @@ -741,7 +741,7 @@ let self = dotnetPackages // overrides; dotnetPackages = with self; { meta = { description = "A generic framework for creating extensible applications"; - homepage = http://www.mono-project.com/Mono.Addins; + homepage = https://www.mono-project.com/Mono.Addins; longDescription = '' A generic framework for creating extensible applications, and for creating libraries which extend those applications. @@ -927,7 +927,7 @@ let self = dotnetPackages // overrides; dotnetPackages = with self; { meta = { description = "A declarative CLI argument/XML configuration parser for F# applications"; - homepage = http://nessos.github.io/UnionArgParser/; + homepage = https://nessos.github.io/UnionArgParser/; license = stdenv.lib.licenses.mit; maintainers = with stdenv.lib.maintainers; [ obadz ]; platforms = with stdenv.lib.platforms; linux; diff --git a/nixpkgs/pkgs/top-level/impure.nix b/nixpkgs/pkgs/top-level/impure.nix index b0532ceb5db4..da288f15d2e2 100644 --- a/nixpkgs/pkgs/top-level/impure.nix +++ b/nixpkgs/pkgs/top-level/impure.nix @@ -72,6 +72,8 @@ in else overlays homeOverlaysDir else [] +, crossOverlays ? [] + , ... } @ args: @@ -80,7 +82,7 @@ in assert args ? localSystem -> !(args ? system || args ? platform); import ./. (builtins.removeAttrs args [ "system" "platform" ] // { - inherit config overlays crossSystem; + inherit config overlays crossSystem crossOverlays; # Fallback: Assume we are building packages on the current (build, in GNU # Autotools parlance) system. localSystem = (if args ? localSystem then {} diff --git a/nixpkgs/pkgs/top-level/lua-packages.nix b/nixpkgs/pkgs/top-level/lua-packages.nix index 9d0a607dfc06..20773fb66d32 100644 --- a/nixpkgs/pkgs/top-level/lua-packages.nix +++ b/nixpkgs/pkgs/top-level/lua-packages.nix @@ -177,6 +177,9 @@ with self; { preConfigure = ''export prefix=$out''; + # https://github.com/wahern/cqueues/issues/216 + NIX_CFLAGS_COMPILE = [ "-DCQUEUES_VERSION=${version}" ]; + nativeBuildInputs = [ gnum4 ]; buildInputs = [ openssl ]; diff --git a/nixpkgs/pkgs/top-level/ocaml-packages.nix b/nixpkgs/pkgs/top-level/ocaml-packages.nix index f79f6ea3c0ca..c0089186275f 100644 --- a/nixpkgs/pkgs/top-level/ocaml-packages.nix +++ b/nixpkgs/pkgs/top-level/ocaml-packages.nix @@ -67,7 +67,7 @@ let }; }; }; - uri = uri.override { + uri = uri_1_9.override { inherit (janeStreet_0_9_0) ppx_sexp_conv sexplib; }; }; @@ -152,9 +152,7 @@ let cohttp = if lib.versionOlder "4.03" ocaml.version - then callPackage ../development/ocaml-modules/cohttp { - base64 = base64_2; - } + then callPackage ../development/ocaml-modules/cohttp { } else cohttp_p4; cohttp-lwt = callPackage ../development/ocaml-modules/cohttp/lwt.nix { }; @@ -757,6 +755,10 @@ let then callPackage ../development/ocaml-modules/uri { } else callPackage ../development/ocaml-modules/uri/legacy.nix { }; + uri_1_9 = callPackage ../development/ocaml-modules/uri { + legacy = true; + }; + uri_p4 = callPackage ../development/ocaml-modules/uri/legacy.nix { legacyVersion = true; }; diff --git a/nixpkgs/pkgs/top-level/perl-packages.nix b/nixpkgs/pkgs/top-level/perl-packages.nix index 5c9e8f288a60..c5f9fcc10ba3 100644 --- a/nixpkgs/pkgs/top-level/perl-packages.nix +++ b/nixpkgs/pkgs/top-level/perl-packages.nix @@ -5105,7 +5105,6 @@ let url = "mirror://cpan/authors/id/D/DA/DANKOGAI/${name}.tar.gz"; sha256 = "4b538b47459cf5747b7395ccc8c8c9b3b661cc016c50b8a67e10fe19590fea5e"; }; - postInstall = "rm $out/bin/{enc2xs,encguess,piconv} $out/share/man/man1/{enc2xs,encguess,piconv}.1"; # remove the files perl-5.28 already has meta = { description = "Character encodings in Perl"; license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; @@ -5744,7 +5743,7 @@ let }; propagatedBuildInputs = [ FileFindObject NumberCompare TextGlob ]; meta = { - homepage = http://www.shlomifish.org/open-source/projects/File-Find-Object/; + homepage = https://www.shlomifish.org/open-source/projects/File-Find-Object/; description = "Alternative interface to File::Find::Object"; license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; }; @@ -8187,7 +8186,7 @@ let }; outputs = [ "out" ]; buildInputs = [ pkgs.apacheHttpd pkgs.apr pkgs.aprutil ApacheTest ExtUtilsXSBuilder ]; - propagatedBuildInputs = [ mod_perl2 ]; + propagatedBuildInputs = [ (pkgs.apacheHttpdPackages.mod_perl.override { inherit perl; }) ]; makeMakerFlags = "--with-apache2-src=${pkgs.apacheHttpd.dev} --with-apache2-apxs=${pkgs.apacheHttpd.dev}/bin/apxs --with-apache2-httpd=${pkgs.apacheHttpd.out}/bin/httpd --with-apr-config=${pkgs.apr.dev}/bin/apr-1-config --with-apu-config=${pkgs.aprutil.dev}/bin/apu-1-config"; preConfigure = '' # override broken prereq check @@ -8198,11 +8197,30 @@ let ''; installPhase = '' mkdir $out + + # install the library make install DESTDIR=$out cp -r $out/${pkgs.apacheHttpd.dev}/. $out/. cp -r $out/$out/. $out/. + + # install the perl module + pushd glue/perl + perl Makefile.PL + make install DESTDIR=$out + cp -r $out/${perl}/lib/perl5 $out/lib/ + popd + + # install the apache module + # https://computergod.typepad.com/home/2007/06/webgui_and_suse.html + # NOTE: if using the apache module you must use "apreq" as the module name, not "apreq2" + # services.httpd.extraModules = [ { name = "apreq"; path = "''${pkgs.perlPackages.libapreq2}/modules/mod_apreq2.so"; } ]; + pushd module + make install DESTDIR=$out + cp -r $out/${pkgs.apacheHttpd.out}/modules $out/ + popd + rm -r $out/nix - ''; + ''; doCheck = false; # test would need to start apache httpd meta = { license = stdenv.lib.licenses.asl20; @@ -8461,6 +8479,20 @@ let }; }; + LinuxFD = buildPerlModule rec { + name = "Linux-FD-0.011"; + src = fetchurl { + url = "mirror://cpan/authors/id/L/LE/LEONT/${name}.tar.gz"; + sha256 = "6bb579d47644cb0ed35626ff77e909ae69063073c6ac09aa0614fef00fa37356"; + }; + buildInputs = [ ModuleBuild TestException ]; + propagatedBuildInputs = [ SubExporter ]; + meta = { + description = "Linux specific special filehandles"; + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + }; + }; + LinuxInotify2 = buildPerlPackage rec { name = "Linux-Inotify2-2.1"; src = fetchurl { @@ -14502,7 +14534,7 @@ let }; buildInputs = [ TestRun TestRunCmdLine TestRunPluginAlternateInterpreters TestRunPluginBreakOnFailure TestRunPluginColorFileVerdicts TestRunPluginColorSummary TestRunPluginTrimDisplayedFilenames ]; meta = { - homepage = http://web-cpan.shlomifish.org/modules/Test-Run/; + homepage = https://web-cpan.shlomifish.org/modules/Test-Run/; description = "Specifications for installing all the Test::Run"; license = stdenv.lib.licenses.mit; }; @@ -15686,7 +15718,7 @@ let buildInputs = [ TestTrap ]; propagatedBuildInputs = [ IPCSystemSimple ListMoreUtils MooseXStrictConstructor TextSprintfNamed UNIVERSALrequire ]; meta = { - homepage = http://web-cpan.shlomifish.org/modules/Test-Run/; + homepage = https://web-cpan.shlomifish.org/modules/Test-Run/; description = "Base class to run standard TAP scripts"; license = stdenv.lib.licenses.mit; }; @@ -15717,7 +15749,7 @@ let buildInputs = [ TestRun TestRunCmdLine TestTrap YAMLLibYAML ]; propagatedBuildInputs = [ Moose ]; meta = { - homepage = http://web-cpan.shlomifish.org/modules/Test-Run/; + homepage = https://web-cpan.shlomifish.org/modules/Test-Run/; description = "Define different interpreters for different test scripts with Test::Run"; license = stdenv.lib.licenses.mit; }; @@ -15732,7 +15764,7 @@ let buildInputs = [ TestRun TestRunCmdLine TestTrap YAMLLibYAML ]; propagatedBuildInputs = [ Moose ]; meta = { - homepage = http://web-cpan.shlomifish.org/modules/Test-Run/; + homepage = https://web-cpan.shlomifish.org/modules/Test-Run/; description = "Stop processing the entire test suite"; license = stdenv.lib.licenses.mit; }; @@ -15749,7 +15781,7 @@ let moreInputs = [ TestTrap ]; # Added because tests were failing without it doCheck=true; meta = { - homepage = http://web-cpan.shlomifish.org/modules/Test-Run/; + homepage = https://web-cpan.shlomifish.org/modules/Test-Run/; description = "Make the file verdict ('ok', 'NOT OK')"; license = stdenv.lib.licenses.mit; }; @@ -15765,7 +15797,7 @@ let moreInputs = [ TestTrap ]; # Added because tests were failing without it doCheck=true; meta = { - homepage = http://web-cpan.shlomifish.org/modules/Test-Run/; + homepage = https://web-cpan.shlomifish.org/modules/Test-Run/; description = "A Test::Run plugin that"; license = stdenv.lib.licenses.mit; }; @@ -15780,7 +15812,7 @@ let buildInputs = [ TestRun TestRunCmdLine TestTrap YAMLLibYAML ]; propagatedBuildInputs = [ Moose ]; meta = { - homepage = http://web-cpan.shlomifish.org/modules/Test-Run/; + homepage = https://web-cpan.shlomifish.org/modules/Test-Run/; description = "Trim the first components"; license = stdenv.lib.licenses.mit; }; @@ -16218,7 +16250,7 @@ let sha256 = "bb8a3b8ff515c85101baf553a769337f944a05cde81f111ae78aff416bf4ae2b"; }; meta = { - homepage = http://www.shlomifish.org/open-source/projects/Text-Format/; + homepage = https://www.shlomifish.org/open-source/projects/Text-Format/; description = "Format text"; license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; maintainers = with maintainers; [ bcdarwin ]; @@ -16436,7 +16468,7 @@ let }; propagatedBuildInputs = [ TextAligner ]; meta = { - homepage = http://www.shlomifish.org/open-source/projects/docmake/; + homepage = https://www.shlomifish.org/open-source/projects/docmake/; description = "Organize Data in Tables"; license = stdenv.lib.licenses.isc; }; diff --git a/nixpkgs/pkgs/top-level/php-packages.nix b/nixpkgs/pkgs/top-level/php-packages.nix index c2a268b8bfed..2cbdd0aee5c0 100644 --- a/nixpkgs/pkgs/top-level/php-packages.nix +++ b/nixpkgs/pkgs/top-level/php-packages.nix @@ -7,11 +7,20 @@ let inherit (pkgs) stdenv autoreconfHook fetchurl; }; + # Wrap mkDerivation to prepend pname with "php-" to make names consistent + # with how buildPecl does it and make the file easier to overview. + mkDerivation = { pname, ... }@args: pkgs.stdenv.mkDerivation (args // { + pname = "php-${pname}"; + }); + isPhp73 = pkgs.lib.versionAtLeast php.version "7.3"; - apcu = buildPecl { - name = "apcu-5.1.17"; + apcu = buildPecl rec { + version = "5.1.17"; + pname = "apcu"; + sha256 = "14y7alvj5q17q1b544bxidavkn6i40cjbq2nv1m0k70ai5vv84bb"; + buildInputs = [ (if isPhp73 then pkgs.pcre2 else pkgs.pcre) ]; doCheck = true; checkTarget = "test"; @@ -20,21 +29,81 @@ let outputs = [ "out" "dev" ]; }; - apcu_bc = buildPecl { - name = "apcu_bc-1.0.5"; + apcu_bc = buildPecl rec { + version = "1.0.5"; + pname = "apcu_bc"; + sha256 = "0ma00syhk2ps9k9p02jz7rii6x3i2p986il23703zz5npd6y9n20"; + buildInputs = [ apcu (if isPhp73 then pkgs.pcre2 else pkgs.pcre) ]; }; - ast = buildPecl { - name = "ast-1.0.0"; + ast = buildPecl rec { + version = "1.0.1"; + pname = "ast"; + + sha256 = "0ja74k2lmxwhhvp9y9kc7khijd7s2dqma5x8ghbhx9ajkn0wg8iq"; + }; + + box = mkDerivation rec { + version = "2.7.5"; + pname = "box"; + + src = pkgs.fetchurl { + url = "https://github.com/box-project/box2/releases/download/${version}/box-${version}.phar"; + sha256 = "1zmxdadrv0i2l8cz7xb38gnfmfyljpsaz2nnkjzqzksdmncbgd18"; + }; + + phases = [ "installPhase" ]; + buildInputs = [ pkgs.makeWrapper ]; + + installPhase = '' + mkdir -p $out/bin + install -D $src $out/libexec/box/box.phar + makeWrapper ${php}/bin/php $out/bin/box \ + --add-flags "-d phar.readonly=0 $out/libexec/box/box.phar" + ''; + + meta = with pkgs.lib; { + description = "An application for building and managing Phars"; + license = licenses.mit; + homepage = https://box-project.github.io/box2/; + maintainers = with maintainers; [ jtojnar ]; + }; + }; + + composer = mkDerivation rec { + version = "1.8.5"; + pname = "composer"; + + src = pkgs.fetchurl { + url = "https://getcomposer.org/download/${version}/composer.phar"; + sha256 = "05qfgh2dz8pjf47ndyhkicqbnqzwypk90cczd4c6d8jl9gbiqk2f"; + }; + + unpackPhase = ":"; + + nativeBuildInputs = [ pkgs.makeWrapper ]; + + installPhase = '' + mkdir -p $out/bin + install -D $src $out/libexec/composer/composer.phar + makeWrapper ${php}/bin/php $out/bin/composer \ + --add-flags "$out/libexec/composer/composer.phar" \ + --prefix PATH : ${pkgs.lib.makeBinPath [ pkgs.unzip ]} + ''; - sha256 = "0abccvwif1pih222lbj2z4cf9ibciz48xj35lfixyry163vabkck"; + meta = with pkgs.lib; { + description = "Dependency Manager for PHP"; + license = licenses.mit; + homepage = https://getcomposer.org/; + maintainers = with maintainers; [ globin offline ]; + }; }; couchbase = buildPecl rec { - name = "couchbase-${version}"; version = "2.6.0"; + pname = "couchbase"; buildInputs = [ pkgs.libcouchbase pkgs.zlib igbinary pcs ]; @@ -73,74 +142,43 @@ let ]; }; - php_excel = buildPecl rec { - name = "php_excel-${version}"; - version = "1.0.2"; - phpVersion = "php7"; - - buildInputs = [ pkgs.libxl ]; - - src = pkgs.fetchurl { - url = "https://github.com/iliaal/php_excel/releases/download/Excel-1.0.2-PHP7/excel-${version}-${phpVersion}.tgz"; - sha256 = "0dpvih9gpiyh1ml22zi7hi6kslkilzby00z1p8x248idylldzs2n"; - }; + igbinary = buildPecl rec { + version = "3.0.1"; + pname = "igbinary"; - configureFlags = [ "--with-excel" "--with-libxl-incdir=${pkgs.libxl}/include_c" "--with-libxl-libdir=${pkgs.libxl}/lib" ]; - }; - - igbinary = buildPecl { - name = "igbinary-2.0.8"; + sha256 = "1w8jmf1qpggdvq0ndfi86n7i7cqgh1s8q6hys2lijvi37rzn0nar"; configureFlags = [ "--enable-igbinary" ]; - makeFlags = [ "phpincludedir=$(dev)/include" ]; - outputs = [ "out" "dev" ]; - - sha256 = "105nyn703k9p9c7wwy6npq7xd9mczmmlhyn0gn2v2wz0f88spjxs"; }; - mailparse = assert !isPhp73; buildPecl { - name = "mailparse-3.0.2"; - - sha256 = "0fw447ralqihsjnn0fm2hkaj8343cvb90v0d1wfclgz49256y6nq"; - }; + imagick = buildPecl rec { + version = "3.4.3"; + pname = "imagick"; - imagick = buildPecl { - name = "imagick-3.4.3"; sha256 = "0z2nc92xfc5axa9f2dy95rmsd2c81q8cs1pm4anh0a50x9g5ng0z"; + configureFlags = [ "--with-imagick=${pkgs.imagemagick.dev}" ]; nativeBuildInputs = [ pkgs.pkgconfig ]; buildInputs = [ (if isPhp73 then pkgs.pcre2 else pkgs.pcre) ]; }; - memcached = if isPhp73 then memcached73 else memcached7; - - memcached7 = assert !isPhp73; buildPecl rec { - name = "memcached-php7"; + mailparse = assert !isPhp73; buildPecl rec { + version = "3.0.2"; + pname = "mailparse"; - src = fetchgit { - url = "https://github.com/php-memcached-dev/php-memcached"; - rev = "e573a6e8fc815f12153d2afd561fc84f74811e2f"; - sha256 = "0asfi6rsspbwbxhwmkxxnapd8w01xvfmwr1n9qsr2pryfk0w6y07"; - }; - - configureFlags = [ - "--with-zlib-dir=${pkgs.zlib.dev}" - "--with-libmemcached-dir=${pkgs.libmemcached}" - ]; - - nativeBuildInputs = [ pkgs.pkgconfig ]; - buildInputs = with pkgs; [ cyrus_sasl zlib ]; + sha256 = "0fw447ralqihsjnn0fm2hkaj8343cvb90v0d1wfclgz49256y6nq"; }; - memcached73 = assert isPhp73; buildPecl rec { - name = "memcached-php73"; + memcached = buildPecl rec { + version = "3.1.3"; + pname = "memcached"; src = fetchgit { url = "https://github.com/php-memcached-dev/php-memcached"; - rev = "6d8f5d524f35e72422b9e81319b96f23af02adcc"; - sha256 = "1s1d5r3n2h9zys8sqvv52fld6jy21ki7cl0gbbvd9dixqc0lf1jh"; + rev = "v${version}"; + sha256 = "1w9g8k7bmq3nbzskskpsr5632gh9q75nqy7nkjdzgs17klq9khjk"; }; configureFlags = [ @@ -153,168 +191,38 @@ let }; oci8 = buildPecl rec { - name = "oci8-2.1.8"; - sha256 = "1bp6fss2f2qmd5bdk7x22j8vx5qivrdhz4x7csf29vjgj6gvchxy"; + version = "2.2.0"; + pname = "oci8"; + + sha256 = "0jhivxj1nkkza4h23z33y7xhffii60d7dr51h1czjk10qywl7pyd"; + buildInputs = [ pkgs.re2c pkgs.oracle-instantclient ]; configureFlags = [ "--with-oci8=shared,instantclient,${pkgs.oracle-instantclient}/lib" ]; }; pcs = buildPecl rec { - name = "pcs-1.3.3"; + version = "1.3.3"; + pname = "pcs"; sha256 = "0d4p1gpl8gkzdiv860qzxfz250ryf0wmjgyc8qcaaqgkdyh5jy5p"; }; - sqlsrv = buildPecl rec { - name = "sqlsrv-5.6.1"; - sha256 = "0ial621zxn9zvjh7k1h755sm2lc9aafc389yxksqcxcmm7kqmd0a"; - buildInputs = [ pkgs.unixODBC ]; - }; - pdo_sqlsrv = buildPecl rec { - name = "pdo_sqlsrv-5.6.1"; - sha256 = "02ill1iqffa5fha9iz4y91823scml24ikfk8pn90jyycfwv07x6a"; - buildInputs = [ pkgs.unixODBC ]; - }; - - xdebug = if isPhp73 then xdebug73 else xdebug7; - - xdebug7 = assert !isPhp73; buildPecl { - name = "xdebug-2.6.1"; - - sha256 = "0xxxy6n4lv7ghi9liqx133yskg07lw316vhcds43n1sjq3b93rns"; - - doCheck = true; - checkTarget = "test"; - }; - - xdebug73 = assert isPhp73; buildPecl { - name = "xdebug-2.7.0beta1"; - - sha256 = "1ghh14z55l4jklinkgjkfhkw53lp2r7lgmyh7q8kdnf7jnpwx84h"; - - doCheck = true; - checkTarget = "test"; - }; - - yaml = buildPecl { - name = "yaml-2.0.4"; - - sha256 = "1036zhc5yskdfymyk8jhwc34kvkvsn5kaf50336153v4dqwb11lp"; - - configureFlags = [ - "--with-yaml=${pkgs.libyaml}" - ]; - - nativeBuildInputs = [ pkgs.pkgconfig ]; - }; - - zmq = assert !isPhp73; buildPecl { - name = "zmq-1.1.3"; - - sha256 = "1kj487vllqj9720vlhfsmv32hs2dy2agp6176mav6ldx31c3g4n4"; - - configureFlags = [ - "--with-zmq=${pkgs.zeromq}" - ]; - - nativeBuildInputs = [ pkgs.pkgconfig ]; - }; - - pthreads = assert (pkgs.config.php.zts or false); buildPecl { - name = "pthreads-3.1.5"; - sha256 = "1ziap0py3zrc7qj9lw4nzq6wx1viyj8v9y1babchizzan014x6p5"; - meta.broken = true; - }; - - redis = buildPecl { - name = "redis-4.2.0"; - sha256 = "105k2rfz97svrqzdhd0a0668mn71c8v0j7hks95832fsvn5dhmbn"; - }; - - v8 = buildPecl rec { - version = "0.2.2"; - name = "v8-${version}"; - - sha256 = "103nys7zkpi1hifqp9miyl0m1mn07xqshw3sapyz365nb35g5q71"; - - buildInputs = [ pkgs.v8_6_x ]; - configureFlags = [ "--with-v8=${pkgs.v8_6_x}" ]; - }; - - v8js = assert !isPhp73; buildPecl rec { - version = "2.1.0"; - name = "v8js-${version}"; - - sha256 = "0g63dyhhicngbgqg34wl91nm3556vzdgkq19gy52gvmqj47rj6rg"; - - buildInputs = [ pkgs.v8_6_x ]; - configureFlags = [ "--with-v8js=${pkgs.v8_6_x}" ]; - }; - - composer = pkgs.stdenv.mkDerivation rec { - pname = "composer"; - version = "1.8.4"; + version = "5.6.1"; + pname = "pdo_sqlsrv"; - src = pkgs.fetchurl { - url = "https://getcomposer.org/download/${version}/composer.phar"; - sha256 = "12h5vqwhklxvwrplggzjl21n6kb972pwkj9ivmn2vbxyixn848hp"; - }; - - unpackPhase = ":"; - - nativeBuildInputs = [ pkgs.makeWrapper ]; - - installPhase = '' - mkdir -p $out/bin - install -D $src $out/libexec/composer/composer.phar - makeWrapper ${php}/bin/php $out/bin/composer \ - --add-flags "$out/libexec/composer/composer.phar" \ - --prefix PATH : ${pkgs.lib.makeBinPath [ pkgs.unzip ]} - ''; - - meta = with pkgs.lib; { - description = "Dependency Manager for PHP"; - license = licenses.mit; - homepage = https://getcomposer.org/; - maintainers = with maintainers; [ globin offline ]; - }; - }; - - box = pkgs.stdenv.mkDerivation rec { - name = "box-${version}"; - version = "2.7.5"; - - src = pkgs.fetchurl { - url = "https://github.com/box-project/box2/releases/download/${version}/box-${version}.phar"; - sha256 = "1zmxdadrv0i2l8cz7xb38gnfmfyljpsaz2nnkjzqzksdmncbgd18"; - }; - - phases = [ "installPhase" ]; - buildInputs = [ pkgs.makeWrapper ]; - - installPhase = '' - mkdir -p $out/bin - install -D $src $out/libexec/box/box.phar - makeWrapper ${php}/bin/php $out/bin/box \ - --add-flags "-d phar.readonly=0 $out/libexec/box/box.phar" - ''; + sha256 = "02ill1iqffa5fha9iz4y91823scml24ikfk8pn90jyycfwv07x6a"; - meta = with pkgs.lib; { - description = "An application for building and managing Phars"; - license = licenses.mit; - homepage = https://box-project.github.io/box2/; - maintainers = with maintainers; [ jtojnar ]; - }; + buildInputs = [ pkgs.unixODBC ]; }; - php-cs-fixer = pkgs.stdenv.mkDerivation rec { - name = "php-cs-fixer-${version}"; - version = "2.14.0"; + php-cs-fixer = mkDerivation rec { + version = "2.14.2"; + pname = "php-cs-fixer"; src = pkgs.fetchurl { url = "https://github.com/FriendsOfPHP/PHP-CS-Fixer/releases/download/v${version}/php-cs-fixer.phar"; - sha256 = "0ap5bhm1h2ldyzlch7bz5n3jj2bpm4wd6bzw51g414pk9vksswc1"; + sha256 = "1d5msgrkiim8iwkkrq3m1cnx7wfi96m1qs6rbh279kw5ysvzkaj9"; }; phases = [ "installPhase" ]; @@ -335,9 +243,9 @@ let }; }; - php-parallel-lint = pkgs.stdenv.mkDerivation rec { - name = "php-parallel-lint-${version}"; + php-parallel-lint = mkDerivation rec { version = "1.0.0"; + pname = "php-parallel-lint"; src = pkgs.fetchFromGitHub { owner = "JakubOnderka"; @@ -368,63 +276,79 @@ let }; }; - phpcs = pkgs.stdenv.mkDerivation rec { - pname = "phpcs"; - version = "3.4.1"; + php_excel = buildPecl rec { + version = "1.0.2"; + pname = "php_excel"; + phpVersion = "php7"; + + buildInputs = [ pkgs.libxl ]; src = pkgs.fetchurl { - url = "https://github.com/squizlabs/PHP_CodeSniffer/releases/download/${version}/phpcs.phar"; - sha256 = "07zwj8msy0awnrwmv3gcilbsj9jyrvxw0q523yf16ydv55422pl0"; + url = "https://github.com/iliaal/php_excel/releases/download/Excel-1.0.2-PHP7/excel-${version}-${phpVersion}.tgz"; + sha256 = "0dpvih9gpiyh1ml22zi7hi6kslkilzby00z1p8x248idylldzs2n"; + }; + + configureFlags = [ "--with-excel" "--with-libxl-incdir=${pkgs.libxl}/include_c" "--with-libxl-libdir=${pkgs.libxl}/lib" ]; + meta.broken = true; + }; + + phpcbf = mkDerivation rec { + version = "3.4.2"; + pname = "phpcbf"; + + src = pkgs.fetchurl { + url = "https://github.com/squizlabs/PHP_CodeSniffer/releases/download/${version}/phpcbf.phar"; + sha256 = "08s47r8i5dyjivk1q3nhrz40n6fx3zghrn5irsxfnx5nj9pb7ffp"; }; phases = [ "installPhase" ]; - buildInputs = [ pkgs.makeWrapper ]; + nativeBuildInputs = [ pkgs.makeWrapper ]; installPhase = '' mkdir -p $out/bin - install -D $src $out/libexec/phpcs/phpcs.phar - makeWrapper ${php}/bin/php $out/bin/phpcs \ - --add-flags "$out/libexec/phpcs/phpcs.phar" + install -D $src $out/libexec/phpcbf/phpcbf.phar + makeWrapper ${php}/bin/php $out/bin/phpcbf \ + --add-flags "$out/libexec/phpcbf/phpcbf.phar" ''; meta = with pkgs.lib; { - description = "PHP coding standard tool"; + description = "PHP coding standard beautifier and fixer"; license = licenses.bsd3; homepage = https://squizlabs.github.io/PHP_CodeSniffer/; - maintainers = with maintainers; [ javaguirre etu ]; + maintainers = with maintainers; [ cmcdragonkai etu ]; }; }; - phpcbf = pkgs.stdenv.mkDerivation rec { - pname = "phpcbf"; - version = "3.4.1"; + phpcs = mkDerivation rec { + version = "3.4.2"; + pname = "phpcs"; src = pkgs.fetchurl { - url = "https://github.com/squizlabs/PHP_CodeSniffer/releases/download/${version}/phpcbf.phar"; - sha256 = "052fsgzc39mfjy34mv1ip2qdghypsy218wfp8vh3a593pzkmzdcv"; + url = "https://github.com/squizlabs/PHP_CodeSniffer/releases/download/${version}/phpcs.phar"; + sha256 = "0hk9w5kn72z9xhswfmxilb2wk96vy07z4a1pwrpspjlr23aajrk9"; }; phases = [ "installPhase" ]; - nativeBuildInputs = [ pkgs.makeWrapper ]; + buildInputs = [ pkgs.makeWrapper ]; installPhase = '' mkdir -p $out/bin - install -D $src $out/libexec/phpcbf/phpcbf.phar - makeWrapper ${php}/bin/php $out/bin/phpcbf \ - --add-flags "$out/libexec/phpcbf/phpcbf.phar" + install -D $src $out/libexec/phpcs/phpcs.phar + makeWrapper ${php}/bin/php $out/bin/phpcs \ + --add-flags "$out/libexec/phpcs/phpcs.phar" ''; meta = with pkgs.lib; { - description = "PHP coding standard beautifier and fixer"; + description = "PHP coding standard tool"; license = licenses.bsd3; homepage = https://squizlabs.github.io/PHP_CodeSniffer/; - maintainers = with maintainers; [ cmcdragonkai etu ]; + maintainers = with maintainers; [ javaguirre etu ]; }; }; - phpstan = pkgs.stdenv.mkDerivation rec { - pname = "phpstan"; + phpstan = mkDerivation rec { version = "0.11.5"; + pname = "phpstan"; src = pkgs.fetchurl { url = "https://github.com/phpstan/phpstan/releases/download/${version}/phpstan.phar"; @@ -456,13 +380,13 @@ let }; }; - psysh = pkgs.stdenv.mkDerivation rec { - name = "psysh-${version}"; - version = "0.9.8"; + psysh = mkDerivation rec { + version = "0.9.9"; + pname = "psysh"; src = pkgs.fetchurl { url = "https://github.com/bobthecow/psysh/releases/download/v${version}/psysh-v${version}.tar.gz"; - sha256 = "0xs9bl0hplkm2hajmm4qca65bm2x7wnx4vbmk0d2jxpvwrgqgnzd"; + sha256 = "0knbib0afwq2z5fc639ns43x8pi3kmp85y13bkcl00dhvf46yinw"; }; phases = [ "installPhase" ]; @@ -471,6 +395,7 @@ let installPhase = '' mkdir -p $out/bin tar -xzf $src -C $out/bin + chmod +x $out/bin/psysh wrapProgram $out/bin/psysh ''; @@ -481,4 +406,87 @@ let maintainers = with maintainers; [ caugner ]; }; }; + + pthreads = assert (pkgs.config.php.zts or false); buildPecl rec { + version = "3.1.5"; + pname = "pthreads"; + + sha256 = "1ziap0py3zrc7qj9lw4nzq6wx1viyj8v9y1babchizzan014x6p5"; + + meta.broken = true; + }; + + redis = buildPecl rec { + version = "4.3.0"; + pname = "redis"; + + sha256 = "18hvll173mlp6dk6xvgajkjf4min8f5gn809nr1ahq4r6kn4rw60"; + }; + + sqlsrv = buildPecl rec { + version = "5.6.1"; + pname = "sqlsrv"; + + sha256 = "0ial621zxn9zvjh7k1h755sm2lc9aafc389yxksqcxcmm7kqmd0a"; + + buildInputs = [ pkgs.unixODBC ]; + }; + + v8 = buildPecl rec { + version = "0.2.2"; + pname = "v8"; + + sha256 = "103nys7zkpi1hifqp9miyl0m1mn07xqshw3sapyz365nb35g5q71"; + + buildInputs = [ pkgs.v8_6_x ]; + configureFlags = [ "--with-v8=${pkgs.v8_6_x}" ]; + meta.broken = true; + }; + + v8js = assert !isPhp73; buildPecl rec { + version = "2.1.0"; + pname = "v8js"; + + sha256 = "0g63dyhhicngbgqg34wl91nm3556vzdgkq19gy52gvmqj47rj6rg"; + + buildInputs = [ pkgs.v8_6_x ]; + configureFlags = [ "--with-v8js=${pkgs.v8_6_x}" ]; + meta.broken = true; + }; + + xdebug = buildPecl rec { + version = "2.7.1"; + pname = "xdebug"; + + sha256 = "1hr4gy87a3gp682ggwp831xk1fxasil9wan8cxv23q3m752x3sdp"; + + doCheck = true; + checkTarget = "test"; + }; + + yaml = buildPecl rec { + version = "2.0.4"; + pname = "yaml"; + + sha256 = "1036zhc5yskdfymyk8jhwc34kvkvsn5kaf50336153v4dqwb11lp"; + + configureFlags = [ + "--with-yaml=${pkgs.libyaml}" + ]; + + nativeBuildInputs = [ pkgs.pkgconfig ]; + }; + + zmq = assert !isPhp73; buildPecl rec { + version = "1.1.3"; + pname = "zmq"; + + sha256 = "1kj487vllqj9720vlhfsmv32hs2dy2agp6176mav6ldx31c3g4n4"; + + configureFlags = [ + "--with-zmq=${pkgs.zeromq}" + ]; + + nativeBuildInputs = [ pkgs.pkgconfig ]; + }; }; in self diff --git a/nixpkgs/pkgs/top-level/python-packages.nix b/nixpkgs/pkgs/top-level/python-packages.nix index 1572eb7f6542..c53f355c2b19 100644 --- a/nixpkgs/pkgs/top-level/python-packages.nix +++ b/nixpkgs/pkgs/top-level/python-packages.nix @@ -555,6 +555,11 @@ in { ordered-set = callPackage ../development/python-modules/ordered-set { }; + ortools = (toPythonModule (pkgs.or-tools.override { + inherit (self) python; + pythonProtobuf = self.protobuf; + })).python; + osmnx = callPackage ../development/python-modules/osmnx { }; outcome = callPackage ../development/python-modules/outcome {}; @@ -585,10 +590,14 @@ in { poetry = callPackage ../development/python-modules/poetry { }; + pplpy = callPackage ../development/python-modules/pplpy { }; + pprintpp = callPackage ../development/python-modules/pprintpp { }; progress = callPackage ../development/python-modules/progress { }; + proglog = callPackage ../development/python-modules/proglog { }; + pure-python-adb-homeassistant = callPackage ../development/python-modules/pure-python-adb-homeassistant { }; pymysql = callPackage ../development/python-modules/pymysql { }; @@ -3127,6 +3136,10 @@ in { Mako = callPackage ../development/python-modules/Mako { }; + macfsevents = callPackage ../development/python-modules/macfsevents { + inherit (pkgs.darwin.apple_sdk.frameworks) CoreFoundation CoreServices; + }; + manifestparser = callPackage ../development/python-modules/marionette-harness/manifestparser.nix {}; marionette_driver = callPackage ../development/python-modules/marionette-harness/marionette_driver.nix {}; mozcrash = callPackage ../development/python-modules/marionette-harness/mozcrash.nix {}; @@ -3823,6 +3836,8 @@ in { pylint = if isPy3k then callPackage ../development/python-modules/pylint { } else callPackage ../development/python-modules/pylint/1.9.nix { }; + pyomo = callPackage ../development/python-modules/pyomo { }; + pyopencl = callPackage ../development/python-modules/pyopencl { }; pyotp = callPackage ../development/python-modules/pyotp { }; @@ -4011,6 +4026,8 @@ in { pyutil = callPackage ../development/python-modules/pyutil { }; + pyutilib = callPackage ../development/python-modules/pyutilib { }; + pywal = callPackage ../development/python-modules/pywal { }; pywebkitgtk = callPackage ../development/python-modules/pywebkitgtk { }; diff --git a/nixpkgs/pkgs/top-level/release-cross.nix b/nixpkgs/pkgs/top-level/release-cross.nix index f4210fcfc72e..af400aee8717 100644 --- a/nixpkgs/pkgs/top-level/release-cross.nix +++ b/nixpkgs/pkgs/top-level/release-cross.nix @@ -147,7 +147,6 @@ in aarch64-embedded = mapTestOnCross lib.systems.examples.aarch64-embedded embedded; i686-embedded = mapTestOnCross lib.systems.examples.i686-embedded embedded; x86_64-embedded = mapTestOnCross lib.systems.examples.x86_64-embedded embedded; - alpha-embedded = mapTestOnCross lib.systems.examples.alpha-embedded embedded; /* Cross-built bootstrap tools for every supported platform */ bootstrapTools = let |