diff options
author | Jan Tojnar <jtojnar@gmail.com> | 2019-10-20 16:49:29 +0200 |
---|---|---|
committer | Jan Tojnar <jtojnar@gmail.com> | 2019-10-20 16:49:29 +0200 |
commit | f84955422634f8652d4ece50831e05cdb63acb89 (patch) | |
tree | a19497c2e89e335e205eba05df1d34957ad9e3b6 /pkgs | |
parent | baf549433058e844ac3b8a402c1255f67c014ccb (diff) | |
parent | d8924875983af60c3d53bdebba283aabdd9d48c4 (diff) | |
download | nixlib-f84955422634f8652d4ece50831e05cdb63acb89.tar nixlib-f84955422634f8652d4ece50831e05cdb63acb89.tar.gz nixlib-f84955422634f8652d4ece50831e05cdb63acb89.tar.bz2 nixlib-f84955422634f8652d4ece50831e05cdb63acb89.tar.lz nixlib-f84955422634f8652d4ece50831e05cdb63acb89.tar.xz nixlib-f84955422634f8652d4ece50831e05cdb63acb89.tar.zst nixlib-f84955422634f8652d4ece50831e05cdb63acb89.zip |
Merge branch 'staging-next' into staging
Diffstat (limited to 'pkgs')
186 files changed, 2000 insertions, 1854 deletions
diff --git a/pkgs/applications/audio/clementine/default.nix b/pkgs/applications/audio/clementine/default.nix index f48a429b3896..0a374c26142a 100644 --- a/pkgs/applications/audio/clementine/default.nix +++ b/pkgs/applications/audio/clementine/default.nix @@ -28,6 +28,11 @@ let url = "https://github.com/clementine-player/Clementine/pull/5630.patch"; sha256 = "0px7xp1m4nvrncx8sga1qlxppk562wrk2qqk19iiry84nxg20mk4"; }) + (fetchpatch { + # Fixes compilation with chromaprint >= 1.4 + url = "https://github.com/clementine-player/Clementine/commit/d3ea0c8482dfd3f6264a30cfceb456076d76e6cd.patch"; + sha256 = "1ifrs5aqdzw16jbnf0z1ilir20chdnr9k5n21r99miq9hzjpbh12"; + }) ]; nativeBuildInputs = [ cmake pkgconfig ]; diff --git a/pkgs/applications/audio/ncspot/default.nix b/pkgs/applications/audio/ncspot/default.nix new file mode 100644 index 000000000000..63ae9278c5d3 --- /dev/null +++ b/pkgs/applications/audio/ncspot/default.nix @@ -0,0 +1,43 @@ +{ lib, fetchFromGitHub, rustPlatform, pkg-config, ncurses, openssl +, withALSA ? true, alsaLib ? null +, withPulseAudio ? false, libpulseaudio ? null +, withPortAudio ? false, portaudio ? null +}: + +let + features = [ "cursive/pancurses-backend" ] + ++ lib.optional withALSA "alsa_backend" + ++ lib.optional withPulseAudio "pulseaudio_backend" + ++ lib.optional withPortAudio "portaudio_backend"; +in +rustPlatform.buildRustPackage rec { + pname = "ncspot-unstable"; + version = "2019-10-12"; + + src = fetchFromGitHub { + owner = "hrkfdn"; + repo = "ncspot"; + rev = "4defded54646958268a20787917e4721ae96407d"; + sha256 = "1w3cmilwjzk3pfnq97qgz6hzxgjgi27dm8jq7maw87qyl3v17gyg"; + }; + + cargoSha256 = "1w1fk39pragfy2i2myw99mqf63w6fw4nr2kri8ily2iqc6g9xpgw"; + + cargoBuildFlags = [ "--no-default-features" "--features" "${lib.concatStringsSep "," features}" ]; + + nativeBuildInputs = [ pkg-config ]; + + buildInputs = [ ncurses openssl ] + ++ lib.optional withALSA alsaLib + ++ lib.optional withPulseAudio libpulseaudio + ++ lib.optional withPortAudio portaudio; + + doCheck = false; + + meta = with lib; { + description = "Cross-platform ncurses Spotify client written in Rust, inspired by ncmpc and the likes"; + homepage = "https://github.com/hrkfdn/ncspot"; + license = licenses.bsd2; + maintainers = [ maintainers.marsam ]; + }; +} diff --git a/pkgs/applications/audio/spotify-tui/default.nix b/pkgs/applications/audio/spotify-tui/default.nix index 14c9a88d4e4e..5c681eac990c 100644 --- a/pkgs/applications/audio/spotify-tui/default.nix +++ b/pkgs/applications/audio/spotify-tui/default.nix @@ -2,13 +2,13 @@ rustPlatform.buildRustPackage rec { pname = "spotify-tui"; - version = "0.5.0"; - + version = "0.6.2"; + src = fetchFromGitHub { owner = "Rigellute"; repo = "spotify-tui"; - rev = "v${version}"; - sha256 = "1spnr67fb2wjjf9sfkk3vps6q45w0zrk47an79bhv4imziy4dbs3"; + rev = "v${version}"; + sha256 = "0ksrdavnvjpph7h0lcc2hvxhygfbn0dmsabq2ilslvpa80ph2c53"; }; cargoSha256 = "029g80mcqvmckszpbzm4hxs5w63n41ah4rc1b93i9c1nzvncd811"; diff --git a/pkgs/applications/blockchains/jormungandr/default.nix b/pkgs/applications/blockchains/jormungandr/default.nix index 26f27f44e863..c2789c78542a 100644 --- a/pkgs/applications/blockchains/jormungandr/default.nix +++ b/pkgs/applications/blockchains/jormungandr/default.nix @@ -10,16 +10,16 @@ rustPlatform.buildRustPackage rec { pname = "jormungandr"; - version = "0.6.1"; + version = "0.6.5"; src = fetchgit { url = "https://github.com/input-output-hk/${pname}"; rev = "v${version}"; - sha256 = "1w0xcx1h09wv25qdyybamxxl8sqd2npja12n3vpvz0sgv88c3mix"; + sha256 = "16s6ks63194w35xlgzbhjdb3h606hkj049bap52sd6qf637bw2p7"; fetchSubmodules = true; }; - cargoSha256 = "0pflam5am760z4pz3j1ga4arsixmay2487sgpqrhrkiaws4nxy57"; + cargoSha256 = "1kba65rnm2vyqsjhcnfwy1m44x1w3xxlzinykmb89jy6qr8gvp42"; nativeBuildInputs = [ pkgconfig protobuf ]; buildInputs = [ openssl ] ++ lib.optionals stdenv.isDarwin [ darwin.apple_sdk.frameworks.Security ]; diff --git a/pkgs/applications/editors/vscode/vscode.nix b/pkgs/applications/editors/vscode/vscode.nix index ccbba1d77cff..52b9228e7667 100644 --- a/pkgs/applications/editors/vscode/vscode.nix +++ b/pkgs/applications/editors/vscode/vscode.nix @@ -11,13 +11,13 @@ let archive_fmt = if system == "x86_64-darwin" then "zip" else "tar.gz"; sha256 = { - x86_64-linux = "06yrcn7857fw1dvwj0fhj6b2pb224i0r1m2diqg49a0jaj15mnak"; - x86_64-darwin = "0gq2lazjlzf7wmmdlpg5zg60lmwlmq5rm65lb815r0dpqqj0dizn"; + x86_64-linux = "0p4ah1bbz0njl2bk783gq5z1gxfzapa65qzhrkn216v07rba29jm"; + x86_64-darwin = "162bqdhi2dx74q5f56bhs5phz9az66lb4lvfcj1sdygp51xsb93y"; }.${system}; in callPackage ./generic.nix rec { - version = "1.39.1"; + version = "1.39.2"; pname = "vscode"; executableName = "code" + lib.optionalString isInsiders "-insiders"; diff --git a/pkgs/applications/editors/vscode/vscodium.nix b/pkgs/applications/editors/vscode/vscodium.nix index 0f7c10558bd8..e2386bc11189 100644 --- a/pkgs/applications/editors/vscode/vscodium.nix +++ b/pkgs/applications/editors/vscode/vscodium.nix @@ -11,8 +11,8 @@ let archive_fmt = if system == "x86_64-darwin" then "zip" else "tar.gz"; sha256 = { - x86_64-linux = "1vhrfz36ay67laa5159jcnxyl4prgm8v1mp6anv1s7bppazigg2n"; - x86_64-darwin = "0cxsl0qpk223khndfwwgxl8az4rz4ap657yrkvws9bh8k4vv473h"; + x86_64-linux = "013jhmc29angqh9qb8jj0jqk4whqb59id61njm8gwz977sdgpf9l"; + x86_64-darwin = "09jfii132cib1kn3bghwchdlvi4cfjqz5hvw6j5gr53h7j35k37j"; }.${system}; sourceRoot = { @@ -23,7 +23,7 @@ in callPackage ./generic.nix rec { inherit sourceRoot; - version = "1.39.1"; + version = "1.39.2"; pname = "vscodium"; executableName = "codium"; diff --git a/pkgs/applications/graphics/xournalpp/default.nix b/pkgs/applications/graphics/xournalpp/default.nix index 797b01b20d1a..3597b97fae63 100644 --- a/pkgs/applications/graphics/xournalpp/default.nix +++ b/pkgs/applications/graphics/xournalpp/default.nix @@ -23,13 +23,13 @@ stdenv.mkDerivation rec { pname = "xournalpp"; - version = "1.0.12"; + version = "1.0.15"; src = fetchFromGitHub { owner = "xournalpp"; - repo = "xournalpp"; + repo = pname; rev = version; - sha256 = "0yg70hsx58s3wb5kzccivrqa7kvmdapygxmif1j64hddah2rqcn9"; + sha256 = "1q716hn2ajkxfba0dxp7vcnqfa31hx36ax09yz4d13sdw43rfjf4"; }; nativeBuildInputs = [ cmake gettext pkgconfig wrapGAppsHook ]; diff --git a/pkgs/applications/misc/exercism/default.nix b/pkgs/applications/misc/exercism/default.nix index 590e4cdc4cba..ebc582730e52 100644 --- a/pkgs/applications/misc/exercism/default.nix +++ b/pkgs/applications/misc/exercism/default.nix @@ -1,11 +1,9 @@ -{ stdenv, buildGoPackage, fetchFromGitHub }: +{ stdenv, buildGoModule, fetchFromGitHub }: -buildGoPackage rec { +buildGoModule rec { pname = "exercism"; version = "3.0.12"; - goPackagePath = "github.com/exercism/cli"; - src = fetchFromGitHub { owner = "exercism"; repo = "cli"; @@ -13,7 +11,9 @@ buildGoPackage rec { sha256 = "1xvxcl7j5izx5lgmjd97zd28lg2sydwgbgn2cnisz5r0d27pj3ra"; }; - goDeps = ./deps.nix; + modSha256 = "0pg0hxrr6jjd03wbjn5y65x02md3h352mnm1gr6vyiv7hn4ws14m"; + + subPackages = [ "./exercism" ]; meta = with stdenv.lib; { inherit (src.meta) homepage; diff --git a/pkgs/applications/misc/exercism/deps.nix b/pkgs/applications/misc/exercism/deps.nix deleted file mode 100644 index 10a6baa2241b..000000000000 --- a/pkgs/applications/misc/exercism/deps.nix +++ /dev/null @@ -1,201 +0,0 @@ -# file generated from Gopkg.lock using dep2nix (https://github.com/nixcloud/dep2nix) -[ - { - goPackagePath = "github.com/blang/semver"; - fetch = { - type = "git"; - url = "https://github.com/blang/semver"; - rev = "2ee87856327ba09384cabd113bc6b5d174e9ec0f"; - sha256 = "13ws259bwcibkclbr82ilhk6zadm63kxklxhk12wayklj8ghhsmy"; - }; - } - { - goPackagePath = "github.com/davecgh/go-spew"; - fetch = { - type = "git"; - url = "https://github.com/davecgh/go-spew"; - rev = "346938d642f2ec3594ed81d874461961cd0faa76"; - sha256 = "0d4jfmak5p6lb7n2r6yvf5p1zcw0l8j74kn55ghvr7zr7b7axm6c"; - }; - } - { - goPackagePath = "github.com/fsnotify/fsnotify"; - fetch = { - type = "git"; - url = "https://github.com/fsnotify/fsnotify"; - rev = "629574ca2a5df945712d3079857300b5e4da0236"; - sha256 = "06wfg1mmzjj04z7d0q1x2fai9k6hm957brngsaf02fa9a3qqanv3"; - }; - } - { - goPackagePath = "github.com/hashicorp/hcl"; - fetch = { - type = "git"; - url = "https://github.com/hashicorp/hcl"; - rev = "392dba7d905ed5d04a5794ba89f558b27e2ba1ca"; - sha256 = "1rfm67kma2hpakabf7hxlj196jags4rpjpcirwg4kan4g9b6j0kb"; - }; - } - { - goPackagePath = "github.com/inconshreveable/go-update"; - fetch = { - type = "git"; - url = "https://github.com/inconshreveable/go-update"; - rev = "8152e7eb6ccf8679a64582a66b78519688d156ad"; - sha256 = "07czhspakpi7al004rm669cmf4h5l0vnygsm11280nkfn2zxqdi3"; - }; - } - { - goPackagePath = "github.com/inconshreveable/mousetrap"; - fetch = { - type = "git"; - url = "https://github.com/inconshreveable/mousetrap"; - rev = "76626ae9c91c4f2a10f34cad8ce83ea42c93bb75"; - sha256 = "1mn0kg48xkd74brf48qf5hzp0bc6g8cf5a77w895rl3qnlpfw152"; - }; - } - { - goPackagePath = "github.com/magiconair/properties"; - fetch = { - type = "git"; - url = "https://github.com/magiconair/properties"; - rev = "be5ece7dd465ab0765a9682137865547526d1dfb"; - sha256 = "0spk58x9b0hj29cw6wy6rlvc6s9xk4r0gmlxgsc194pkzqcg1my8"; - }; - } - { - goPackagePath = "github.com/mitchellh/mapstructure"; - fetch = { - type = "git"; - url = "https://github.com/mitchellh/mapstructure"; - rev = "d0303fe809921458f417bcf828397a65db30a7e4"; - sha256 = "1fjwi5ghc1ibyx93apz31n4hj6gcq1hzismpdfbg2qxwshyg0ya8"; - }; - } - { - goPackagePath = "github.com/pelletier/go-buffruneio"; - fetch = { - type = "git"; - url = "https://github.com/pelletier/go-buffruneio"; - rev = "c37440a7cf42ac63b919c752ca73a85067e05992"; - sha256 = "0l83p1gg6g5mmhmxjisrhfimhbm71lwn1r2w7d6siwwqm9q08sd2"; - }; - } - { - goPackagePath = "github.com/pelletier/go-toml"; - fetch = { - type = "git"; - url = "https://github.com/pelletier/go-toml"; - rev = "5ccdfb18c776b740aecaf085c4d9a2779199c279"; - sha256 = "1jl44j58y62rhnwkzw3mvcj725gdyzs45pq4ga81qqxwqxs3czsq"; - }; - } - { - goPackagePath = "github.com/pmezard/go-difflib"; - fetch = { - type = "git"; - url = "https://github.com/pmezard/go-difflib"; - rev = "792786c7400a136282c1664665ae0a8db921c6c2"; - sha256 = "0c1cn55m4rypmscgf0rrb88pn58j3ysvc2d0432dp3c6fqg6cnzw"; - }; - } - { - goPackagePath = "github.com/spf13/afero"; - fetch = { - type = "git"; - url = "https://github.com/spf13/afero"; - rev = "9be650865eab0c12963d8753212f4f9c66cdcf12"; - sha256 = "12dhh6d07304lsjv7c4p95hkip0hnshqhwivdw39pbypgg0p8y34"; - }; - } - { - goPackagePath = "github.com/spf13/cast"; - fetch = { - type = "git"; - url = "https://github.com/spf13/cast"; - rev = "acbeb36b902d72a7a4c18e8f3241075e7ab763e4"; - sha256 = "0w25s6gjbbwv47b9208hysyqqphd6pib3d2phg24mjy4wigkm050"; - }; - } - { - goPackagePath = "github.com/spf13/cobra"; - fetch = { - type = "git"; - url = "https://github.com/spf13/cobra"; - rev = "b26b538f693051ac6518e65672de3144ce3fbedc"; - sha256 = "0pm3qlw35xygz9zz7hizlmin76wrfac8vsxvsd9i0zpnijbkmjv6"; - }; - } - { - goPackagePath = "github.com/spf13/jwalterweatherman"; - fetch = { - type = "git"; - url = "https://github.com/spf13/jwalterweatherman"; - rev = "0efa5202c04663c757d84f90f5219c1250baf94f"; - sha256 = "1sfd72zvw9lrzfc8haswhqf93bzm20q4yhbynm6n5fnnc56zn4gs"; - }; - } - { - goPackagePath = "github.com/spf13/pflag"; - fetch = { - type = "git"; - url = "https://github.com/spf13/pflag"; - rev = "e57e3eeb33f795204c1ca35f56c44f83227c6e66"; - sha256 = "13mhx4i913jil32j295m3a36jzvq1y64xig0naadiz7q9ja011r2"; - }; - } - { - goPackagePath = "github.com/spf13/viper"; - fetch = { - type = "git"; - url = "https://github.com/spf13/viper"; - rev = "15738813a09db5c8e5b60a19d67d3f9bd38da3a4"; - sha256 = "1mjfzg8zvnxckaq6l8gw99i2msrfqn9yr04dc3b7kd5bpxi6zr4v"; - }; - } - { - goPackagePath = "github.com/stretchr/testify"; - fetch = { - type = "git"; - url = "https://github.com/stretchr/testify"; - rev = "69483b4bd14f5845b5a1e55bca19e954e827f1d0"; - sha256 = "11lzrwkdzdd8yyag92akncc008h2f9d1bpc489mxiwp0jrmz4ivb"; - }; - } - { - goPackagePath = "golang.org/x/net"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/net"; - rev = "f5079bd7f6f74e23c4d65efa0f4ce14cbd6a3c0f"; - sha256 = "0sck2mq4bwyh5iv51jpbywzwhc47ci1q5yd7pqr68xnsz7b3b55k"; - }; - } - { - goPackagePath = "golang.org/x/sys"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/sys"; - rev = "d8f5ea21b9295e315e612b4bcf4bedea93454d4d"; - sha256 = "1gy2y20glqqqcmmrcx2wrvk4h74h8im1nxvzi91i1mxjk7p185mv"; - }; - } - { - goPackagePath = "golang.org/x/text"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/text"; - rev = "3bd178b88a8180be2df394a1fbb81313916f0e7b"; - sha256 = "137pp3gz8ll08q0q434dn6472bbkv81h72qqqm9idhf7cc6f51w9"; - }; - } - { - goPackagePath = "gopkg.in/yaml.v2"; - fetch = { - type = "git"; - url = "https://github.com/go-yaml/yaml"; - rev = "25c4ec802a7d637f88d584ab26798e94ad14c13b"; - sha256 = "053mknsl3xhjscmd552005xnwbfcg0z2iphvbvj3wi0w3pvmlw44"; - }; - } -] \ No newline at end of file diff --git a/pkgs/applications/misc/pdfarranger/default.nix b/pkgs/applications/misc/pdfarranger/default.nix new file mode 100644 index 000000000000..ed54ccbb0a15 --- /dev/null +++ b/pkgs/applications/misc/pdfarranger/default.nix @@ -0,0 +1,44 @@ +{ stdenv, fetchFromGitHub, lib +, wrapGAppsHook, intltool +, python3Packages, gtk3, poppler_gi +}: + +python3Packages.buildPythonApplication rec { + pname = "pdfarranger"; + version = "1.3.1"; + + src = fetchFromGitHub { + owner = "jeromerobert"; + repo = pname; + rev = version; + sha256 = "1f8m8r81322i97wkqpmf7a4kiwnq244n6cnbldh03jc49vwq2kxx"; + }; + + nativeBuildInputs = [ + wrapGAppsHook intltool + ] ++ (with python3Packages; [ + setuptools distutils_extra + ]); + + buildInputs = [ + gtk3 poppler_gi + ]; + + propagatedBuildInputs = with python3Packages; [ + pygobject3 + pypdf2 + ]; + + # incompatible with wrapGAppsHook + strictDeps = false; + + doCheck = false; # no tests + + meta = with lib; { + inherit (src.meta) homepage; + description = "Merge or split pdf documents and rotate, crop and rearrange their pages using an interactive and intuitive graphical interface"; + platforms = platforms.linux; + maintainers = with maintainers; [ symphorien ]; + license = licenses.gpl3; + }; +} diff --git a/pkgs/applications/networking/browsers/tor-browser-bundle-bin/default.nix b/pkgs/applications/networking/browsers/tor-browser-bundle-bin/default.nix index 5dfda424acfc..34372cb29d98 100644 --- a/pkgs/applications/networking/browsers/tor-browser-bundle-bin/default.nix +++ b/pkgs/applications/networking/browsers/tor-browser-bundle-bin/default.nix @@ -379,7 +379,11 @@ stdenv.mkDerivation rec { cp $desktopItem/share/applications"/"* $out/share/applications sed -i $out/share/applications/torbrowser.desktop \ -e "s,Exec=.*,Exec=$out/bin/tor-browser," \ - -e "s,Icon=.*,Icon=web-browser," + -e "s,Icon=.*,Icon=tor-browser," + for i in 16 32 48 64 128; do + mkdir -p $out/share/icons/hicolor/''${i}x''${i}/apps/ + ln -s $out/share/tor-browser/browser/chrome/icons/default/default$i.png $out/share/icons/hicolor/''${i}x''${i}/apps/tor-browser.png + done # Check installed apps echo "Checking bundled Tor ..." diff --git a/pkgs/applications/networking/cluster/kubecfg/default.nix b/pkgs/applications/networking/cluster/kubecfg/default.nix index 3d75ef543bcc..69d013e3f034 100644 --- a/pkgs/applications/networking/cluster/kubecfg/default.nix +++ b/pkgs/applications/networking/cluster/kubecfg/default.nix @@ -1,6 +1,6 @@ { lib, buildGoPackage, fetchFromGitHub, ... }: -let version = "0.12.5"; in +let version = "0.13.1"; in buildGoPackage { pname = "kubecfg"; @@ -10,7 +10,7 @@ buildGoPackage { owner = "bitnami"; repo = "kubecfg"; rev = "v${version}"; - sha256 = "0pn37qkwn3kdsd0z3qxk95lqjn2zak7gkk0pwlqp26jmrx0vv18l"; + sha256 = "0x2mg13p8r1sgqr1bbzh57kfymb8z392y43djgks2agc7rjnd45f"; }; goPackagePath = "github.com/bitnami/kubecfg"; diff --git a/pkgs/applications/networking/cluster/terraform-landscape/default.nix b/pkgs/applications/networking/cluster/terraform-landscape/default.nix index 6973938b60de..32f6680b2f8b 100644 --- a/pkgs/applications/networking/cluster/terraform-landscape/default.nix +++ b/pkgs/applications/networking/cluster/terraform-landscape/default.nix @@ -11,7 +11,7 @@ bundlerApp { meta = with lib; { description = "Improve Terraform's plan output to be easier to read and understand"; homepage = https://github.com/coinbase/terraform-landscape; - license = with licenses; apsl20; + license = with licenses; asl20; maintainers = with maintainers; [ mbode manveru nicknovitski ]; platforms = platforms.unix; }; diff --git a/pkgs/applications/networking/cluster/tilt/default.nix b/pkgs/applications/networking/cluster/tilt/default.nix index b4707327bc72..6cc7735efeb0 100644 --- a/pkgs/applications/networking/cluster/tilt/default.nix +++ b/pkgs/applications/networking/cluster/tilt/default.nix @@ -5,20 +5,20 @@ buildGoPackage rec { /* Do not use "dev" as a version. If you do, Tilt will consider itself running in development environment and try to serve assets from the source tree, which is not there once build completes. */ - version = "0.10.4"; + version = "0.10.13"; src = fetchFromGitHub { owner = "windmilleng"; repo = pname; rev = "v${version}"; - sha256 = "0nxgmldbcaj91jq47qxpf6jqwvi9bhg243qchdkiliphybvilcrg"; + sha256 = "02xlqgmmn1a7pz6sliharz8l9fbn2raxqkm75qxdqs1ncbvgc65k"; }; goPackagePath = "github.com/windmilleng/tilt"; subPackages = [ "cmd/tilt" ]; - buildFlagsArray = ("-ldflags=-X main.version=${version} -X main.date=2019-08-14"); + buildFlagsArray = ("-ldflags=-X main.version=${version} -X main.date=2019-10-04"); meta = with stdenv.lib; { description = "Local development tool to manage your developer instance when your team deploys to Kubernetes in production"; diff --git a/pkgs/applications/networking/irc/weechat/scripts/wee-slack/default.nix b/pkgs/applications/networking/irc/weechat/scripts/wee-slack/default.nix index 6811cb164e0e..f3d96a1b5c6b 100644 --- a/pkgs/applications/networking/irc/weechat/scripts/wee-slack/default.nix +++ b/pkgs/applications/networking/irc/weechat/scripts/wee-slack/default.nix @@ -31,7 +31,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { homepage = https://github.com/wee-slack/wee-slack; license = licenses.mit; - maintainers = with maintainers; [ ma27 ]; + maintainers = with maintainers; [ willibutz ]; description = '' A WeeChat plugin for Slack.com. Synchronizes read markers, provides typing notification, search, etc.. ''; diff --git a/pkgs/applications/networking/p2p/torrential/default.nix b/pkgs/applications/networking/p2p/torrential/default.nix index 1070350116bb..0ca5402d6dae 100644 --- a/pkgs/applications/networking/p2p/torrential/default.nix +++ b/pkgs/applications/networking/p2p/torrential/default.nix @@ -2,7 +2,7 @@ , fetchFromGitHub , cmake , pkgconfig -, vala +, vala_0_40 , pantheon , curl , glib @@ -31,7 +31,7 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ cmake - vala + vala_0_40 # https://github.com/davidmhewitt/torrential/issues/135 pkgconfig wrapGAppsHook ]; diff --git a/pkgs/applications/networking/sync/rsync/rrsync.nix b/pkgs/applications/networking/sync/rsync/rrsync.nix index 19e2eaa5fa23..19be478b0f1e 100644 --- a/pkgs/applications/networking/sync/rsync/rrsync.nix +++ b/pkgs/applications/networking/sync/rsync/rrsync.nix @@ -8,8 +8,7 @@ stdenv.mkDerivation { src = base.src; - buildInputs = [ rsync ]; - nativeBuildInputs = [perl]; + buildInputs = [ rsync perl ]; # Skip configure and build phases. # We just want something from the support directory diff --git a/pkgs/applications/networking/syncthing/default.nix b/pkgs/applications/networking/syncthing/default.nix index 7bfe697105eb..555550d4d9e7 100644 --- a/pkgs/applications/networking/syncthing/default.nix +++ b/pkgs/applications/networking/syncthing/default.nix @@ -3,19 +3,19 @@ let common = { stname, target, postInstall ? "" }: buildGoModule rec { - version = "1.2.2"; + version = "1.3.0"; name = "${stname}-${version}"; src = fetchFromGitHub { owner = "syncthing"; repo = "syncthing"; rev = "v${version}"; - sha256 = "0zkyjnjrla0vpvidwwr4z4kxc9cyjcfbjdzsr34xz7rw3jswswm9"; + sha256 = "14k1acap9y1z8sj28gcn72lkfxdzpcqj9d27hk8vzm47zjaxgp8l"; }; goPackagePath = "github.com/syncthing/syncthing"; - modSha256 = "0pp2gjx227crggph924q7sg6ak8nyl8nlsffpmawq4zl1908lsrd"; + modSha256 = "17np8ym84ql7hwzsqfx2l6yiy9hag7h96q8ysvarlfg9l95g1m64"; patches = [ ./add-stcli-target.patch diff --git a/pkgs/applications/networking/transporter/default.nix b/pkgs/applications/networking/transporter/default.nix deleted file mode 100644 index 46384a4b1a36..000000000000 --- a/pkgs/applications/networking/transporter/default.nix +++ /dev/null @@ -1,72 +0,0 @@ -{ stdenv -, fetchFromGitHub -, meson -, ninja -, vala -, pkgconfig -, gtk3 -, python3 -, pantheon -, gnome3 -, libxml2 -, gettext -, gobject-introspection -, appstream-glib -, desktop-file-utils -, magic-wormhole -, wrapGAppsHook }: - -let - pname = "Transporter"; - version = "1.3.3"; -in stdenv.mkDerivation { - name = "${pname}-${version}"; - - src = fetchFromGitHub { - owner = "bleakgrey"; - repo = pname; - rev = version; - sha256 = "19zb2yqmyyhk5vgh6p278b76shlq0r8ykk1ks8zzr187nr5lf5k1"; - }; - - nativeBuildInputs = [ - appstream-glib - desktop-file-utils - vala - gettext - gobject-introspection # For setup hook - libxml2 - meson - ninja - pkgconfig - python3 - wrapGAppsHook - ]; - - buildInputs = [ - pantheon.elementary-icon-theme - gnome3.libgee - pantheon.granite - gtk3 - magic-wormhole - ]; - - prePatch = '' - # The paths were hardcoded - substituteInPlace ./src/WormholeInterface.vala \ - --replace /bin/wormhole ${magic-wormhole}/bin/wormhole - ''; - - postPatch = '' - chmod +x meson/post_install.py - patchShebangs meson/post_install.py - ''; - - meta = with stdenv.lib; { - description = "Simple magic-wormhole client"; - homepage = https://github.com/bleakgrey/Transporter; - license = licenses.gpl3; - maintainers = with maintainers; [ worldofpeace ]; - platforms = platforms.linux; - }; -} diff --git a/pkgs/applications/science/logic/symbiyosys/default.nix b/pkgs/applications/science/logic/symbiyosys/default.nix index ed66c77dcabf..8e100716c874 100644 --- a/pkgs/applications/science/logic/symbiyosys/default.nix +++ b/pkgs/applications/science/logic/symbiyosys/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation { pname = "symbiyosys"; - version = "2019.08.13"; + version = "2019.10.11"; src = fetchFromGitHub { owner = "yosyshq"; repo = "symbiyosys"; - rev = "9cb542ac7a310b3dfa626349db53bed6236b670c"; - sha256 = "0c7nz740738ybk33zzlfl00cq86n31wvra8pqqkpl4ygxnwca1d6"; + rev = "23f89011b678daa9da406d4f45f790e45f8f68ca"; + sha256 = "01596yvfj79iywwczjwlb2l9qnh7bsj7jff66jdk1ybjnxf841f0"; }; buildInputs = [ python3 yosys ]; diff --git a/pkgs/applications/version-management/gitkraken/default.nix b/pkgs/applications/version-management/gitkraken/default.nix index 42887132f313..01a73e6c2129 100644 --- a/pkgs/applications/version-management/gitkraken/default.nix +++ b/pkgs/applications/version-management/gitkraken/default.nix @@ -13,11 +13,11 @@ let in stdenv.mkDerivation rec { pname = "gitkraken"; - version = "6.2.1"; + version = "6.3.0"; src = fetchurl { url = "https://release.axocdn.com/linux/GitKraken-v${version}.deb"; - sha256 = "1l1w8gr4ss0g2k7bfslnc7df4ls1av59jjjc8mrx97wsndrm3vxg"; + sha256 = "06hjzkkrg2f9lb72ik16zgv813cxsv679szfdzrfygbb6wxnkjyp"; }; libPath = makeLibraryPath [ @@ -69,8 +69,8 @@ stdenv.mkDerivation rec { comment = "Graphical Git client from Axosoft"; }; - nativeBuildInputs = [ makeWrapper wrapGAppsHook ]; - buildInputs = [ dpkg gtk3 gnome3.adwaita-icon-theme ]; + nativeBuildInputs = [ dpkg makeWrapper wrapGAppsHook ]; + buildInputs = [ gtk3 gnome3.adwaita-icon-theme ]; unpackCmd = '' mkdir out @@ -78,6 +78,7 @@ stdenv.mkDerivation rec { ''; installPhase = '' + runHook preInstall mkdir $out pushd usr pushd share @@ -89,6 +90,7 @@ stdenv.mkDerivation rec { popd ln -s $out/share/gitkraken/gitkraken $out/bin/gitkraken + runHook postInstall ''; postFixup = '' diff --git a/pkgs/applications/video/kazam/default.nix b/pkgs/applications/video/kazam/default.nix index 9d549c2aecc3..f38046cf8e1a 100644 --- a/pkgs/applications/video/kazam/default.nix +++ b/pkgs/applications/video/kazam/default.nix @@ -1,5 +1,6 @@ { stdenv, fetchurl, substituteAll, python3, gst_all_1, wrapGAppsHook, gobject-introspection -, gtk3, libwnck3, keybinder3, intltool, libcanberra-gtk3, libappindicator-gtk3, libpulseaudio }: +, gtk3, libwnck3, keybinder3, intltool, libcanberra-gtk3, libappindicator-gtk3, libpulseaudio +, fetchpatch }: python3.pkgs.buildPythonApplication rec { name = "kazam-${version}"; @@ -18,6 +19,9 @@ python3.pkgs.buildPythonApplication rec { ]; propagatedBuildInputs = with python3.pkgs; [ pygobject3 pyxdg pycairo dbus-python ]; + + # workaround https://github.com/NixOS/nixpkgs/issues/56943 + strictDeps = false; patches = [ # Fix paths @@ -26,10 +30,10 @@ python3.pkgs.buildPythonApplication rec { libcanberra = libcanberra-gtk3; inherit libpulseaudio; }) - # Fix compability with Python 3.4 - (fetchurl { - url = https://sources.debian.org/data/main/k/kazam/1.4.5-2/debian/patches/configparser_api_changes.patch; - sha256 = "0yvmipnh98s7y07cp1f113l0qqfw65k13an96byq707z3ymv1c2h"; + # https://github.com/hzbd/kazam/pull/21 + (fetchpatch { + url = https://github.com/hzbd/kazam/commit/37e53a5aa61f4223a9ea03ceeda26eeba2b9d37b.patch; + sha256 = "1q5dpmdm6cvgzw8xa7bwclnqa05xc73ja1lszwmwv5glyik0fk4z"; }) ]; diff --git a/pkgs/applications/window-managers/i3/blocks.nix b/pkgs/applications/window-managers/i3/blocks.nix index ef03216d499b..15bd5e74cdc8 100644 --- a/pkgs/applications/window-managers/i3/blocks.nix +++ b/pkgs/applications/window-managers/i3/blocks.nix @@ -1,4 +1,4 @@ -{ fetchFromGitHub, stdenv, autoreconfHook }: +{ fetchFromGitHub, fetchpatch, stdenv, autoreconfHook }: with stdenv.lib; @@ -13,6 +13,15 @@ stdenv.mkDerivation { sha256 = "1fx4230lmqa5rpzph68dwnpcjfaaqv5gfkradcr85hd1z8d1qp1b"; }; + patches = [ + # XDG_CONFIG_DIRS can contain multiple elements separated by colons, which should be searched in order. + (fetchpatch { + # https://github.com/vivien/i3blocks/pull/405 + url = https://github.com/edef1c/i3blocks/commit/d57b32f9a364aeaf36869efdd54240433c737e57.patch; + sha256 = "102xb0ax0hmg82dz2gzfag470dkckzf2yizai0izacvrz0d3ngj1"; + }) + ]; + nativeBuildInputs = [ autoreconfHook ]; meta = { diff --git a/pkgs/build-support/skaware/build-skaware-package.nix b/pkgs/build-support/skaware/build-skaware-package.nix index ce32279710e2..9b159a994c80 100644 --- a/pkgs/build-support/skaware/build-skaware-package.nix +++ b/pkgs/build-support/skaware/build-skaware-package.nix @@ -19,10 +19,6 @@ in { # mostly for moving and deleting files from the build directory # : lines , postInstall - # packages with setup hooks that should be run - # (see definition of `makeSetupHook`) - # : list drv -, setupHooks ? [] # : list Maintainer , maintainers ? [] @@ -67,8 +63,6 @@ in stdenv.mkDerivation { dontDisableStatic = true; enableParallelBuilding = true; - nativeBuildInputs = setupHooks; - configureFlags = configureFlags ++ [ "--enable-absolute-paths" (if stdenv.isDarwin diff --git a/pkgs/data/misc/hackage/default.nix b/pkgs/data/misc/hackage/default.nix index 3500eaa63265..ae9d6b6b2a43 100644 --- a/pkgs/data/misc/hackage/default.nix +++ b/pkgs/data/misc/hackage/default.nix @@ -1,6 +1,6 @@ { fetchurl }: fetchurl { - url = "https://github.com/commercialhaskell/all-cabal-hashes/archive/93bcfb09798da885d29304fa4dab1e234e3b728e.tar.gz"; - sha256 = "1mv5kxqldakapzbmch2b88mynng268njq3dxbkmyzli8fwnllra2"; + url = "https://github.com/commercialhaskell/all-cabal-hashes/archive/dea2fba9b43636a3ca1898b710560e4368e9e4cf.tar.gz"; + sha256 = "1cmkiqfmhg8ch2qiwbpsagy8iimd551gapg661g07xq7sjrxbipn"; } diff --git a/pkgs/desktops/gnome-3/misc/gpaste/default.nix b/pkgs/desktops/gnome-3/misc/gpaste/default.nix index ebedf0c81ffa..00e21e30a29a 100644 --- a/pkgs/desktops/gnome-3/misc/gpaste/default.nix +++ b/pkgs/desktops/gnome-3/misc/gpaste/default.nix @@ -1,16 +1,47 @@ -{ stdenv, fetchurl, autoreconfHook, pkgconfig, vala, glib, gjs, mutter -, pango, gtk3, gnome3, dbus, clutter, appstream-glib, wrapGAppsHook, gobject-introspection }: +{ stdenv +, fetchFromGitHub +, fetchpatch +, appstream-glib +, clutter +, gjs +, glib +, gnome3 +, gobject-introspection +, gtk3 +, meson +, mutter +, ninja +, pango +, pkgconfig +, vala +, wrapGAppsHook +}: stdenv.mkDerivation rec { - version = "3.34.0"; + version = "3.34.1"; pname = "gpaste"; - src = fetchurl { - url = "https://github.com/Keruspe/GPaste/archive/v${version}.tar.gz"; - sha256 = "0mih07b3mb0m1bk8ng9175fgvdbmvsacl4v4kvdnnlnql6rh47gv"; + src = fetchFromGitHub { + owner = "Keruspe"; + repo = "GPaste"; + rev = "v${version}"; + sha256 = "1jcj0kgxhad8rblyqhwa2yhkf0010k80w9bm2rajanad2c3bqaxa"; }; patches = [ + # Meson fixes + # https://github.com/Keruspe/GPaste/pull/283 + # install systemd units + (fetchpatch { + url = "https://github.com/Keruspe/GPaste/commit/a474d8c1f2bd600476ba52dc19f517787845533b.patch"; + sha256 = "19m1ar61l2n0vb5a5qfhdny8giivqlyq04l3j9i8llv16vx80rg2"; + }) + # apply symbol versioning + (fetchpatch { + url = "https://github.com/Keruspe/GPaste/commit/08047752e8dba9363673ddefd422c43075f08006.patch"; + sha256 = "0jvcs1a17sijvb2wqyn3y8shdxrhv4kwzxs39kmh9y8nyx2dzhpf"; + }) + ./fix-paths.patch ]; @@ -26,24 +57,37 @@ stdenv.mkDerivation rec { ''; nativeBuildInputs = [ - autoreconfHook pkgconfig vala appstream-glib wrapGAppsHook + appstream-glib + gobject-introspection + meson + ninja + pkgconfig + vala + wrapGAppsHook ]; + buildInputs = [ - glib gjs mutter gtk3 dbus - clutter pango gobject-introspection + clutter # required by mutter-clutter + gjs + glib + gtk3 + mutter + pango ]; - configureFlags = [ - "--with-controlcenterdir=${placeholder "out"}/share/gnome-control-center/keybindings" - "--with-dbusservicesdir=${placeholder "out"}/share/dbus-1/services" - "--with-systemduserunitdir=${placeholder "out"}/etc/systemd/user" + mesonFlags = [ + "-Dcontrol-center-keybindings-dir=${placeholder "out"}/share/gnome-control-center/keybindings" + "-Ddbus-services-dir=${placeholder "out"}/share/dbus-1/services" + "-Dsystemd-user-unit-dir=${placeholder "out"}/etc/systemd/user" ]; - enableParallelBuilding = true; + postInstall = '' + ${glib.dev}/bin/glib-compile-schemas "$out/share/glib-2.0/schemas" + ''; meta = with stdenv.lib; { - homepage = https://github.com/Keruspe/GPaste; - description = "Clipboard management system with GNOME3 integration"; + homepage = "https://github.com/Keruspe/GPaste"; + description = "Clipboard management system with GNOME 3 integration"; license = licenses.gpl3; platforms = platforms.linux; maintainers = gnome3.maintainers; diff --git a/pkgs/development/compilers/compcert/default.nix b/pkgs/development/compilers/compcert/default.nix index 940eb851fe61..3a6c5e9c2c7e 100644 --- a/pkgs/development/compilers/compcert/default.nix +++ b/pkgs/development/compilers/compcert/default.nix @@ -4,7 +4,7 @@ }: assert lib.versionAtLeast ocamlPackages.ocaml.version "4.02"; -assert lib.versionAtLeast coq.coq-version "8.6.1"; +assert lib.versionAtLeast coq.coq-version "8.8.0"; let ocaml-pkgs = with ocamlPackages; [ ocaml findlib menhir ]; @@ -12,13 +12,13 @@ let in stdenv.mkDerivation rec { pname = "compcert"; - version = "3.5"; + version = "3.6"; src = fetchFromGitHub { owner = "AbsInt"; repo = "CompCert"; rev = "v${version}"; - sha256 = "1g8067a5x3vd0l47d04gjvy5yx49nghh55am5d1fbrjirfsnsz8j"; + sha256 = "1k9xhj7fgllhf7bn7rp3w6zfvs4clglnc4w39zp4678hrwvdcpha"; }; nativeBuildInputs = [ makeWrapper ]; @@ -27,7 +27,6 @@ stdenv.mkDerivation rec { patchPhase = '' substituteInPlace ./configure \ - --replace '|8.9.0' '|8.9.0|8.9.1' \ --replace '{toolprefix}gcc' '{toolprefix}cc' ''; diff --git a/pkgs/development/compilers/nextpnr/default.nix b/pkgs/development/compilers/nextpnr/default.nix index d9f6f9f65ddd..f0c1ba14c48a 100644 --- a/pkgs/development/compilers/nextpnr/default.nix +++ b/pkgs/development/compilers/nextpnr/default.nix @@ -14,15 +14,26 @@ let in with stdenv; mkDerivation rec { pname = "nextpnr"; - version = "2019.09.28"; + version = "2019.10.13"; - src = fetchFromGitHub { - owner = "yosyshq"; - repo = "nextpnr"; - rev = "7cd1e0495122847611b17a8d1f007d97a05b288c"; - sha256 = "13y739l92plb22g73jf35pyh3y94b2vq0i65r9c31r2rb7fw4bbl"; - fetchSubmodules = true; - }; + srcs = [ + (fetchFromGitHub { + owner = "YosysHQ"; + repo = "nextpnr"; + rev = "c365dd1cabc3a4308ab9110534918623622c246b"; + sha256 = "1344pyq9xb5y1vxsnfgr488drfjsa6ls1jck0z9hwam6vg55s10r"; + name = "nextpnr"; + }) + (fetchFromGitHub { + owner = "YosysHQ"; + repo = "nextpnr-tests"; + rev = "8f93e7e0f897b1b5da469919c9a43ba28b623b2a"; + sha256 = "0zpd0w49k9l7rs3wmi2v8z5s4l4lad5rprs5l83w13667himpzyc"; + name = "nextpnr-tests"; + }) + ]; + + sourceRoot = "nextpnr"; nativeBuildInputs = [ cmake ] @@ -51,13 +62,17 @@ with stdenv; mkDerivation rec { # but works ok. We should probably make this overrideable upstream. patchPhase = with builtins; '' substituteInPlace ./CMakeLists.txt \ - --replace 'git log -1 --format=%h' 'echo ${substring 0 11 src.rev}' + --replace 'git log -1 --format=%h' 'echo ${substring 0 11 (elemAt srcs 0).rev}' # use PyPy for icestorm if enabled substituteInPlace ./ice40/family.cmake \ --replace ''\'''${PYTHON_EXECUTABLE}' '${icestorm.pythonInterp}' ''; + preBuild = '' + ln -s ../nextpnr-tests tests + ''; + doCheck = true; postFixup = lib.optionalString enableGui '' diff --git a/pkgs/development/compilers/yosys/default.nix b/pkgs/development/compilers/yosys/default.nix index 79c8f67b9e06..8948af17145b 100644 --- a/pkgs/development/compilers/yosys/default.nix +++ b/pkgs/development/compilers/yosys/default.nix @@ -9,14 +9,14 @@ with builtins; stdenv.mkDerivation rec { pname = "yosys"; - version = "2019.09.27"; + version = "2019.10.18"; srcs = [ (fetchFromGitHub { owner = "yosyshq"; repo = "yosys"; - rev = "c372e7baf9c48d41ebdbea4486a72e8dfaaddd3d"; - sha256 = "18cyz900haf8lkpddqn0sns0a3hc8fqndzz7gg391671hzvy820k"; + rev = "3c41599ee1f62e4d77ba630fa1a245ef3fe236fa"; + sha256 = "0jg2g8v08ax1q6qlvn8c1h147m03adzrgf21043xwbh4c7s5k137"; name = "yosys"; }) @@ -26,8 +26,8 @@ stdenv.mkDerivation rec { (fetchFromGitHub { owner = "berkeley-abc"; repo = "abc"; - rev = "5776ad07e7247993976bffed4802a5737c456782"; - sha256 = "1la4idmssg44rp6hd63sd5vybvs3vr14yzvwcg03ls37p39cslnl"; + rev = "623b5e82513d076a19f864c01930ad1838498894"; + sha256 = "1mrfqwsivflqdzc3531r6mzp33dfyl6dnqjdwfcq137arqh36m67"; name = "yosys-abc"; }) ]; diff --git a/pkgs/development/go-modules/generic/default.nix b/pkgs/development/go-modules/generic/default.nix index 55fda78b03e3..9adebe512471 100644 --- a/pkgs/development/go-modules/generic/default.nix +++ b/pkgs/development/go-modules/generic/default.nix @@ -73,6 +73,8 @@ let installPhase = args.modInstallPhase or '' runHook preInstall + # remove cached lookup results and tiles + rm -rf "''${GOPATH}/pkg/mod/cache/download/sumdb" cp -r "''${GOPATH}/pkg/mod/cache/download" $out runHook postInstall diff --git a/pkgs/development/haskell-modules/configuration-common.nix b/pkgs/development/haskell-modules/configuration-common.nix index 66737e866044..fa48275d9003 100644 --- a/pkgs/development/haskell-modules/configuration-common.nix +++ b/pkgs/development/haskell-modules/configuration-common.nix @@ -15,6 +15,11 @@ with haskellLib; self: super: { + # Arion's test suite needs a Nixpkgs, which is cumbersome to do from Nixpkgs + # itself. For instance, pkgs.path has dirty sources and puts a huge .git in the + # store. Testing is done upstream. + arion-compose = dontCheck super.arion-compose; + # This used to be a core package provided by GHC, but then the compiler # dropped it. We define the name here to make sure that old packages which # depend on this library still evaluate (even though they won't compile @@ -69,7 +74,7 @@ self: super: { name = "git-annex-${super.git-annex.version}-src"; url = "git://git-annex.branchable.com/"; rev = "refs/tags/" + super.git-annex.version; - sha256 = "0v3wgx3qbillmnn3amnl568ls113y3qlyf3k7y5b9lmz22k93680"; + sha256 = "1dawd7cxqgzv1irzgl9smzdw7b4v59k8xa5gbldkbww0ashyb8qv"; }; }).override { dbus = if pkgs.stdenv.isLinux then self.dbus else null; @@ -1137,11 +1142,6 @@ self: super: { # Jailbreak tasty < 1.2: https://github.com/phadej/tdigest/issues/30 tdigest = doJailbreak super.tdigest; # until tdigest > 0.2.1 - uri-bytestring = appendPatch super.uri-bytestring (pkgs.fetchpatch { - url = "https://github.com/Soostone/uri-bytestring/commit/e5c5602a97160a6a6304a24947e33e47c9155460.patch"; - sha256 = "1qwy8bj6vywhp0075dza8j90zrzsm3144qz3c703s9c4n6pg3gw4"; - }); - # Requires pg_ctl command during tests beam-postgres = overrideCabal super.beam-postgres (drv: { testToolDepends = (drv.testToolDepends or []) ++ [pkgs.postgresql]; @@ -1236,36 +1236,37 @@ self: super: { # The LTS-14.x version of optparse-applicative is too old. cabal-plan = super.cabal-plan.override { optparse-applicative = self.optparse-applicative_0_15_1_0; }; - # https://github.com/gtk2hs/gtk2hs/issues/276 - glib = appendPatch super.glib (pkgs.fetchpatch { - url = https://github.com/gtk2hs/gtk2hs/pull/282/commits/4bb428e144ef2de9390f0f2239dcc50b7fc9a259.patch; - sha256 = "1s72s683p2n5ri1a030zywciq0020ms64cmsy48axndp6dp9vri7"; - stripLen = 1; - }); - pango = appendPatch super.pango (pkgs.fetchpatch { - url = https://github.com/gtk2hs/gtk2hs/pull/282/commits/0a6016e89ce98415bb395ca0cfafeaacf3b3fce6.patch; - sha256 = "1n9spriinyif4h1h9mfj9k87b80kcs39qlym5yxnxxg0yszqqcpc"; - stripLen = 1; - }); - gtk3 = appendPatch super.gtk3 (pkgs.fetchpatch { - url = https://github.com/gtk2hs/gtk2hs/pull/282/commits/cc0d8e8ef9bdffc776182a1f92225750bfea8f57.patch; - sha256 = "175zs694d04d7jfj8xq33rizw38bc3ninr00n26jyrg39vgkmc5j"; - stripLen = 1; - }); - gio = appendPatch super.gio (pkgs.fetchpatch { - url = https://github.com/gtk2hs/gtk2hs/pull/282/commits/f0f7cf524f1beaf227d8cce140abdf7c45efc8c6.patch; - sha256 = "1fadmibpk0q38fzp6a8ss6b1kh7v5d5mw3s9i45cd4dsg86hqb0i"; - stripLen = 1; - }); - gtk = appendPatch super.gtk (pkgs.fetchpatch { - url = https://github.com/gtk2hs/gtk2hs/pull/282/commits/a09720ae8fdc2f9391ba88308312e42d091a4f88.patch; - sha256 = "12ja6sprzl9si51rng8s2xx66ihpm6d6p00qi5czkpkrhr0457n7"; - stripLen = 1; - postFetch = "sed -i -e s,gtk.cabal-renamed,gtk.cabal, $out"; - }); - # Version bounds for http-client are too strict: # https://github.com/bitnomial/prometheus/issues/34 prometheus = doJailbreak super.prometheus; + # Tasty-tap tests are out-of-date with TAP format + # https://github.com/MichaelXavier/tasty-tap/issues/2 + tasty-tap = appendPatch super.tasty-tap (pkgs.fetchpatch { + url = https://patch-diff.githubusercontent.com/raw/MichaelXavier/tasty-tap/pull/3.diff; + sha256 = "0l8zbc56dy8ilxl3k49aiknmfhgpcg3jhs72lh3dk51d0a09d9sv"; + }); + + # The doctests in universum-1.5.0 are broken. The doctests in versions of universum after + # 1.5.0 should be fixed, so this should be able to be removed. + universum = dontCheck super.universum; + + # https://github.com/erikd/hjsmin/issues/32 + hjsmin = dontCheck super.hjsmin; + + # https://github.com/blamario/grampa/issues/19 + rank2classes = dontCheck super.rank2classes; + + nix-tools = super.nix-tools.overrideScope (self: super: { + # Needs https://github.com/peti/hackage-db/pull/9 + hackage-db = super.hackage-db.overrideAttrs (old: { + src = pkgs.fetchFromGitHub { + owner = "ElvishJerricco"; + repo = "hackage-db"; + rev = "84ca9fc75ad45a71880e938e0d93ea4bde05f5bd"; + sha256 = "0y3kw1hrxhsqmyx59sxba8npj4ya8dpgjljc21gkgdvdy9628q4c"; + }; + }); + }); + } // import ./configuration-tensorflow.nix {inherit pkgs haskellLib;} self super diff --git a/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix b/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix index 292a703b13c0..792107472606 100644 --- a/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix +++ b/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix @@ -64,8 +64,11 @@ self: super: { tasty-hedgehog = doJailbreak super.tasty-hedgehog; test-framework = doJailbreak super.test-framework; th-expand-syns = doJailbreak super.th-expand-syns; + # TODO: remove when upstream accepts https://github.com/snapframework/io-streams-haproxy/pull/17 + io-streams-haproxy = doJailbreak super.io-streams-haproxy; # base >=4.5 && <4.13 # use latest version to fix the build + generics-sop = self.generics-sop_0_5_0_0; hackage-db = self.hackage-db_2_1_0; lens = self.lens_4_18_1; memory = self.memory_0_15_0; @@ -77,7 +80,8 @@ self: super: { regex-posix = self.regex-posix_0_96_0_0; regex-tdfa = self.regex-tdfa_1_3_0; shelly = self.shelly_1_9_0; - tls = self.tls_1_5_1; + sop-core = self.sop-core_0_5_0_0; + tls = self.tls_1_5_2; xmonad-contrib = self.xmonad-contrib_0_16; # These packages don't work and need patching and/or an update. diff --git a/pkgs/development/haskell-modules/configuration-hackage2nix.yaml b/pkgs/development/haskell-modules/configuration-hackage2nix.yaml index 1b20a0b5b208..657fc791cafc 100644 --- a/pkgs/development/haskell-modules/configuration-hackage2nix.yaml +++ b/pkgs/development/haskell-modules/configuration-hackage2nix.yaml @@ -43,7 +43,7 @@ core-packages: - ghcjs-base-0 default-package-overrides: - # LTS Haskell 14.8 + # LTS Haskell 14.10 - abstract-deque ==0.3 - abstract-deque-tests ==0.3 - abstract-par ==0.3.3 @@ -247,7 +247,7 @@ default-package-overrides: - butcher ==1.3.2.3 - butter ==0.1.0.6 - bv ==0.5 - - bv-little ==1.1.0 + - bv-little ==1.1.1 - byteable ==0.1.1 - bytedump ==1.0 - byteorder ==1.0.4 @@ -423,7 +423,7 @@ default-package-overrides: - crypto-cipher-tests ==0.0.11 - crypto-cipher-types ==0.0.9 - cryptocompare ==0.1.1 - - crypto-enigma ==0.1.1.5 + - crypto-enigma ==0.1.1.6 - cryptohash ==0.11.9 - cryptohash-cryptoapi ==0.1.4 - cryptohash-md5 ==0.11.100.1 @@ -625,7 +625,7 @@ default-package-overrides: - eventful-sqlite ==0.2.0 - eventful-test-helpers ==0.2.0 - event-list ==0.1.2 - - eventstore ==1.3.2 + - eventstore ==1.3.3 - every ==0.0.1 - exact-combinatorics ==0.2.0.9 - exact-pi ==0.5.0.1 @@ -770,7 +770,7 @@ default-package-overrides: - ghc-compact ==0.1.0.0 - ghc-core ==0.5.6 - ghc-exactprint ==0.6.1 - - ghcid ==0.7.5 + - ghcid ==0.7.6 - ghci-hexcalc ==0.1.1.0 - ghcjs-codemirror ==0.0.0.2 - ghc-lib ==8.8.0.20190424 @@ -875,7 +875,7 @@ default-package-overrides: - haskell-src-meta ==0.8.3 - haskey-btree ==0.3.0.1 - haskintex ==0.8.0.0 - - haskoin-core ==0.9.1 + - haskoin-core ==0.9.2 - hasql ==1.4 - hasql-optparse-applicative ==0.3.0.5 - hasql-pool ==0.5.1 @@ -915,7 +915,7 @@ default-package-overrides: - hinfo ==0.0.3.0 - hinotify ==0.4 - hint ==0.9.0.2 - - hjsmin ==0.2.0.2 + - hjsmin ==0.2.0.3 - hkgr ==0.2.4 - hlibgit2 ==0.18.0.16 - hlibsass ==0.1.8.0 @@ -1011,7 +1011,7 @@ default-package-overrides: - http-client ==0.6.4 - http-client-tls ==0.3.5.3 - http-common ==0.8.2.0 - - http-conduit ==2.3.7.2 + - http-conduit ==2.3.7.3 - http-date ==0.0.8 - http-directory ==0.1.5 - http-download ==0.1.0.0 @@ -1028,30 +1028,30 @@ default-package-overrides: - hvect ==0.4.0.0 - hvega ==0.3.0.1 - hw-balancedparens ==0.2.0.4 - - hw-bits ==0.7.0.7 - - hw-conduit ==0.2.0.5 + - hw-bits ==0.7.0.8 + - hw-conduit ==0.2.0.6 - hw-conduit-merges ==0.2.0.0 - hw-diagnostics ==0.0.0.7 - hw-dsv ==0.3.5 - hweblib ==0.6.3 - hw-eliasfano ==0.1.1.0 - - hw-excess ==0.2.2.0 - - hw-fingertree ==0.1.1.0 - - hw-fingertree-strict ==0.1.1.2 - - hw-hedgehog ==0.1.0.3 - - hw-hspec-hedgehog ==0.1.0.8 - - hw-int ==0.0.0.3 - - hw-ip ==2.3.4.1 + - hw-excess ==0.2.2.1 + - hw-fingertree ==0.1.1.1 + - hw-fingertree-strict ==0.1.1.3 + - hw-hedgehog ==0.1.0.5 + - hw-hspec-hedgehog ==0.1.0.9 + - hw-int ==0.0.0.4 + - hw-ip ==2.3.4.2 - hw-json ==1.0.0.2 - - hw-json-simd ==0.1.0.3 - - hw-mquery ==0.2.0.1 + - hw-json-simd ==0.1.0.4 + - hw-mquery ==0.2.0.2 - hw-packed-vector ==0.0.0.3 - - hw-parser ==0.1.0.1 - - hw-prim ==0.6.2.35 + - hw-parser ==0.1.0.2 + - hw-prim ==0.6.2.36 - hw-rankselect ==0.13.0.0 - - hw-rankselect-base ==0.3.2.1 - - hw-simd ==0.1.1.4 - - hw-streams ==0.0.0.10 + - hw-rankselect-base ==0.3.2.3 + - hw-simd ==0.1.1.5 + - hw-streams ==0.0.0.12 - hw-string-parse ==0.0.0.4 - hw-succinct ==0.1.0.1 - hxt ==9.3.1.18 @@ -1080,7 +1080,7 @@ default-package-overrides: - Imlib ==0.1.2 - immortal ==0.3 - include-file ==0.1.0.4 - - incremental-parser ==0.3.2.2 + - incremental-parser ==0.3.3 - indentation-core ==0.0.0.2 - indentation-parsec ==0.0.0.2 - indents ==0.5.0.1 @@ -1103,7 +1103,7 @@ default-package-overrides: - integration ==0.2.1 - intern ==0.9.2 - interpolate ==0.2.0 - - interpolatedstring-perl6 ==1.0.1 + - interpolatedstring-perl6 ==1.0.2 - interpolatedstring-qq2 ==0.1.0.0 - interpolation ==0.1.1.1 - interpolator ==1.0.0 @@ -1283,7 +1283,7 @@ default-package-overrides: - massiv-test ==0.1.1 - mathexpr ==0.3.0.0 - math-functions ==0.3.3.0 - - matplotlib ==0.7.4 + - matplotlib ==0.7.5 - matrices ==0.5.0 - matrix ==0.3.6.1 - matrix-market-attoparsec ==0.1.0.8 @@ -1517,7 +1517,7 @@ default-package-overrides: - pantry ==0.1.1.2 - parallel ==3.2.2.0 - parallel-io ==0.3.3 - - paripari ==0.6.0.0 + - paripari ==0.6.0.1 - parseargs ==0.2.0.9 - parsec ==3.1.14.0 - parsec-class ==1.0.0.0 @@ -1554,7 +1554,7 @@ default-package-overrides: - percent-format ==0.0.1 - peregrin ==0.3.0 - perfect-hash-generator ==0.2.0.6 - - persist ==0.1.1.3 + - persist ==0.1.1.4 - persistable-record ==0.6.0.4 - persistable-types-HDBC-pg ==0.0.3.5 - persistent ==2.9.2 @@ -1716,7 +1716,7 @@ default-package-overrides: - Ranged-sets ==0.4.0 - range-set-list ==0.1.3.1 - rank1dynamic ==0.4.0 - - rank2classes ==1.3.1 + - rank2classes ==1.3.1.1 - Rasterific ==0.7.4.4 - rasterific-svg ==0.3.3.2 - ratel ==1.0.8 @@ -1733,7 +1733,7 @@ default-package-overrides: - read-editor ==0.1.0.2 - read-env-var ==1.0.0.0 - reanimate ==0.1.8.0 - - reanimate-svg ==0.9.3.0 + - reanimate-svg ==0.9.3.1 - rebase ==1.3.1.1 - record-dot-preprocessor ==0.2 - record-hasfield ==1.0 @@ -1769,8 +1769,6 @@ default-package-overrides: - repa ==3.4.1.4 - repa-algorithms ==3.4.1.3 - repa-io ==3.4.1.1 - - replace-attoparsec ==1.0.2.0 - - replace-megaparsec ==1.1.4.0 - repline ==0.2.1.0 - req ==2.1.0 - req-conduit ==1.0.0 @@ -1781,7 +1779,7 @@ default-package-overrides: - resourcet ==1.2.2 - result ==0.2.6.0 - rethinkdb-client-driver ==0.0.25 - - retry ==0.8.0.2 + - retry ==0.8.1.0 - rev-state ==0.1.2 - rfc1751 ==0.1.2 - rfc5051 ==0.1.0.4 @@ -1883,14 +1881,13 @@ default-package-overrides: - servant-mock ==0.8.5 - servant-multipart ==0.11.4 - servant-pipes ==0.15.1 - - servant-rawm ==0.3.2.0 - servant-ruby ==0.9.0.0 - servant-server ==0.16.2 - servant-static-th ==0.2.2.1 - servant-swagger ==1.1.7.1 - - servant-swagger-ui ==0.3.4.3.22.2 + - servant-swagger-ui ==0.3.4.3.23.11 - servant-swagger-ui-core ==0.3.3 - - servant-swagger-ui-redoc ==0.3.3.1.22.2 + - servant-swagger-ui-redoc ==0.3.3.1.22.3 - servant-tracing ==0.1.0.2 - servant-xml ==1.0.1.4 - servant-yaml ==0.1.0.1 @@ -1905,7 +1902,7 @@ default-package-overrides: - sexpr-parser ==0.1.1.2 - SHA ==1.6.4.4 - shake-language-c ==0.12.0 - - shakespeare ==2.0.21 + - shakespeare ==2.0.22 - shared-memory ==0.2.0.0 - shell-conduit ==4.7.0 - shell-escape ==0.2.0 @@ -2208,7 +2205,7 @@ default-package-overrides: - TypeCompose ==0.9.14 - typed-process ==0.2.6.0 - type-errors ==0.2.0.0 - - type-errors-pretty ==0.0.0.0 + - type-errors-pretty ==0.0.1.0 - type-fun ==0.1.1 - type-hint ==0.1 - type-level-integers ==0.0.1 @@ -2267,7 +2264,7 @@ default-package-overrides: - unordered-containers ==0.2.10.0 - unordered-intmap ==0.1.1 - unsafe ==0.0 - - uri-bytestring ==0.3.2.1 + - uri-bytestring ==0.3.2.2 - uri-bytestring-aeson ==0.1.0.7 - uri-encode ==1.5.0.5 - uri-templater ==0.3.1.0 @@ -2448,7 +2445,7 @@ default-package-overrides: - yesod-recaptcha2 ==0.3.0 - yesod-sitemap ==1.6.0 - yesod-static ==1.6.0.1 - - yesod-test ==1.6.6.2 + - yesod-test ==1.6.7 - yesod-text-markdown ==0.1.10 - yesod-websockets ==0.3.0.2 - yes-precure5-command ==5.5.3 @@ -2584,6 +2581,8 @@ package-maintainers: - shakespeare abbradar: - Agda + roberth: + - arion-compose unsupported-platforms: alsa-mixer: [ x86_64-darwin ] @@ -2972,7 +2971,6 @@ broken-packages: - arguedit - ariadne - arion - - arion-compose - arith-encode - armada - armor @@ -3623,10 +3621,7 @@ broken-packages: - clarifai - CLASE - clash - - clash-ghc - - clash-lib - clash-multisignal - - clash-prelude - Clash-Royale-Hack-Cheats - clash-systemverilog - clash-verilog @@ -4398,7 +4393,6 @@ broken-packages: - ekg-elasticsearch - ekg-influxdb - ekg-log - - ekg-prometheus-adapter - ekg-push - ekg-rrd - elevator @@ -5694,6 +5688,9 @@ broken-packages: - hgen - hgeometric - hgeometry + - hgeometry-combinatorial + - hgeometry-ipe + - hgeometry-svg - hgeos - hgettext - hgis @@ -6943,7 +6940,6 @@ broken-packages: - ls-usb - lscabal - LslPlus - - lsp-test - lsystem - ltext - ltk @@ -8078,7 +8074,6 @@ broken-packages: - projectile - prolog-graph - prolog-graph-lib - - prometheus - prometheus-effect - promise - pronounce @@ -9349,7 +9344,6 @@ broken-packages: - tasty-laws - tasty-lens - tasty-stats - - tasty-tap - Taxonomy - TaxonomyTools - TBC @@ -9755,7 +9749,6 @@ broken-packages: - unity-testresult-parser - unitym-yesod - universe-th - - universum - unix-fcntl - unix-handle - unix-process-conduit @@ -10225,6 +10218,7 @@ broken-packages: - yaya-hedgehog - yaya-unsafe - ycextra + - yeamer - yeller - yeshql-postgresql-simple - yesod-angular diff --git a/pkgs/development/haskell-modules/configuration-nix.nix b/pkgs/development/haskell-modules/configuration-nix.nix index ffdd1134e3b2..f3692d48a294 100644 --- a/pkgs/development/haskell-modules/configuration-nix.nix +++ b/pkgs/development/haskell-modules/configuration-nix.nix @@ -444,6 +444,14 @@ self: super: builtins.intersectAttrs super { [ pkgs.darwin.apple_sdk.frameworks.OpenCL ]; }); + # depends on 'hie' executable + lsp-test = dontCheck super.lsp-test; + + # tests depend on executable + ghcide = overrideCabal super.ghcide (drv: { + preCheck = ''export PATH="$PWD/dist/build/ghcide:$PATH"''; + }); + # GLUT uses `dlopen` to link to freeglut, so we need to set the RUNPATH correctly for # it to find `libglut.so` from the nix store. We do this by patching GLUT.cabal to pkg-config # depend on freeglut, which provides GHC to necessary information to generate a correct RPATH. diff --git a/pkgs/development/haskell-modules/hackage-packages.nix b/pkgs/development/haskell-modules/hackage-packages.nix index 1b95375eb85d..54e0fad13469 100644 --- a/pkgs/development/haskell-modules/hackage-packages.nix +++ b/pkgs/development/haskell-modules/hackage-packages.nix @@ -15866,8 +15866,8 @@ self: { }: mkDerivation { pname = "PyF"; - version = "0.8.1.0"; - sha256 = "10zmw6ircqq53jvkmpqdf7sqf1pgc85m4w2k981jwbhpc3dycgrb"; + version = "0.8.1.1"; + sha256 = "0kwva2ia02z3l0g8vzp9029sv5y4smllc8x9y6qv599f2sy8h31w"; libraryHaskellDepends = [ base containers haskell-src-exts haskell-src-meta megaparsec mtl template-haskell text @@ -16397,6 +16397,27 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "RSA_2_4_1" = callPackage + ({ mkDerivation, base, binary, bytestring, crypto-api + , crypto-pubkey-types, QuickCheck, SHA, tagged, test-framework + , test-framework-quickcheck2 + }: + mkDerivation { + pname = "RSA"; + version = "2.4.1"; + sha256 = "0hchsqrxpfw7mqrqwscfy8ig1w2di6w3nxpzi873w0gibv2diibj"; + libraryHaskellDepends = [ + base binary bytestring crypto-api crypto-pubkey-types SHA + ]; + testHaskellDepends = [ + base binary bytestring crypto-api crypto-pubkey-types QuickCheck + SHA tagged test-framework test-framework-quickcheck2 + ]; + description = "Implementation of RSA, using the padding schemes of PKCS#1 v2.1."; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "RSolve" = callPackage ({ mkDerivation, base, containers, lens, mtl }: mkDerivation { @@ -20933,8 +20954,8 @@ self: { ({ mkDerivation, base, deepseq, random, simple-affine-space }: mkDerivation { pname = "Yampa"; - version = "0.13"; - sha256 = "1rxy8vky3wmqn4awr6v7r40ghk6nr27y11jnzbkj1bdp1948irc0"; + version = "0.13.1"; + sha256 = "0wx47awmijdrw4alcwd4icfip8702h3riq0nhs8sjfjqsihdz4fb"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -23909,10 +23930,8 @@ self: { }: mkDerivation { pname = "aeson-yaml"; - version = "1.0.0.0"; - sha256 = "1d9ijcd9vry7fx5ks0qcq5q6pmncibg3m9k798i4vnl8ahncjqcq"; - revision = "2"; - editedCabalFile = "1zvil7w7a8j4d6dxkpdizs63qm8ylz18nvz6s2hjs5kgnfjd3dxm"; + version = "1.0.2.0"; + sha256 = "1h0pk3nw8y6c2z5rd1shjm8bcdgdvg1v9iqw5hc9b6rffi332n6q"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -24131,8 +24150,8 @@ self: { ({ mkDerivation, base, containers }: mkDerivation { pname = "agum"; - version = "2.7"; - sha256 = "1x1yd2wxff2am7g50nvwmk4slw6p31zl61mlm8rdgcjbds4a2qrk"; + version = "2.8"; + sha256 = "17x0vbmfzrg5r99c8qdw5np63k1gaqr4q9ab93cpga2wk42pwlrl"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ base containers ]; @@ -24698,6 +24717,18 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "alg_0_2_12_0" = callPackage + ({ mkDerivation, base, dual, util }: + mkDerivation { + pname = "alg"; + version = "0.2.12.0"; + sha256 = "0dglxav21z60jsjh6s14v466bg0z3m3wqx1c78kxk9cziz27dm3s"; + libraryHaskellDepends = [ base dual util ]; + description = "Algebraic structures"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "alga" = callPackage ({ mkDerivation, aeson, base, containers, data-default, exceptions , file-embed, filepath, formatting, haskeline, hspec, hxt @@ -30267,14 +30298,14 @@ self: { "arbor-datadog" = callPackage ({ mkDerivation, aeson, auto-update, base, buffer-builder - , bytestring, Cabal, dlist, generic-lens, hspec, lens, mtl, network - , optparse-applicative, random, resourcet, text, time, transformers - , unordered-containers, vector + , bytestring, Cabal, dlist, generic-lens, hspec, hspec-discover + , lens, mtl, network, optparse-applicative, random, resourcet, text + , time, transformers, unordered-containers, vector }: mkDerivation { pname = "arbor-datadog"; - version = "0.1.0.0"; - sha256 = "1w7bg8vj10mplfq39klhivj8hfb2cbgw9vhj1h64gm9v2xw25wlq"; + version = "0.1.0.1"; + sha256 = "1a6kvrm150kc12mi8sb3j89v5q9siwpqnrfsbcfxw4wq5jrwxsbg"; libraryHaskellDepends = [ aeson auto-update base buffer-builder bytestring dlist generic-lens lens mtl network optparse-applicative random resourcet text time @@ -30284,6 +30315,7 @@ self: { base Cabal generic-lens hspec lens network resourcet time transformers ]; + testToolDepends = [ hspec-discover ]; description = "Datadog client for Haskell"; license = stdenv.lib.licenses.mit; }) {}; @@ -30307,12 +30339,13 @@ self: { "arbor-monad-counter" = callPackage ({ mkDerivation, base, containers, generic-lens, hedgehog, hspec - , hw-hspec-hedgehog, lens, mtl, resourcet, stm, transformers + , hspec-discover, hw-hspec-hedgehog, lens, mtl, resourcet, stm + , transformers }: mkDerivation { pname = "arbor-monad-counter"; - version = "2.0.1"; - sha256 = "0fkf71ml6qfsxjx1p7gqf41q8x55hn8qsbb7nmyai0k0vz0s2yqq"; + version = "2.0.2"; + sha256 = "1bfqjbfyr6f11w1g9i45wcnqjpsjs1jwwjij64nq5903m8bsby73"; libraryHaskellDepends = [ base containers generic-lens lens mtl resourcet stm transformers ]; @@ -30320,19 +30353,20 @@ self: { base containers generic-lens hedgehog hspec hw-hspec-hedgehog lens mtl resourcet stm transformers ]; + testToolDepends = [ hspec-discover ]; + description = "Counter library for submitting metrics to a backend such as datadog"; license = stdenv.lib.licenses.mit; }) {}; "arbor-monad-logger" = callPackage ({ mkDerivation, base, bytestring, fast-logger, hedgehog, hspec - , hw-hspec-hedgehog, monad-logger, mtl, optparse-applicative, text + , hspec-discover, hw-hspec-hedgehog, monad-logger, mtl + , optparse-applicative, text }: mkDerivation { pname = "arbor-monad-logger"; - version = "0.1.1.1"; - sha256 = "13lgpr2j2vq2d4mbxl72h86iw5n028m5q0n2hbiz4hgk2yn2f2hs"; - revision = "1"; - editedCabalFile = "1ga2dl721zi4qz5f1qb6vqnjw7fa5x862xz2636jnidl03jh4rwv"; + version = "0.1.1.3"; + sha256 = "1x44kavmgm7nffvnakyrmcxq03vshg15vkk8wcpvkq43dndk9jb2"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -30340,6 +30374,7 @@ self: { ]; executableHaskellDepends = [ base mtl ]; testHaskellDepends = [ base hedgehog hspec hw-hspec-hedgehog ]; + testToolDepends = [ hspec-discover ]; description = "Simple logging library"; license = stdenv.lib.licenses.mit; hydraPlatforms = stdenv.lib.platforms.none; @@ -30348,13 +30383,13 @@ self: { "arbor-monad-metric" = callPackage ({ mkDerivation, arbor-datadog, base, bytestring, containers - , generic-lens, hedgehog, hspec, hw-hspec-hedgehog, lens, mtl - , network, resourcet, stm, text, transformers + , generic-lens, hedgehog, hspec, hspec-discover, hw-hspec-hedgehog + , lens, mtl, network, resourcet, stm, text, transformers }: mkDerivation { pname = "arbor-monad-metric"; - version = "1.2.0"; - sha256 = "0mn6pc5h1rwd3w2cw393skm62yxii21j5f7q9rlpdw7np9xgwfcf"; + version = "1.2.1"; + sha256 = "0lrp60nspihvyjzlj8y7ndwkhp1r09rx85mxkal5alcbgg0nzqf7"; libraryHaskellDepends = [ base containers generic-lens lens mtl resourcet stm text transformers @@ -30364,6 +30399,7 @@ self: { hspec hw-hspec-hedgehog lens mtl network resourcet stm text transformers ]; + testToolDepends = [ hspec-discover ]; description = "Core metric library for publishing metrics"; license = stdenv.lib.licenses.mit; hydraPlatforms = stdenv.lib.platforms.none; @@ -30373,13 +30409,13 @@ self: { "arbor-monad-metric-datadog" = callPackage ({ mkDerivation, arbor-datadog, arbor-monad-metric, base , bytestring, containers, exceptions, fast-logger, generic-lens - , hedgehog, hspec, hw-hspec-hedgehog, lens, monad-logger, mtl - , network, resourcet, stm, text, transformers + , hedgehog, hspec, hspec-discover, hw-hspec-hedgehog, lens + , monad-logger, mtl, network, resourcet, stm, text, transformers }: mkDerivation { pname = "arbor-monad-metric-datadog"; - version = "1.1.0"; - sha256 = "1ly7vn630vm256jg1b6h39vzd7zv658h7j4vfv4jkdrrcl3jmbr0"; + version = "1.1.1"; + sha256 = "1188xwwxx7ykdh7v15gqnh0kv9w1g66wp09jp1fpfyms1qj12nqj"; libraryHaskellDepends = [ arbor-datadog arbor-monad-metric base bytestring containers generic-lens lens mtl network resourcet stm text transformers @@ -30390,6 +30426,7 @@ self: { hw-hspec-hedgehog lens monad-logger mtl network resourcet stm text transformers ]; + testToolDepends = [ hspec-discover ]; description = "Metric library backend for datadog"; license = stdenv.lib.licenses.mit; hydraPlatforms = stdenv.lib.platforms.none; @@ -30402,8 +30439,8 @@ self: { }: mkDerivation { pname = "arbor-postgres"; - version = "0.0.4"; - sha256 = "0z3n2w9a57sl24i7h4yz8204drwkgr54pr2yrjam4xs3dyx6irf4"; + version = "0.0.5"; + sha256 = "0vn3jv60pphjjmhjwn1il3sh886dgdxs47gdiqfrii12hv8rsi21"; libraryHaskellDepends = [ base bytestring generic-lens lens network-uri optparse-applicative postgresql-simple text @@ -30841,8 +30878,7 @@ self: { ]; description = "Run docker-compose with help from Nix/NixOS"; license = stdenv.lib.licenses.asl20; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; + maintainers = with stdenv.lib.maintainers; [ roberth ]; }) {}; "arith-encode" = callPackage @@ -39410,8 +39446,8 @@ self: { }: mkDerivation { pname = "birch-beer"; - version = "0.2.1.0"; - sha256 = "0630cs2sfr5w4yva7a5cz9b5ms4cwqp7a027qhgz634sb4ih5q4k"; + version = "0.2.2.0"; + sha256 = "1175gxj08pn1h58cgppwx3h79wplmycfrpzn46cyw2fpjqakc5gi"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -43904,33 +43940,6 @@ self: { }: mkDerivation { pname = "bv-little"; - version = "1.1.0"; - sha256 = "1cpmwmd6gig0gggbr197cjpp0ykaj30jniqq3r5lsv644wizkg6r"; - libraryHaskellDepends = [ - base deepseq hashable integer-gmp keys mono-traversable - mono-traversable-keys primitive QuickCheck text-show - ]; - testHaskellDepends = [ - base deepseq hashable mono-traversable mono-traversable-keys - QuickCheck smallcheck tasty tasty-hunit tasty-quickcheck - tasty-smallcheck text-show - ]; - benchmarkHaskellDepends = [ - base criterion deepseq hashable mono-traversable QuickCheck - smallcheck - ]; - description = "Efficient little-endian bit vector library"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "bv-little_1_1_1" = callPackage - ({ mkDerivation, base, criterion, deepseq, hashable, integer-gmp - , keys, mono-traversable, mono-traversable-keys, primitive - , QuickCheck, smallcheck, tasty, tasty-hunit, tasty-quickcheck - , tasty-smallcheck, text-show - }: - mkDerivation { - pname = "bv-little"; version = "1.1.1"; sha256 = "034riqlgkccyl5lvc593v3dyszvqy2vqikk80qm6lw30pkmbcdnr"; libraryHaskellDepends = [ @@ -43948,7 +43957,6 @@ self: { ]; description = "Efficient little-endian bit vector library"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "bv-sized" = callPackage @@ -43980,6 +43988,8 @@ self: { pname = "byline"; version = "0.3.2.1"; sha256 = "196c75i7cz7yww3d5pi5p51wd5bf3a8v8nsb2vkiv25ndwrsb20w"; + revision = "1"; + editedCabalFile = "1bkrhwi851qq6jd3wcajypqi3aha66hsr0jq1h8wgqpgm6mg0abl"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -45812,6 +45822,8 @@ self: { pname = "cabal-test-quickcheck"; version = "0.1.8.2"; sha256 = "04fdfxvgp518x7n6d74l92qh67z94pay4wldy8dv4n51zhkgk8bf"; + revision = "1"; + editedCabalFile = "1q0zs98z8wvr0gzy27ff688fpsgwxjypwjsyzs8v2v6gqd49iwri"; libraryHaskellDepends = [ base Cabal QuickCheck ]; description = "QuickCheck for Cabal"; license = stdenv.lib.licenses.mit; @@ -46390,10 +46402,8 @@ self: { }: mkDerivation { pname = "cairo"; - version = "0.13.6.0"; - sha256 = "0c0b0ffxd9dx4c52ny6im420f6wyjmd822fn6vh561d07nr0y08n"; - revision = "1"; - editedCabalFile = "1mldbaa3qp0nf4jyns1cnadyk8gga2w6hl3y2xdbnbbsjm42s4m9"; + version = "0.13.6.1"; + sha256 = "04vjia6pfx5nkrjvxrjj774gsljmhzlyjm8ir88l32ygry2f0rbg"; enableSeparateDataOutput = true; setupHaskellDepends = [ base Cabal gtk2hs-buildtools ]; libraryHaskellDepends = [ @@ -48157,6 +48167,18 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "category_0_2_4_2" = callPackage + ({ mkDerivation, alg, base, dual, transformers }: + mkDerivation { + pname = "category"; + version = "0.2.4.2"; + sha256 = "112cipa7bnjaj8k9grhxzw7ffkhillgf09qsrp62p1aqsvcrlmf8"; + libraryHaskellDepends = [ alg base dual transformers ]; + description = "Categorical types and classes"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "category-extras" = callPackage ({ mkDerivation, adjunctions, bifunctors, categories, comonad , comonad-extras, comonad-transformers, comonads-fd, contravariant @@ -51166,8 +51188,8 @@ self: { }: mkDerivation { pname = "clash-ghc"; - version = "1.0.0"; - sha256 = "1b216mvw4w0yhpr8bi5j6ra2lfhk6imys10r0pidrpfc8wh779lg"; + version = "1.0.1"; + sha256 = "00g5j3f8j9virq32mmbk8qi6nkjcgagw6n9n8kwv9x3xyl4cpjkq"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -51181,38 +51203,37 @@ self: { executableHaskellDepends = [ base ]; description = "CAES Language for Synchronous Hardware"; license = stdenv.lib.licenses.bsd2; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "clash-lib" = callPackage - ({ mkDerivation, aeson, ansi-terminal, ansi-wl-pprint, attoparsec - , base, binary, bytestring, clash-prelude, concurrent-supply - , containers, data-binary-ieee754, deepseq, directory, errors - , exceptions, filepath, ghc, hashable, hint, integer-gmp + ({ mkDerivation, aeson, ansi-terminal, attoparsec, base, binary + , bytestring, clash-prelude, concurrent-supply, containers + , data-binary-ieee754, deepseq, directory, errors, exceptions + , filepath, ghc, ghc-typelits-knownnat, hashable, hint, integer-gmp , interpolate, lens, mtl, parsers, prettyprinter, primitive - , process, reducers, template-haskell, temporary, text, text-show - , time, transformers, trifecta, unordered-containers, vector - , vector-binary-instances + , process, reducers, tasty, tasty-hunit, template-haskell + , temporary, text, text-show, time, transformers, trifecta + , unordered-containers, vector, vector-binary-instances }: mkDerivation { pname = "clash-lib"; - version = "1.0.0"; - sha256 = "1mh6aj6987i80xkqxw1jrqf0jih7jlgsgf13p30mc1graibxbj95"; + version = "1.0.1"; + sha256 = "0icp6lgn5iix8iqcr2dqcjwx7qzx4r61lxqjjdrkfrj87kxaa9v1"; enableSeparateDataOutput = true; libraryHaskellDepends = [ - aeson ansi-terminal ansi-wl-pprint attoparsec base binary - bytestring clash-prelude concurrent-supply containers - data-binary-ieee754 deepseq directory errors exceptions filepath - ghc hashable hint integer-gmp interpolate lens mtl parsers - prettyprinter primitive process reducers template-haskell temporary - text text-show time transformers trifecta unordered-containers - vector vector-binary-instances + aeson ansi-terminal attoparsec base binary bytestring clash-prelude + concurrent-supply containers data-binary-ieee754 deepseq directory + errors exceptions filepath ghc hashable hint integer-gmp + interpolate lens mtl parsers prettyprinter primitive process + reducers template-haskell temporary text text-show time + transformers trifecta unordered-containers vector + vector-binary-instances + ]; + testHaskellDepends = [ + base clash-prelude ghc ghc-typelits-knownnat lens tasty tasty-hunit ]; description = "CAES Language for Synchronous Hardware - As a Library"; license = stdenv.lib.licenses.bsd2; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "clash-multisignal" = callPackage @@ -51243,10 +51264,8 @@ self: { }: mkDerivation { pname = "clash-prelude"; - version = "1.0.0"; - sha256 = "045818jkl8q3b5rp52fx5znb0d9ai3ny8avls0jmgf7aqbmcwr5k"; - revision = "2"; - editedCabalFile = "0l7scl33mrr0i2208yh5dqbz8afmh9crhf9wlvyz67j1l91sfaqc"; + version = "1.0.1"; + sha256 = "0cqsr561cx27kqrdf56af1ggq4d1wadzlmbx4wm14l4z6vc2579p"; libraryHaskellDepends = [ array base bifunctors binary bytestring constraints containers data-binary-ieee754 data-default-class deepseq ghc-prim @@ -51263,8 +51282,6 @@ self: { ]; description = "CAES Language for Synchronous Hardware - Prelude library"; license = stdenv.lib.licenses.bsd2; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "clash-prelude-quickcheck" = callPackage @@ -52991,8 +53008,8 @@ self: { ({ mkDerivation, array, base, containers }: mkDerivation { pname = "cmu"; - version = "1.11"; - sha256 = "1zldm0j4cxhc3zwxz2zn35mbnrqpjagh3v90akvnjz95jy60z171"; + version = "1.12"; + sha256 = "08a5a6w2qq3fbvrjkhk8dzansv7rs81bamyi383wr48qxlx2vlsb"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ array base containers ]; @@ -54041,10 +54058,8 @@ self: { }: mkDerivation { pname = "colour-space"; - version = "0.1.5.0"; - sha256 = "13xmn8l11r06xna575sw6sdhp2rj25q8qd9ljbjpl37fr1qbc8xs"; - revision = "1"; - editedCabalFile = "0vz6yxwllvrcw6dbrpam8rbadzwzk3nab9skzigcnrkqi4y068wz"; + version = "0.1.5.1"; + sha256 = "18aqmppmq3czq38yn3rdblj6klvnax64jbkc524v9lsj45d7si43"; libraryHaskellDepends = [ base call-stack colour constrained-categories JuicyPixels lens linear linearmap-category manifolds semigroups vector-space @@ -57456,6 +57471,19 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "constraint-tuples" = callPackage + ({ mkDerivation, base }: + mkDerivation { + pname = "constraint-tuples"; + version = "0.1"; + sha256 = "0mpbkwq45a4l0bg9sqs0jyadnxz82rxqgrzb8jkn9n779xm2mhpn"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ base ]; + description = "Partially applicable constraint tuples"; + license = stdenv.lib.licenses.bsd3; + }) {}; + "constraints" = callPackage ({ mkDerivation, base, binary, deepseq, ghc-prim, hashable, hspec , hspec-discover, mtl, semigroups, transformers @@ -57779,6 +57807,27 @@ self: { broken = true; }) {}; + "context-free-art" = callPackage + ({ mkDerivation, base, bifunctors, blaze-markup, blaze-svg, extra + , HUnit, random, text, text-show + }: + mkDerivation { + pname = "context-free-art"; + version = "0.2.0.0"; + sha256 = "1ljkyhx2vb3nf5kv3fkj2jin5bdi284vzsqnmbha34dpykg5m873"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + base bifunctors blaze-markup blaze-svg extra random text text-show + ]; + executableHaskellDepends = [ + base bifunctors blaze-markup blaze-svg extra HUnit random text + text-show + ]; + description = "Generate art from context-free grammars"; + license = stdenv.lib.licenses.bsd3; + }) {}; + "context-free-grammar" = callPackage ({ mkDerivation, array, base, containers, control-monad-omega , dlist, HUnit, mtl, pretty, QuickCheck, quickcheck-properties @@ -58734,6 +58783,28 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "core-program_0_2_1_0" = callPackage + ({ mkDerivation, async, base, bytestring, chronologique, core-data + , core-text, directory, exceptions, hashable, hourglass, mtl + , prettyprinter, prettyprinter-ansi-terminal, safe-exceptions, stm + , template-haskell, terminal-size, text, text-short, transformers + , unix + }: + mkDerivation { + pname = "core-program"; + version = "0.2.1.0"; + sha256 = "0x3h09gqnm72j7m44ssnrh2mcpdk8j1hlg6cq61p8s6ddwdn1nxn"; + libraryHaskellDepends = [ + async base bytestring chronologique core-data core-text directory + exceptions hashable hourglass mtl prettyprinter + prettyprinter-ansi-terminal safe-exceptions stm template-haskell + terminal-size text text-short transformers unix + ]; + description = "Opinionated Haskell Interoperability"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "core-text" = callPackage ({ mkDerivation, base, bytestring, deepseq, fingertree, hashable , prettyprinter, prettyprinter-ansi-terminal, template-haskell @@ -60551,27 +60622,6 @@ self: { }: mkDerivation { pname = "crypto-enigma"; - version = "0.1.1.5"; - sha256 = "001kl84ngfwr644v7dyk5shkqfw606bpza8b61bv4p4lqyfk96l2"; - revision = "1"; - editedCabalFile = "0ld72k1zq13vg1xh75qj1zrrrc4w5fzj0jv7idrynybjwmhvq92n"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ base containers split text ]; - executableHaskellDepends = [ - ansi-terminal base containers optparse-applicative split text - ]; - testHaskellDepends = [ base HUnit QuickCheck ]; - description = "An Enigma machine simulator with display"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "crypto-enigma_0_1_1_6" = callPackage - ({ mkDerivation, ansi-terminal, base, containers, HUnit - , optparse-applicative, QuickCheck, split, text - }: - mkDerivation { - pname = "crypto-enigma"; version = "0.1.1.6"; sha256 = "07qxrpwg9r2w2l0d2nrvn703vzsfhchznly93bnr2pfpbwj4iv2z"; isLibrary = true; @@ -60583,7 +60633,6 @@ self: { testHaskellDepends = [ base HUnit QuickCheck ]; description = "An Enigma machine simulator with display"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "crypto-multihash" = callPackage @@ -61157,8 +61206,8 @@ self: { }: mkDerivation { pname = "cryptostore"; - version = "0.2.0.0"; - sha256 = "1j7pk42mivwlcrjyrjdkldidhsv3k5myqq0ld8sksgkbkn1k1z7w"; + version = "0.2.1.0"; + sha256 = "03y9xdjnraa7kyj3c79rqzw9lqw1csww21assxhjkjyid1ps4gn5"; libraryHaskellDepends = [ asn1-encoding asn1-types base basement bytestring cryptonite hourglass memory pem x509 x509-validation @@ -65964,6 +66013,8 @@ self: { pname = "debian"; version = "4.0.0"; sha256 = "1gshvsgbgghskl4csngffdpnf49z27yhap86bwqnkp4asbh553h6"; + revision = "1"; + editedCabalFile = "1p338790mb39j77rf9r82sbwlkv7ax2ipfl5232aa8ygzn2py6nk"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -68127,8 +68178,8 @@ self: { pname = "dhall-text"; version = "1.0.18"; sha256 = "1nwvj67glqyn5yd62ni16wqppv8d3hy7d9aw87p35zkch1vr8vsd"; - revision = "1"; - editedCabalFile = "1dynw76kmca5l43jqrmgmzbdd7hqixiyfpb4pcx4dzr6ghar49s7"; + revision = "2"; + editedCabalFile = "0pzd0rp2vzskwbplkqgq4783793c6zfwm46jsn2y8da452prbyhh"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ @@ -73408,8 +73459,8 @@ self: { ({ mkDerivation, base }: mkDerivation { pname = "dual"; - version = "0.1.0.0"; - sha256 = "02abbnynjxhr2dvqqph3mnzc24v9wc655qkhh70flc168dk0k6hr"; + version = "0.1.0.2"; + sha256 = "08daga1lh267vj2y98z730zlrqxp7f8yqkrnpwbz3gfci7qzd8pa"; libraryHaskellDepends = [ base ]; description = "Dual category"; license = stdenv.lib.licenses.bsd3; @@ -74092,10 +74143,8 @@ self: { }: mkDerivation { pname = "dynamic-plot"; - version = "0.4.0.0"; - sha256 = "1klq7lwvfwwp6z842g50a2xx6cqihp8a6551f935nr2sx9hwdr94"; - revision = "1"; - editedCabalFile = "0x5jxjvwfkax6lxwk28hd2p903q6zmr1nxhyahrwcnqgh6ygywiw"; + version = "0.4.1.0"; + sha256 = "1nly6ra0kd72q42hiaqism94vmyg5hp4ppyd024iiq9pcbgpq7r6"; libraryHaskellDepends = [ base colour colour-space constrained-categories containers data-default deepseq diagrams-cairo diagrams-core diagrams-gtk @@ -75587,8 +75636,6 @@ self: { testHaskellDepends = [ base ]; description = "Easily expose your EKG metrics to Prometheus"; license = stdenv.lib.licenses.mit; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "ekg-push" = callPackage @@ -76686,7 +76733,7 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; - "emd_0_1_8_1" = callPackage + "emd_0_1_9_0" = callPackage ({ mkDerivation, array, base, binary, carray, containers, criterion , data-default-class, deepseq, fft, finite-typelits , ghc-typelits-knownnat, ghc-typelits-natnormalise, HUnit @@ -76695,8 +76742,8 @@ self: { }: mkDerivation { pname = "emd"; - version = "0.1.8.1"; - sha256 = "1dijiqcql8n6an1hl8jvm487jqi7v9ji27lgas3ychc65lw7xwca"; + version = "0.1.9.0"; + sha256 = "029yfa9246vk2i2bmiq7vxmfkc04samfnnsjxaid4xsnmwf03yll"; libraryHaskellDepends = [ array base binary carray containers data-default-class deepseq fft finite-typelits ghc-typelits-knownnat ghc-typelits-natnormalise @@ -78279,7 +78326,7 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; - "esqueleto_3_1_0" = callPackage + "esqueleto_3_1_1" = callPackage ({ mkDerivation, aeson, base, blaze-html, bytestring, conduit , containers, exceptions, hspec, monad-logger, mysql, mysql-simple , persistent, persistent-mysql, persistent-postgresql @@ -78289,8 +78336,8 @@ self: { }: mkDerivation { pname = "esqueleto"; - version = "3.1.0"; - sha256 = "0x3hrh5ymv19l52634q18hsmxjranngc32ig6b2lbd5lz8d6iigy"; + version = "3.1.1"; + sha256 = "0yxa5z615cn35462y0s6bp62j1mi7xkr46xj6mcw98536vsjxpgy"; libraryHaskellDepends = [ aeson base blaze-html bytestring conduit monad-logger persistent resourcet tagged text time transformers unliftio @@ -79327,8 +79374,8 @@ self: { }: mkDerivation { pname = "eventstore"; - version = "1.3.2"; - sha256 = "1a5nxx9w56x1ddnncnc576x9282jlmksqn6gscmavmaj7i9nfplg"; + version = "1.3.3"; + sha256 = "0xf67ywg2f1wj75s82s2lsi2zgvk1xqhl09c4hnl45qzslkbl2xp"; libraryHaskellDepends = [ aeson array base bifunctors bytestring cereal clock connection containers dns dotnet-timespan ekg-core exceptions fast-logger @@ -83758,16 +83805,16 @@ self: { "finitary" = callPackage ({ mkDerivation, base, bitvec, coercible-utils, finite-typelits , ghc-typelits-knownnat, ghc-typelits-natnormalise, hedgehog - , monad-loops, mtl, primitive, template-haskell, typelits-witnesses + , monad-loops, primitive, template-haskell, typelits-witnesses , vector, vector-sized }: mkDerivation { pname = "finitary"; - version = "1.1.0.1"; - sha256 = "13rvwymzsl91zwvc565ysakn8lznwwfnrg0d4vxvlqqrrkbkca1p"; + version = "1.2.0.0"; + sha256 = "1r9knjg3vypzyvlawl5pysvsjv7vf6cadh8d5kqqj6xsk3qdnnp5"; libraryHaskellDepends = [ base bitvec coercible-utils finite-typelits ghc-typelits-knownnat - ghc-typelits-natnormalise mtl primitive template-haskell + ghc-typelits-natnormalise primitive template-haskell typelits-witnesses vector vector-sized ]; testHaskellDepends = [ @@ -89056,6 +89103,8 @@ self: { pname = "futhark"; version = "0.12.1"; sha256 = "00f95mhw6z5hz5jg6mnpgklkm548gp6nr3c49qhr661n8xl1fpyr"; + revision = "2"; + editedCabalFile = "0ic85nm7a76n0cixy991kblhgwk1853d1k5py6jg4k8qz0hvnja4"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -90824,13 +90873,13 @@ self: { license = stdenv.lib.licenses.mit; }) {}; - "generics-mrsop_2_2_0" = callPackage + "generics-mrsop_2_3_0" = callPackage ({ mkDerivation, base, containers, mtl, sop-core, template-haskell }: mkDerivation { pname = "generics-mrsop"; - version = "2.2.0"; - sha256 = "0ni0pvwgpb1a8irgxn20hv2pxn2mx0d40s3raz9v38vyiyb6l05x"; + version = "2.3.0"; + sha256 = "1jcjsvzd1wgdcclw54ic5d674y6kmnyiwjkz1k3fxpv75x3xc3zq"; libraryHaskellDepends = [ base containers mtl sop-core template-haskell ]; @@ -90843,10 +90892,10 @@ self: { ({ mkDerivation, base, generics-mrsop }: mkDerivation { pname = "generics-mrsop-gdiff"; - version = "0.0.1"; - sha256 = "0r5i9m07bl3m0m0vkzf38dddp0bjwg4lpyiyhjysb0s5sw4djrnh"; + version = "0.0.2"; + sha256 = "01fkfk18h8dpl6w3ipx85ay9qj8s56xl7022ids21a0slyc4ml4s"; libraryHaskellDepends = [ base generics-mrsop ]; - description = "Reimplementation of the `gdiff` algorithm for `generics-mrsop`"; + description = "Reimplementation of the gdiff algorithm for generics-mrsop"; license = stdenv.lib.licenses.bsd3; hydraPlatforms = stdenv.lib.platforms.none; broken = true; @@ -92128,12 +92177,12 @@ self: { }) {}; "ghc-datasize" = callPackage - ({ mkDerivation, base, deepseq, ghc-heap-view }: + ({ mkDerivation, base, deepseq, ghc-heap, ghc-prim }: mkDerivation { pname = "ghc-datasize"; - version = "0.2.1"; - sha256 = "0qsh4m6vif07nd0r5lbwggqrlykmlnspdx1jwzzhz6mk1hcf914d"; - libraryHaskellDepends = [ base deepseq ghc-heap-view ]; + version = "0.2.2"; + sha256 = "19iapv0m2g7d5i88pg9h19r89hafwj5f3h5682sp37irl4mzwkww"; + libraryHaskellDepends = [ base deepseq ghc-heap ghc-prim ]; description = "Determine the size of data structures in GHC's memory"; license = stdenv.lib.licenses.bsd3; hydraPlatforms = stdenv.lib.platforms.none; @@ -92901,8 +92950,8 @@ self: { }: mkDerivation { pname = "ghc-source-gen"; - version = "0.2.0.1"; - sha256 = "1diz1vrhxx8ppj4jljzfwlrg059kdcz20ba635f7hq4kpp0blbjy"; + version = "0.3.0.0"; + sha256 = "1r9mnwwbpc1bzjcbs5q58wrjnwjrsbcvmcv1khswchcfim12lqqk"; libraryHaskellDepends = [ base ghc ]; testHaskellDepends = [ base ghc ghc-paths QuickCheck tasty tasty-hunit tasty-quickcheck @@ -93178,6 +93227,17 @@ self: { broken = true; }) {}; + "ghcflags" = callPackage + ({ mkDerivation, base, directory, ghc }: + mkDerivation { + pname = "ghcflags"; + version = "1.0.0"; + sha256 = "0dprknv4q4n7f29bdnng033klmq7bh6g5yd8v9y1vzr58x3xdzrv"; + libraryHaskellDepends = [ base directory ghc ]; + description = "Dump the ghc flags during compilation"; + license = stdenv.lib.licenses.bsd2; + }) {}; + "ghci_8_6_5" = callPackage ({ mkDerivation, array, base, binary, bytestring, containers , deepseq, filepath, ghc-boot, ghc-boot-th, ghc-heap @@ -93345,8 +93405,8 @@ self: { }: mkDerivation { pname = "ghcid"; - version = "0.7.5"; - sha256 = "0ics4ibkr9p8pd81hfr7wk1wi10rjbsmwqcln8sda61p9v46pdh4"; + version = "0.7.6"; + sha256 = "0ic4v2l2mlcbgshd2p3834mdzagprc2bqjhnm9z38yvwj4bjgp5r"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -94882,8 +94942,8 @@ self: { }: mkDerivation { pname = "gio"; - version = "0.13.6.0"; - sha256 = "1ck92sy9j3jrwi2w6a0nslz92aczznsz96rdqq8v78rqqislpirm"; + version = "0.13.6.1"; + sha256 = "0lj913029v0h035lri2mkkd8ypc3asb30igj5rrcs6miwhfkmbcf"; enableSeparateDataOutput = true; setupHaskellDepends = [ base Cabal gtk2hs-buildtools ]; libraryHaskellDepends = [ @@ -95029,8 +95089,8 @@ self: { }: mkDerivation { pname = "git-annex"; - version = "7.20191009"; - sha256 = "10ycvjl9b3aa81zdz239ngjbbambfjrzds1a23wdlbjkn12nsg4g"; + version = "7.20191017"; + sha256 = "1ydccqqn8v9jns25r6cyz6nd9w80x9wgw85x1v0z6mhv00l2ifp0"; configureFlags = [ "-fassistant" "-f-benchmark" "-fdbus" "-f-debuglocks" "-fmagicmime" "-f-networkbsd" "-fpairing" "-fproduction" "-fs3" "-ftorrentparser" @@ -96470,8 +96530,8 @@ self: { }: mkDerivation { pname = "glib"; - version = "0.13.7.0"; - sha256 = "06hdn8mprq6xclp8xfr1iqx82i0nhims3dhjblqn26ig5fdidjbw"; + version = "0.13.7.1"; + sha256 = "1h15ir53wc8l5cp09kr71nhk0zn39nm20bmhx2adgp2m68mj5ypp"; setupHaskellDepends = [ base Cabal gtk2hs-buildtools ]; libraryHaskellDepends = [ base bytestring containers text utf8-string @@ -100595,8 +100655,8 @@ self: { }: mkDerivation { pname = "grammatical-parsers"; - version = "0.4.1"; - sha256 = "1hnn3k78hl7kd6dxv45l5lljva1jzv9akpa7jgr22a3mjccf65sr"; + version = "0.4.1.1"; + sha256 = "1gxpkh5frf7yfy0121m4353s4yy6cjpcjpc827skkhgfjl42jqk5"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -101210,20 +101270,24 @@ self: { "graphql-api" = callPackage ({ mkDerivation, aeson, attoparsec, base, containers, criterion , directory, doctest, exceptions, ghc-prim, hspec, protolude - , QuickCheck, raw-strings-qq, scientific, tasty, tasty-hspec, text - , transformers + , QuickCheck, raw-strings-qq, scientific, text, transformers }: mkDerivation { pname = "graphql-api"; - version = "0.3.0"; - sha256 = "1rn47xxyz3wkflz2ji0d496r8w0jcf1a0al14gclflbyd4bzkpwy"; + version = "0.4.0"; + sha256 = "0sy7k3bhks91kb6mx0ws7kwrsd44fmwdyj0l3a2jnq6jk492wl7f"; + isLibrary = true; + isExecutable = true; libraryHaskellDepends = [ aeson attoparsec base containers exceptions ghc-prim protolude QuickCheck scientific text transformers ]; + executableHaskellDepends = [ + aeson attoparsec base exceptions protolude transformers + ]; testHaskellDepends = [ aeson attoparsec base containers directory doctest exceptions hspec - protolude QuickCheck raw-strings-qq tasty tasty-hspec transformers + protolude QuickCheck raw-strings-qq transformers ]; benchmarkHaskellDepends = [ attoparsec base criterion exceptions protolude transformers @@ -102433,8 +102497,8 @@ self: { }: mkDerivation { pname = "gtk"; - version = "0.15.2"; - sha256 = "179h4vpbv15hkl8h4k4jy5amnv1z6zv74qls0m7l2kv6sh36csar"; + version = "0.15.3"; + sha256 = "0lbf79zfpnz273g7ycr8j7im3bsyzn1d5r9mfv6k80nwzr43dw51"; enableSeparateDataOutput = true; setupHaskellDepends = [ base Cabal gtk2hs-buildtools ]; libraryHaskellDepends = [ @@ -102617,8 +102681,8 @@ self: { }: mkDerivation { pname = "gtk2hs-buildtools"; - version = "0.13.5.1"; - sha256 = "0b941qm4rj9xd5g04hzagdhn5i30n542izr15x89giardr8f95iz"; + version = "0.13.5.4"; + sha256 = "1flxsacxwmabzzalhn8558kmj95z01c0lmikrn56nxh7p62nxm25"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; @@ -102771,8 +102835,8 @@ self: { }: mkDerivation { pname = "gtk3"; - version = "0.15.1"; - sha256 = "0kya9ag8c7zl8sqx32hmlmz9rpxghvyr2svzcr38lzizfd74bnpq"; + version = "0.15.3"; + sha256 = "10xkgmgncnwdy0f9f1bkcw68msp575zcwkncw9d2nqpa5cdh2qx7"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; @@ -105543,8 +105607,8 @@ self: { }: mkDerivation { pname = "hakyll-filestore"; - version = "0.1.6"; - sha256 = "15w52lh2z68qi9f1xgqvwgnzix1ryspbnrd9vrmllcdp9g1kci62"; + version = "0.1.7"; + sha256 = "1xwx58dpwzvl4nk92k357bwxl88wvngkicj4d266gmxmq6j4pg52"; libraryHaskellDepends = [ base filestore hakyll time time-locale-compat ]; @@ -109120,7 +109184,7 @@ self: { license = stdenv.lib.licenses.mit; }) {}; - "haskell-lsp_0_16_0_0" = callPackage + "haskell-lsp_0_17_0_0" = callPackage ({ mkDerivation, aeson, async, attoparsec, base, bytestring , containers, data-default, directory, filepath, hashable , haskell-lsp-types, hslogger, hspec, hspec-discover, lens, mtl @@ -109129,8 +109193,8 @@ self: { }: mkDerivation { pname = "haskell-lsp"; - version = "0.16.0.0"; - sha256 = "1s04lfnb3c0g9bkwp4j7j59yw8ypps63dq27ayybynrfci4bpj95"; + version = "0.17.0.0"; + sha256 = "17c95ndm6jadab04q707pmv6x9cwshk463c8gc9ai0m7763win7x"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -109189,15 +109253,15 @@ self: { license = stdenv.lib.licenses.mit; }) {}; - "haskell-lsp-types_0_16_0_0" = callPackage + "haskell-lsp-types_0_17_0_0" = callPackage ({ mkDerivation, aeson, base, bytestring, data-default, deepseq , filepath, hashable, lens, network-uri, scientific, text , unordered-containers }: mkDerivation { pname = "haskell-lsp-types"; - version = "0.16.0.0"; - sha256 = "14wlv54ydbddpw6cwgykcas3rb55w7m78q0s1wdbi594wg1bscqg"; + version = "0.17.0.0"; + sha256 = "03i3s7f82imv4ysclhjv07mcs0dl3m5nmjxmfa5wafircai4yi3p"; libraryHaskellDepends = [ aeson base bytestring data-default deepseq filepath hashable lens network-uri scientific text unordered-containers @@ -111110,32 +111174,6 @@ self: { }: mkDerivation { pname = "haskoin-core"; - version = "0.9.1"; - sha256 = "1jpq7dz6gfpgvjgrss4cwgrjmicw9yyfrsfnfq980cjw7h0inc41"; - libraryHaskellDepends = [ - aeson array base base16-bytestring bytestring cereal conduit - containers cryptonite entropy hashable memory mtl murmur3 network - QuickCheck scientific secp256k1-haskell split string-conversions - text time transformers unordered-containers vector - ]; - testHaskellDepends = [ - aeson base bytestring cereal containers hspec HUnit mtl QuickCheck - safe split string-conversions text unordered-containers vector - ]; - testToolDepends = [ hspec-discover ]; - description = "Bitcoin & Bitcoin Cash library for Haskell"; - license = stdenv.lib.licenses.publicDomain; - }) {}; - - "haskoin-core_0_9_2" = callPackage - ({ mkDerivation, aeson, array, base, base16-bytestring, bytestring - , cereal, conduit, containers, cryptonite, entropy, hashable, hspec - , hspec-discover, HUnit, memory, mtl, murmur3, network, QuickCheck - , safe, scientific, secp256k1-haskell, split, string-conversions - , text, time, transformers, unordered-containers, vector - }: - mkDerivation { - pname = "haskoin-core"; version = "0.9.2"; sha256 = "18iscx2pzc80p1d6b3lrm5hvv877lsk7ay4iyknd091v8dw9lgm9"; libraryHaskellDepends = [ @@ -111151,7 +111189,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Bitcoin & Bitcoin Cash library for Haskell"; license = stdenv.lib.licenses.publicDomain; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "haskoin-crypto" = callPackage @@ -116433,42 +116470,115 @@ self: { }) {}; "hgeometry" = callPackage - ({ mkDerivation, aeson, approximate-equality, array, base - , bifunctors, bytestring, colour, containers, contravariant - , criterion, data-clist, deepseq, deepseq-generics, directory - , dlist, doctest, filepath, fingertree, fixed-vector, hexpat, hspec - , hspec-discover, lens, linear, mtl, optparse-applicative, parsec - , profunctors, QuickCheck, quickcheck-instances, random, reflection - , semigroupoids, semigroups, singletons, template-haskell, text - , vector, vinyl, yaml + ({ mkDerivation, aeson, base, bifunctors, bytestring, containers + , data-clist, deepseq, dlist, doctest, doctest-discover, fingertree + , fixed-vector, hgeometry-combinatorial, lens, linear, MonadRandom + , mtl, QuickCheck, quickcheck-instances, reflection, semigroupoids + , semigroups, singletons, template-haskell, text, vector + , vector-builder, vinyl, yaml }: mkDerivation { pname = "hgeometry"; - version = "0.8.0.0"; - sha256 = "0hypd5936kssw435lcvqj9d7whdzfdfbhvi5hhbi90k5x89xfx6f"; - revision = "1"; - editedCabalFile = "1hln65kfw2ji43pkwipyg12i0lq9ly6p3hv2xd7vzp4pzkcpy3zz"; - isLibrary = true; - isExecutable = true; + version = "0.9.0.0"; + sha256 = "1s9hmknrqdsrfda5l8qjs85qhq6lm8vfkd54dnkbg67xk42z0y1m"; enableSeparateDataOutput = true; libraryHaskellDepends = [ - aeson base bifunctors bytestring colour containers contravariant - data-clist deepseq dlist fingertree fixed-vector hexpat lens linear - mtl parsec profunctors QuickCheck quickcheck-instances random - reflection semigroupoids semigroups singletons template-haskell - text vector vinyl yaml + aeson base bifunctors bytestring containers data-clist deepseq + dlist fingertree fixed-vector hgeometry-combinatorial lens linear + MonadRandom mtl QuickCheck quickcheck-instances reflection + semigroupoids semigroups singletons template-haskell text vector + vector-builder vinyl yaml + ]; + testHaskellDepends = [ base doctest doctest-discover QuickCheck ]; + description = "Geometric Algorithms, Data structures, and Data types"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + broken = true; + }) {}; + + "hgeometry-combinatorial" = callPackage + ({ mkDerivation, aeson, approximate-equality, base, bifunctors + , bytestring, containers, contravariant, data-clist, deepseq + , directory, dlist, doctest, filepath, fingertree, hspec + , hspec-discover, lens, linear, MonadRandom, mtl, QuickCheck + , quickcheck-instances, random, reflection, semigroupoids + , semigroups, singletons, template-haskell, text, vector + , vector-builder, vinyl, yaml + }: + mkDerivation { + pname = "hgeometry-combinatorial"; + version = "0.9.0.0"; + sha256 = "0c9byfg6x1ch1812s6kf9w1vkrhzffqw6asllhln95f6cvsz58z0"; + enableSeparateDataOutput = true; + libraryHaskellDepends = [ + aeson base bifunctors bytestring containers contravariant + data-clist deepseq dlist fingertree lens MonadRandom mtl QuickCheck + quickcheck-instances reflection semigroupoids semigroups singletons + template-haskell text vector vector-builder vinyl yaml ]; testHaskellDepends = [ - approximate-equality array base bytestring colour containers - data-clist directory doctest filepath hspec lens linear QuickCheck + approximate-equality base bytestring containers data-clist + directory doctest filepath hspec lens linear MonadRandom QuickCheck quickcheck-instances random semigroups singletons vector vinyl yaml ]; testToolDepends = [ hspec-discover ]; - benchmarkHaskellDepends = [ - base bytestring containers criterion deepseq deepseq-generics - fixed-vector lens linear optparse-applicative QuickCheck semigroups + description = "Data structures, and Data types"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + broken = true; + }) {}; + + "hgeometry-ipe" = callPackage + ({ mkDerivation, aeson, approximate-equality, base, bifunctors + , bytestring, colour, containers, data-clist, deepseq, directory + , dlist, filepath, fingertree, fixed-vector, hexpat, hgeometry + , hgeometry-combinatorial, hspec, hspec-discover, lens, linear + , MonadRandom, mtl, parsec, QuickCheck, quickcheck-instances + , random, reflection, semigroupoids, semigroups, singletons + , template-haskell, text, vector, vinyl, yaml + }: + mkDerivation { + pname = "hgeometry-ipe"; + version = "0.9.0.0"; + sha256 = "1lj5yvxlgn8q1z2ad4ais7cksflsbyag9zdz6vii9r680swr0ib7"; + enableSeparateDataOutput = true; + libraryHaskellDepends = [ + aeson base bifunctors bytestring colour containers data-clist + deepseq dlist fingertree fixed-vector hexpat hgeometry + hgeometry-combinatorial lens linear MonadRandom mtl parsec + QuickCheck quickcheck-instances random reflection semigroupoids + semigroups singletons template-haskell text vector vinyl yaml ]; - description = "Geometric Algorithms, Data structures, and Data types"; + testHaskellDepends = [ + approximate-equality base bytestring colour containers data-clist + directory filepath hgeometry hgeometry-combinatorial hspec lens + linear MonadRandom QuickCheck quickcheck-instances random + semigroups singletons vector vinyl yaml + ]; + testToolDepends = [ hspec-discover ]; + description = "Reading and Writing ipe7 files"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + broken = true; + }) {}; + + "hgeometry-svg" = callPackage + ({ mkDerivation, base, blaze-markup, blaze-svg, bytestring, deepseq + , doctest, hgeometry, hgeometry-combinatorial, hgeometry-ipe, lens + , QuickCheck, semigroupoids, semigroups, singletons + , template-haskell, text, vinyl + }: + mkDerivation { + pname = "hgeometry-svg"; + version = "0.9.0.0"; + sha256 = "1aa1qgmq1qvbj8c06nmlvdk3yy9iflas7mz7icfbn9m77zgj1qvs"; + libraryHaskellDepends = [ + base blaze-markup blaze-svg bytestring deepseq hgeometry + hgeometry-combinatorial hgeometry-ipe lens QuickCheck semigroupoids + semigroups singletons template-haskell text vinyl + ]; + testHaskellDepends = [ base doctest ]; + description = "Writing geometric primitives from HGeometry as SVG Files"; license = stdenv.lib.licenses.bsd3; hydraPlatforms = stdenv.lib.platforms.none; broken = true; @@ -118232,27 +118342,6 @@ self: { }) {}; "hjsmin" = callPackage - ({ mkDerivation, base, blaze-builder, bytestring, containers - , language-javascript, optparse-applicative, text - }: - mkDerivation { - pname = "hjsmin"; - version = "0.2.0.2"; - sha256 = "112lj2jgbcfdnr0hxc2cfxpxzxy9qyid04pbk0wwcqk977957hdy"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ - base blaze-builder bytestring containers language-javascript text - ]; - executableHaskellDepends = [ - base blaze-builder bytestring containers language-javascript - optparse-applicative text - ]; - description = "Haskell implementation of a javascript minifier"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hjsmin_0_2_0_3" = callPackage ({ mkDerivation, base, bytestring, directory, extra, filepath , language-javascript, optparse-applicative, process, text, unix }: @@ -118273,7 +118362,6 @@ self: { ]; description = "Haskell implementation of a javascript minifier"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hjson" = callPackage @@ -118701,8 +118789,8 @@ self: { }: mkDerivation { pname = "hledger-flow"; - version = "0.12.3.1"; - sha256 = "1a7idnrqjn7pcvskm1yd9ynlvsza9ql3qfd8d8ycb6z8gpc30fq0"; + version = "0.12.4.0"; + sha256 = "1j5hhh06xrdzdpzvvk8gg2mzhsmyk9xvxbpvjy0sg3mmpa27vr6f"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -119756,6 +119844,27 @@ self: { broken = true; }) {inherit (pkgs) ncurses;}; + "hmp3-ng" = callPackage + ({ mkDerivation, array, base, binary, bytestring, containers + , directory, hscurses, mersenne-random, mtl, ncurses, old-time + , pcre-light, process, unix, utf8-string, zlib + }: + mkDerivation { + pname = "hmp3-ng"; + version = "2.4.2"; + sha256 = "0cpazssifginilyr7b7ysipkjfylpqgmk4fyl03y0c5ajsjds21r"; + isLibrary = false; + isExecutable = true; + executableHaskellDepends = [ + array base binary bytestring containers directory hscurses + mersenne-random mtl old-time pcre-light process unix utf8-string + zlib + ]; + executableSystemDepends = [ ncurses ]; + description = "A 2019 fork of an ncurses mp3 player written in Haskell"; + license = "GPL"; + }) {inherit (pkgs) ncurses;}; + "hmpfr" = callPackage ({ mkDerivation, base, integer-gmp, mpfr }: mkDerivation { @@ -120117,6 +120226,27 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "hoauth2_1_9_0" = callPackage + ({ mkDerivation, aeson, base, binary, bytestring, exceptions + , http-conduit, http-types, microlens, text, unordered-containers + , uri-bytestring, uri-bytestring-aeson + }: + mkDerivation { + pname = "hoauth2"; + version = "1.9.0"; + sha256 = "1br1g6xp0s73aj8nsx3rjdpji3lvp19b4xaxhn87fqbnnhczg39z"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + aeson base binary bytestring exceptions http-conduit http-types + microlens text unordered-containers uri-bytestring + uri-bytestring-aeson + ]; + description = "Haskell OAuth2 authentication client"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "hob" = callPackage ({ mkDerivation, base, bytestring, containers, directory, filepath , glib, gtk-largeTreeStore, gtk3, gtksourceview3, hspec, mtl, pango @@ -122364,19 +122494,20 @@ self: { "hprox" = callPackage ({ mkDerivation, async, base, base64-bytestring, binary, bytestring , case-insensitive, conduit, conduit-extra, http-client - , http-reverse-proxy, http-types, optparse-applicative, tls, unix - , wai, wai-extra, warp, warp-tls + , http-client-tls, http-reverse-proxy, http-types + , optparse-applicative, tls, unix, wai, wai-extra, warp, warp-tls }: mkDerivation { pname = "hprox"; - version = "0.1.0.2"; - sha256 = "0ajyv9zmi6nr8add7admfxavd691g3rvyy8ll6gra6hhpn0lwp0m"; + version = "0.1.1"; + sha256 = "0r8sbi4g49r95pxmm81q291nlixj4wi4m6qrq5wkjp8yrrm2s9gb"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ async base base64-bytestring binary bytestring case-insensitive - conduit conduit-extra http-client http-reverse-proxy http-types - optparse-applicative tls unix wai wai-extra warp warp-tls + conduit conduit-extra http-client http-client-tls + http-reverse-proxy http-types optparse-applicative tls unix wai + wai-extra warp warp-tls ]; description = "a lightweight HTTP proxy server, and more"; license = stdenv.lib.licenses.asl20; @@ -123499,20 +123630,19 @@ self: { }) {}; "hs2ats" = callPackage - ({ mkDerivation, ansi-wl-pprint, base, casing, composition-prelude - , cpphs, criterion, deepseq, haskell-src-exts, hspec - , hspec-dirstream, language-ats, microlens, optparse-generic - , system-filepath + ({ mkDerivation, ansi-wl-pprint, base, casing, cpphs, criterion + , deepseq, haskell-src-exts, hspec, hspec-dirstream, language-ats + , microlens, optparse-generic, system-filepath }: mkDerivation { pname = "hs2ats"; - version = "0.5.0.0"; - sha256 = "0ga90mkz11iis5knd51dqpqd4qyj6fwl15nbdbwzlynpk0wsdsga"; + version = "0.5.0.1"; + sha256 = "01xjk00rijrhixpmpl3x6m3vsvm1zyizrp3iigz13w80ivj1hpdk"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ - ansi-wl-pprint base casing composition-prelude cpphs deepseq - haskell-src-exts language-ats microlens + ansi-wl-pprint base casing cpphs deepseq haskell-src-exts + language-ats microlens ]; executableHaskellDepends = [ base optparse-generic ]; testHaskellDepends = [ @@ -125016,16 +125146,19 @@ self: { }) {}; "hsinspect" = callPackage - ({ mkDerivation, base, directory, ghc, ghc-boot, ghc-paths, time }: + ({ mkDerivation, base, containers, directory, ghc, ghc-boot, time + }: mkDerivation { pname = "hsinspect"; - version = "0.0.3"; - sha256 = "11jn8knnh859wvzfqljkhlsaqsrm20m4ryf3ncg7rhs6jcm3vknr"; + version = "0.0.6"; + sha256 = "0q6yk5cy6bbmfsg0ygmjm23bfwlqby2fffw83bimyk97byzckna4"; isLibrary = true; isExecutable = true; - libraryHaskellDepends = [ base directory ghc ghc-boot time ]; + libraryHaskellDepends = [ + base containers directory ghc ghc-boot time + ]; executableHaskellDepends = [ - base directory ghc ghc-boot ghc-paths time + base containers directory ghc ghc-boot time ]; description = "Inspect Haskell source files"; license = stdenv.lib.licenses.gpl3Plus; @@ -125862,6 +125995,23 @@ self: { license = stdenv.lib.licenses.mit; }) {}; + "hspec-expectations-pretty-diff_0_7_2_5" = callPackage + ({ mkDerivation, aeson, ansi-terminal, base, Diff, hscolour, hspec + , HUnit, nicify-lib, text + }: + mkDerivation { + pname = "hspec-expectations-pretty-diff"; + version = "0.7.2.5"; + sha256 = "0432mca3k7rpdyykw9cz402zax6h42d1vsgbi0dsy1qwhlg2aycl"; + libraryHaskellDepends = [ + ansi-terminal base Diff hscolour HUnit nicify-lib text + ]; + testHaskellDepends = [ aeson base hspec HUnit text ]; + description = "Catchy combinators for HUnit"; + license = stdenv.lib.licenses.mit; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "hspec-experimental" = callPackage ({ mkDerivation, base, hspec, hspec-meta, HUnit, QuickCheck }: mkDerivation { @@ -128305,34 +128455,6 @@ self: { }: mkDerivation { pname = "http-conduit"; - version = "2.3.7.2"; - sha256 = "16w77zm1pacs2qgz8sr0g935jjd3gmkhrw0gm9pijalaqbliffn9"; - libraryHaskellDepends = [ - aeson attoparsec base bytestring conduit conduit-extra http-client - http-client-tls http-types mtl resourcet transformers unliftio-core - ]; - testHaskellDepends = [ - aeson base blaze-builder bytestring case-insensitive conduit - conduit-extra connection cookie data-default-class hspec - http-client http-types HUnit network resourcet streaming-commons - temporary text time transformers unliftio utf8-string wai - wai-conduit warp warp-tls - ]; - doCheck = false; - description = "HTTP client package with conduit interface and HTTPS support"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "http-conduit_2_3_7_3" = callPackage - ({ mkDerivation, aeson, attoparsec, base, blaze-builder, bytestring - , case-insensitive, conduit, conduit-extra, connection, cookie - , data-default-class, hspec, http-client, http-client-tls - , http-types, HUnit, mtl, network, resourcet, streaming-commons - , temporary, text, time, transformers, unliftio, unliftio-core - , utf8-string, wai, wai-conduit, warp, warp-tls - }: - mkDerivation { - pname = "http-conduit"; version = "2.3.7.3"; sha256 = "00rshi1y0h8y4rvsnnad0bppxgpvp40sk7lw1kxmdwy8pi8xrvbs"; libraryHaskellDepends = [ @@ -128349,7 +128471,6 @@ self: { doCheck = false; description = "HTTP client package with conduit interface and HTTPS support"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "http-conduit-browser" = callPackage @@ -130008,30 +130129,6 @@ self: { "hw-bits" = callPackage ({ mkDerivation, base, bytestring, criterion, hedgehog, hspec , hspec-discover, hw-hspec-hedgehog, hw-int, hw-prim - , hw-string-parse, QuickCheck, vector - }: - mkDerivation { - pname = "hw-bits"; - version = "0.7.0.7"; - sha256 = "11j43d8fxi3s6mfhnx2kcj03gpxj7gxmi00vbbnxnwby66vvbbib"; - libraryHaskellDepends = [ - base bytestring hw-int hw-prim hw-string-parse vector - ]; - testHaskellDepends = [ - base bytestring hedgehog hspec hw-hspec-hedgehog hw-prim QuickCheck - vector - ]; - testToolDepends = [ hspec-discover ]; - benchmarkHaskellDepends = [ base criterion vector ]; - description = "Bit manipulation"; - license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; - }) {}; - - "hw-bits_0_7_0_8" = callPackage - ({ mkDerivation, base, bytestring, criterion, hedgehog, hspec - , hspec-discover, hw-hspec-hedgehog, hw-int, hw-prim , hw-string-parse, vector }: mkDerivation { @@ -130077,27 +130174,6 @@ self: { "hw-conduit" = callPackage ({ mkDerivation, array, base, bytestring, conduit - , conduit-combinators, criterion, hspec, mmap, time, transformers - , unliftio-core, vector, word8 - }: - mkDerivation { - pname = "hw-conduit"; - version = "0.2.0.5"; - sha256 = "00fpinpafvrdkmk6gksqd9v6f3lzrqcg79yja0h55gw7qjz5lz84"; - libraryHaskellDepends = [ - array base bytestring conduit conduit-combinators time transformers - unliftio-core word8 - ]; - testHaskellDepends = [ base bytestring conduit hspec ]; - benchmarkHaskellDepends = [ - base bytestring conduit criterion mmap vector - ]; - description = "Conduits for tokenizing streams"; - license = stdenv.lib.licenses.mit; - }) {}; - - "hw-conduit_0_2_0_6" = callPackage - ({ mkDerivation, array, base, bytestring, conduit , conduit-combinators, criterion, hspec, hspec-discover, mmap, time , transformers, unliftio-core, vector, word8 }: @@ -130116,7 +130192,6 @@ self: { ]; description = "Conduits for tokenizing streams"; license = stdenv.lib.licenses.mit; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-conduit-merges" = callPackage @@ -130343,34 +130418,6 @@ self: { }: mkDerivation { pname = "hw-excess"; - version = "0.2.2.0"; - sha256 = "07d6q5m98z6r7p4hhzzm8ihn9sbd05hhf40a0hcq3ixxrdz7yyrb"; - revision = "1"; - editedCabalFile = "13k4fm6cyqmsh3wbqh76jn8r0rw9z1nw65zb061smgkk1v9ii3j7"; - libraryHaskellDepends = [ - base hw-bits hw-prim hw-rankselect-base safe vector - ]; - testHaskellDepends = [ - base hedgehog hspec hw-bits hw-hspec-hedgehog hw-prim QuickCheck - vector - ]; - testToolDepends = [ hspec-discover ]; - benchmarkHaskellDepends = [ - base bytestring criterion hw-prim vector - ]; - description = "Excess"; - license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; - }) {}; - - "hw-excess_0_2_2_1" = callPackage - ({ mkDerivation, base, bytestring, criterion, hedgehog, hspec - , hspec-discover, hw-bits, hw-hspec-hedgehog, hw-prim - , hw-rankselect-base, QuickCheck, safe, vector - }: - mkDerivation { - pname = "hw-excess"; version = "0.2.2.1"; sha256 = "1n4qgnwf61gdwai163sqkc4lzhni65f94r5hcmq0im502596iy9b"; libraryHaskellDepends = [ @@ -130396,23 +130443,6 @@ self: { }: mkDerivation { pname = "hw-fingertree"; - version = "0.1.1.0"; - sha256 = "096lj6bhyk3pyal3jnif8cm6yprir1kpr5cvjl576kbfhyxdnhmy"; - libraryHaskellDepends = [ base deepseq hw-prim ]; - testHaskellDepends = [ - base deepseq hedgehog hspec hw-hspec-hedgehog - ]; - testToolDepends = [ hspec-discover ]; - description = "Generic finger-tree structure, with example instances"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-fingertree_0_1_1_1" = callPackage - ({ mkDerivation, base, deepseq, hedgehog, hspec, hspec-discover - , hw-hspec-hedgehog, hw-prim - }: - mkDerivation { - pname = "hw-fingertree"; version = "0.1.1.1"; sha256 = "01ghnnnbbrdy9s84rcw37v3yvlrw5awgdmcrzh0xd5dxpw557psf"; libraryHaskellDepends = [ base deepseq hw-prim ]; @@ -130422,7 +130452,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Generic finger-tree structure, with example instances"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-fingertree-strict" = callPackage @@ -130432,25 +130461,6 @@ self: { }: mkDerivation { pname = "hw-fingertree-strict"; - version = "0.1.1.2"; - sha256 = "0zgm5x67kmxm1l1c5605rcs3g5kyfcqz5d8lj6b055ysqqcpr14l"; - libraryHaskellDepends = [ base deepseq ]; - testHaskellDepends = [ - base hedgehog hspec HUnit hw-hspec-hedgehog QuickCheck - test-framework test-framework-hunit test-framework-quickcheck2 - ]; - testToolDepends = [ hspec-discover ]; - description = "Generic strict finger-tree structure"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-fingertree-strict_0_1_1_3" = callPackage - ({ mkDerivation, base, deepseq, hedgehog, hspec, hspec-discover - , HUnit, hw-hspec-hedgehog, QuickCheck, test-framework - , test-framework-hunit, test-framework-quickcheck2 - }: - mkDerivation { - pname = "hw-fingertree-strict"; version = "0.1.1.3"; sha256 = "1fy492nl10a7p9a0b0jrqj1yiar8wir2g3qms2mmd814brbfkm80"; libraryHaskellDepends = [ base deepseq ]; @@ -130461,34 +130471,18 @@ self: { testToolDepends = [ hspec-discover ]; description = "Generic strict finger-tree structure"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-hedgehog" = callPackage ({ mkDerivation, base, hedgehog, vector }: mkDerivation { pname = "hw-hedgehog"; - version = "0.1.0.3"; - sha256 = "0cck3kxxc7fxfqji9jv15vc9v1zpqz7rzacxfcjc0i6s1ll9vbv2"; - revision = "1"; - editedCabalFile = "1wvjv13pznqxzhix7ikjx2gpqc3ppn7z20yl7l6z80yslalh7pm2"; - libraryHaskellDepends = [ base hedgehog vector ]; - testHaskellDepends = [ base ]; - description = "Extra hedgehog functionality"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-hedgehog_0_1_0_4" = callPackage - ({ mkDerivation, base, hedgehog, vector }: - mkDerivation { - pname = "hw-hedgehog"; - version = "0.1.0.4"; - sha256 = "0aps3h6ajrkl9nbrf66rxigya17jf7d0fs5yn7bbzfwqknik12n8"; + version = "0.1.0.5"; + sha256 = "1wnfgmybccznrm2i6rrkg87mwxqng9y115wsbf4r40y6pica7kbi"; libraryHaskellDepends = [ base hedgehog vector ]; testHaskellDepends = [ base ]; description = "Extra hedgehog functionality"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-hspec-hedgehog" = callPackage @@ -130497,25 +130491,6 @@ self: { }: mkDerivation { pname = "hw-hspec-hedgehog"; - version = "0.1.0.8"; - sha256 = "0c54mhzbmjfjvy5lyvr6xffrncqmbbr10lran2x9czbkhhbikrss"; - revision = "1"; - editedCabalFile = "0msy1a646w5m0z5670b7xgc1apzan3myaqrq6i6khsayzk5z597j"; - libraryHaskellDepends = [ - base call-stack hedgehog hspec HUnit transformers - ]; - testHaskellDepends = [ base call-stack hedgehog hspec HUnit ]; - testToolDepends = [ hspec-discover ]; - description = "Interoperability between hspec and hedgehog"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-hspec-hedgehog_0_1_0_9" = callPackage - ({ mkDerivation, base, call-stack, hedgehog, hspec, hspec-discover - , HUnit, transformers - }: - mkDerivation { - pname = "hw-hspec-hedgehog"; version = "0.1.0.9"; sha256 = "1rfcz7fq7gp8xxlax8l1vfr88h9sh50ghs1iihy9jqv135d1dbky"; libraryHaskellDepends = [ @@ -130525,21 +130500,9 @@ self: { testToolDepends = [ hspec-discover ]; description = "Interoperability between hspec and hedgehog"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-int" = callPackage - ({ mkDerivation, base }: - mkDerivation { - pname = "hw-int"; - version = "0.0.0.3"; - sha256 = "0gsaimfx7gg39yfj2n6mlszri5x06f14b8f9cs12klrq2q8sadl3"; - libraryHaskellDepends = [ base ]; - description = "Integers"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-int_0_0_0_4" = callPackage ({ mkDerivation, base, hedgehog, hspec, hspec-discover, hw-hedgehog , hw-hspec-hedgehog }: @@ -130554,7 +130517,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Additional facilities for Integers"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-ip" = callPackage @@ -130564,37 +130526,6 @@ self: { }: mkDerivation { pname = "hw-ip"; - version = "2.3.4.1"; - sha256 = "023wv7dvpyw2nnlrdqhp3nqrbbh9af074fz12y8510br2gfdyamd"; - revision = "1"; - editedCabalFile = "0djvl3qvy4km5qxg5pakgck8vr5hh0md8h9h4sgsvjafcqjrza3x"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ - appar base containers generic-lens hw-bits iproute text - ]; - executableHaskellDepends = [ - appar base binary bytestring generic-lens lens optparse-applicative - text - ]; - testHaskellDepends = [ - appar base generic-lens hedgehog hspec hw-bits hw-hspec-hedgehog - text - ]; - testToolDepends = [ hspec-discover ]; - description = "Library for manipulating IP addresses and CIDR blocks"; - license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; - }) {}; - - "hw-ip_2_3_4_2" = callPackage - ({ mkDerivation, appar, base, binary, bytestring, containers - , generic-lens, hedgehog, hspec, hspec-discover, hw-bits - , hw-hspec-hedgehog, iproute, lens, optparse-applicative, text - }: - mkDerivation { - pname = "hw-ip"; version = "2.3.4.2"; sha256 = "020pwy89hx4jcmq5vwd0gs4vbb2llkvvzaqk72s06w51hqrfpxb9"; isLibrary = true; @@ -130728,26 +130659,6 @@ self: { }: mkDerivation { pname = "hw-json-simd"; - version = "0.1.0.3"; - sha256 = "0cgi7q0cx3zx56wq9l115vbhwc6yjbdrmiyz6z8zcnlhgq6cfgjl"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ base bytestring hw-prim lens vector ]; - libraryToolDepends = [ c2hs ]; - executableHaskellDepends = [ - base bytestring hw-prim lens optparse-applicative vector - ]; - testHaskellDepends = [ base bytestring hw-prim lens vector ]; - description = "SIMD-based JSON semi-indexer"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-json-simd_0_1_0_4" = callPackage - ({ mkDerivation, base, bytestring, c2hs, hw-prim, lens - , optparse-applicative, vector - }: - mkDerivation { - pname = "hw-json-simd"; version = "0.1.0.4"; sha256 = "1qpk7vzya28y56qlb2fmx3ic39n9x2z8dh932rn0fp2d8n4dw8sa"; isLibrary = true; @@ -130760,7 +130671,6 @@ self: { testHaskellDepends = [ base bytestring hw-prim lens vector ]; description = "SIMD-based JSON semi-indexer"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-json-simple-cursor" = callPackage @@ -130914,30 +130824,6 @@ self: { }: mkDerivation { pname = "hw-mquery"; - version = "0.2.0.1"; - sha256 = "04jkhnljyirbjg1b693bacfnaa3i854rg1dgy3mifr7sbmk0xgnn"; - revision = "1"; - editedCabalFile = "0n6zicz4cdr9z7lzlagj8gamj9gnv3nhvjz7srw4wg1cnagimi0s"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ - ansi-wl-pprint base dlist lens semigroups - ]; - executableHaskellDepends = [ base ]; - testHaskellDepends = [ - base dlist hedgehog hspec hw-hspec-hedgehog lens - ]; - testToolDepends = [ hspec-discover ]; - description = "Monadic query DSL"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-mquery_0_2_0_2" = callPackage - ({ mkDerivation, ansi-wl-pprint, base, dlist, hedgehog, hspec - , hspec-discover, hw-hspec-hedgehog, lens, semigroups - }: - mkDerivation { - pname = "hw-mquery"; version = "0.2.0.2"; sha256 = "1vcxyin3cyc0pkhbfjyjm4r44yxk17gny5jhfg6hdlgivp150yij"; isLibrary = true; @@ -130952,7 +130838,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Monadic query DSL"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-packed-vector" = callPackage @@ -130981,7 +130866,7 @@ self: { broken = true; }) {}; - "hw-packed-vector_0_2_0_0" = callPackage + "hw-packed-vector_0_2_0_1" = callPackage ({ mkDerivation, base, binary, bytestring, criterion, deepseq , directory, generic-lens, hedgehog, hspec, hspec-discover, hw-bits , hw-hedgehog, hw-hspec-hedgehog, hw-prim, lens @@ -130989,10 +130874,8 @@ self: { }: mkDerivation { pname = "hw-packed-vector"; - version = "0.2.0.0"; - sha256 = "1nspd5hwn32fjgxmg08bq8hmyxzh61gc72zgd24mcpy94kzq58xd"; - revision = "1"; - editedCabalFile = "0ilqka4s82kwqrp876gfv6wp7gvlxkfzgxdz23l937vwx988cf1z"; + version = "0.2.0.1"; + sha256 = "12181b9fp11cdgf6xngjla9012j8rag3zcssz70x5a7xxgnwfmfc"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -131022,10 +130905,8 @@ self: { }: mkDerivation { pname = "hw-parser"; - version = "0.1.0.1"; - sha256 = "0df3238y4ld9j9w4p1x4lcv13lip9cf3fqn88z98xqjqmfzjdffn"; - revision = "1"; - editedCabalFile = "1nf0s726vr90dggcjxp1qz1msnvckjj908rsc4f991524gz4ygh7"; + version = "0.1.0.2"; + sha256 = "170dk943s2jbqqggxxfjxj23fbfk1532hgsrg63mr0v1ag9hlz1i"; libraryHaskellDepends = [ attoparsec base bytestring hw-prim text ]; @@ -131042,31 +130923,6 @@ self: { }: mkDerivation { pname = "hw-prim"; - version = "0.6.2.35"; - sha256 = "0fm1bmk2da0bsvpf8qvyyapwwc8vlybhibs5n53v067faf38dxqf"; - libraryHaskellDepends = [ - base bytestring ghc-prim mmap semigroups transformers unliftio-core - vector - ]; - testHaskellDepends = [ - base bytestring directory exceptions hedgehog hspec - hw-hspec-hedgehog mmap QuickCheck semigroups transformers vector - ]; - testToolDepends = [ hspec-discover ]; - benchmarkHaskellDepends = [ - base bytestring criterion mmap semigroups transformers vector - ]; - description = "Primitive functions and data types"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-prim_0_6_2_36" = callPackage - ({ mkDerivation, base, bytestring, criterion, directory, exceptions - , ghc-prim, hedgehog, hspec, hspec-discover, hw-hspec-hedgehog - , mmap, QuickCheck, semigroups, transformers, unliftio-core, vector - }: - mkDerivation { - pname = "hw-prim"; version = "0.6.2.36"; sha256 = "0anbwaz0hlskrlhp7nmzxzv7mgrs063d7qxhcv4iq9d46j90p031"; libraryHaskellDepends = [ @@ -131083,7 +130939,6 @@ self: { ]; description = "Primitive functions and data types"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-prim-bits" = callPackage @@ -131185,40 +131040,13 @@ self: { "hw-rankselect-base" = callPackage ({ mkDerivation, base, bits-extra, criterion, hedgehog, hspec - , hw-bits, hw-hedgehog, hw-hspec-hedgehog, hw-int, hw-prim - , hw-string-parse, QuickCheck, safe, vector - }: - mkDerivation { - pname = "hw-rankselect-base"; - version = "0.3.2.1"; - sha256 = "0q4kywln4bls2dvazhqh2acw4yqnabnx0mdkhldgg70q8amnq2nj"; - revision = "3"; - editedCabalFile = "1hbbxxzmar0djj4r43nr3ar9nsl659wnq20rw6cp6q974ivlglx2"; - libraryHaskellDepends = [ - base bits-extra hw-bits hw-int hw-prim hw-string-parse safe vector - ]; - testHaskellDepends = [ - base bits-extra hedgehog hspec hw-bits hw-hedgehog - hw-hspec-hedgehog hw-prim QuickCheck vector - ]; - benchmarkHaskellDepends = [ - base bits-extra criterion hw-bits hw-prim vector - ]; - description = "Rank-select base"; - license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; - }) {}; - - "hw-rankselect-base_0_3_2_2" = callPackage - ({ mkDerivation, base, bits-extra, criterion, hedgehog, hspec , hspec-discover, hw-bits, hw-hedgehog, hw-hspec-hedgehog, hw-int , hw-prim, hw-string-parse, QuickCheck, vector }: mkDerivation { pname = "hw-rankselect-base"; - version = "0.3.2.2"; - sha256 = "0wykyw452wdfzb99fr604vq3ifv689gqmix9g25mmypiwfp0jcq1"; + version = "0.3.2.3"; + sha256 = "1gkgbrpklzzfxs5b2w98l5zr48pvdc1l4zll77s2ya7lw0hc69m5"; libraryHaskellDepends = [ base bits-extra hw-bits hw-int hw-prim hw-string-parse vector ]; @@ -131244,40 +131072,6 @@ self: { }: mkDerivation { pname = "hw-simd"; - version = "0.1.1.4"; - sha256 = "1rc4zzp3h87zqrdsgwvc9vxydv200jk7q02vcqfk23wqg0sxvpw0"; - revision = "1"; - editedCabalFile = "00a1wr7sbz6hyapd26asx4zs3g1r8x2y61zdckfb2dxxhyhs2kjw"; - libraryHaskellDepends = [ - base bits-extra bytestring deepseq hw-bits hw-prim hw-rankselect - hw-rankselect-base vector - ]; - libraryToolDepends = [ c2hs ]; - testHaskellDepends = [ - base bits-extra bytestring deepseq directory hedgehog hspec hw-bits - hw-hedgehog hw-hspec-hedgehog hw-prim hw-rankselect - hw-rankselect-base lens text vector - ]; - testToolDepends = [ hspec-discover ]; - benchmarkHaskellDepends = [ - base bits-extra bytestring cassava containers criterion deepseq - directory hw-bits hw-prim hw-rankselect hw-rankselect-base mmap - vector - ]; - description = "SIMD library"; - license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; - }) {}; - - "hw-simd_0_1_1_5" = callPackage - ({ mkDerivation, base, bits-extra, bytestring, c2hs, cassava - , containers, criterion, deepseq, directory, hedgehog, hspec - , hspec-discover, hw-bits, hw-hedgehog, hw-hspec-hedgehog, hw-prim - , hw-rankselect, hw-rankselect-base, lens, mmap, text, vector - }: - mkDerivation { - pname = "hw-simd"; version = "0.1.1.5"; sha256 = "0nhy3hi7gxbbjwh6rj4459nzbmlij2cjdg2zvdjgx3z3j5algqyb"; libraryHaskellDepends = [ @@ -131310,40 +131104,8 @@ self: { }: mkDerivation { pname = "hw-streams"; - version = "0.0.0.10"; - sha256 = "08ghjvgyd13b1mby213j86p9b8fbk3j3jbj1n9czq4w9sbsbpxxw"; - revision = "1"; - editedCabalFile = "141vh52p5mwxv77gdnbs6n0k9kkapz4wnwdbm4jpirmhl45bxzph"; - libraryHaskellDepends = [ - base bytestring ghc-prim hw-bits hw-prim mmap primitive semigroups - transformers vector - ]; - testHaskellDepends = [ - base bytestring directory exceptions ghc-prim hedgehog hspec - hw-bits hw-hspec-hedgehog hw-prim mmap primitive QuickCheck - semigroups transformers vector - ]; - testToolDepends = [ hspec-discover ]; - benchmarkHaskellDepends = [ - base bytestring criterion ghc-prim hw-bits hw-prim mmap primitive - semigroups transformers vector - ]; - description = "Primitive functions and data types"; - license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; - }) {}; - - "hw-streams_0_0_0_11" = callPackage - ({ mkDerivation, base, bytestring, criterion, directory, exceptions - , ghc-prim, hedgehog, hspec, hspec-discover, hw-bits - , hw-hspec-hedgehog, hw-prim, mmap, primitive, QuickCheck - , semigroups, transformers, vector - }: - mkDerivation { - pname = "hw-streams"; - version = "0.0.0.11"; - sha256 = "17cr818k1zpzs1f46nwlm7p01mwfwf9ndqnp0f0607lfacm7hs9y"; + version = "0.0.0.12"; + sha256 = "087sn4gxhf29vg9ydx8sfq33q16cz6cmda5r63k9xdki4ba761m4"; libraryHaskellDepends = [ base bytestring ghc-prim hw-bits hw-prim mmap primitive semigroups transformers vector @@ -131455,8 +131217,8 @@ self: { }: mkDerivation { pname = "hw-xml"; - version = "0.4.0.1"; - sha256 = "07xjdn2wzcvlhfbf3jlvrmw8mcbl1g5sc62xk6s73figs26gjm6z"; + version = "0.4.0.2"; + sha256 = "122viqivv2csgrv144xc88xhafiq5vcsy545jpmg052d3kg1x4g2"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; @@ -131480,7 +131242,7 @@ self: { base bytestring criterion hw-balancedparens hw-bits hw-prim mmap resourcet vector ]; - description = "Conduits for tokenizing streams"; + description = "XML parser based on succinct data structures"; license = stdenv.lib.licenses.bsd3; hydraPlatforms = stdenv.lib.platforms.none; broken = true; @@ -134787,25 +134549,6 @@ self: { }: mkDerivation { pname = "incremental-parser"; - version = "0.3.2.2"; - sha256 = "0mnqi0fnh5sl1fp74gcwvfm6mxhzrs1x7yl235yl0dhcyjp3vfx7"; - libraryHaskellDepends = [ base monoid-subclasses ]; - testHaskellDepends = [ - base checkers monoid-subclasses QuickCheck tasty tasty-quickcheck - ]; - benchmarkHaskellDepends = [ - base bytestring criterion deepseq monoid-subclasses text - ]; - description = "Generic parser library capable of providing partial results from partial input"; - license = stdenv.lib.licenses.gpl3; - }) {}; - - "incremental-parser_0_3_3" = callPackage - ({ mkDerivation, base, bytestring, checkers, criterion, deepseq - , monoid-subclasses, QuickCheck, tasty, tasty-quickcheck, text - }: - mkDerivation { - pname = "incremental-parser"; version = "0.3.3"; sha256 = "1y5qzbn1627v893s6nlm5prc507pwybf2f9b7icpjgcj1q046p6i"; libraryHaskellDepends = [ base monoid-subclasses ]; @@ -134817,7 +134560,6 @@ self: { ]; description = "Generic parser library capable of providing partial results from partial input"; license = stdenv.lib.licenses.gpl3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "incremental-sat-solver" = callPackage @@ -136350,8 +136092,8 @@ self: { }: mkDerivation { pname = "interpolatedstring-perl6"; - version = "1.0.1"; - sha256 = "0n3j6qvzp6as5ji93rn0sy873w5hdsplik51lkrah42cl95vmbay"; + version = "1.0.2"; + sha256 = "1dvv9dsf5mr6y7aikd57c0qlh1lkbq3y37bvn3hy2g15cn5ix2ss"; enableSeparateDataOutput = true; setupHaskellDepends = [ base Cabal process ]; libraryHaskellDepends = [ @@ -140731,18 +140473,19 @@ self: { }) {}; "json-tools" = callPackage - ({ mkDerivation, aeson, attoparsec, base, bytestring, containers - , process, tar, text, unordered-containers, vector + ({ mkDerivation, aeson, attoparsec, attoparsec-expr, base + , bytestring, containers, process, scientific, string-conversions + , tar, text, unordered-containers, vector }: mkDerivation { pname = "json-tools"; - version = "0.5.0"; - sha256 = "13iyhsq4010ypgmlsdkdk93w8dhg6v0cllsf0avfaxkdva9lrqkf"; + version = "0.5.1"; + sha256 = "0ylh7a3h2dwl55p5s4q7378k0f1jcsvwlngxqrr5v1kf1qp74jnm"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ - aeson attoparsec base bytestring containers process tar text - unordered-containers vector + aeson attoparsec attoparsec-expr base bytestring containers process + scientific string-conversions tar text unordered-containers vector ]; description = "A collection of JSON tools"; license = stdenv.lib.licenses.bsd3; @@ -144898,8 +144641,8 @@ self: { }: mkDerivation { pname = "language-ats"; - version = "1.7.4.1"; - sha256 = "17vvkkq84lcnjc9cdzcx3y2d94kjr34jf91h10h57zdfg93g851b"; + version = "1.7.6.0"; + sha256 = "06kbm7w7i5wg9gwwyjjmlap0wsijxalh6fydmbpq5whifgashsmy"; enableSeparateDataOutput = true; libraryHaskellDepends = [ ansi-wl-pprint array base composition-prelude containers deepseq @@ -145035,6 +144778,31 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "language-c-quote_0_12_2_1" = callPackage + ({ mkDerivation, alex, array, base, bytestring, containers + , exception-mtl, exception-transformers, filepath, happy + , haskell-src-meta, HUnit, mainland-pretty, mtl, srcloc, syb + , symbol, template-haskell, test-framework, test-framework-hunit + }: + mkDerivation { + pname = "language-c-quote"; + version = "0.12.2.1"; + sha256 = "0hwv4b40wj953f39gqn8ji4ycli67c90b8xbizskd4i1x3nqbi35"; + libraryHaskellDepends = [ + array base bytestring containers exception-mtl + exception-transformers filepath haskell-src-meta mainland-pretty + mtl srcloc syb symbol template-haskell + ]; + libraryToolDepends = [ alex happy ]; + testHaskellDepends = [ + base bytestring HUnit mainland-pretty srcloc symbol test-framework + test-framework-hunit + ]; + description = "C/CUDA/OpenCL/Objective-C quasiquoting library"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "language-c99" = callPackage ({ mkDerivation, base, pretty }: mkDerivation { @@ -146712,8 +146480,8 @@ self: { ({ mkDerivation, base, size-based }: mkDerivation { pname = "lazy-search"; - version = "0.1.2.0"; - sha256 = "026pim7hw5fvc514acfj8idkficid6jqmr5jmmz5zpj30wm8z5g8"; + version = "0.1.2.1"; + sha256 = "1vicd1yzcz3kw3r0widfx04j4qbzz4912j5v8c2bhd0z9hvc22vp"; libraryHaskellDepends = [ base size-based ]; description = "Finds values satisfying a lazy predicate"; license = stdenv.lib.licenses.bsd3; @@ -147791,14 +147559,14 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; - "lens-regex-pcre_1_0_0_0" = callPackage + "lens-regex-pcre_1_0_0_1" = callPackage ({ mkDerivation, base, bytestring, gauge, hspec, lens, pcre-heavy , template-haskell, text }: mkDerivation { pname = "lens-regex-pcre"; - version = "1.0.0.0"; - sha256 = "02am0q60nhn93jlrxskcc33mcgn0la8y4074vv0rbxxshbxz6k4h"; + version = "1.0.0.1"; + sha256 = "0g103a415npasr9a8ay1bs1f7m24w8f53h9x3wrpvvbaf0v3z74i"; libraryHaskellDepends = [ base bytestring lens pcre-heavy template-haskell text ]; @@ -150052,19 +149820,17 @@ self: { "linearmap-category" = callPackage ({ mkDerivation, base, call-stack, constrained-categories , containers, free-vector-spaces, ieee754, lens, linear - , manifolds-core, semigroups, tagged, transformers, vector - , vector-space + , manifolds-core, QuickCheck, semigroups, tagged, transformers + , vector, vector-space }: mkDerivation { pname = "linearmap-category"; - version = "0.3.5.0"; - sha256 = "0qmd0nz343j3j3kprbhwfkglcswfcawfy0y6g4ai6nzdga42nfrf"; - revision = "4"; - editedCabalFile = "0bn66b6klifv5dqklczbrar54zkjcd1v5h6p0hlh6vc3plq2351q"; + version = "0.4.0.0"; + sha256 = "18fk6fj98w660mid7sadq8algmm5a5gz5150ac62kdp4rrk6igjk"; libraryHaskellDepends = [ base call-stack constrained-categories containers - free-vector-spaces ieee754 lens linear manifolds-core semigroups - tagged transformers vector vector-space + free-vector-spaces ieee754 lens linear manifolds-core QuickCheck + semigroups tagged transformers vector vector-space ]; description = "Native, complete, matrix-free linear algebra"; license = stdenv.lib.licenses.gpl3; @@ -150139,8 +149905,8 @@ self: { }: mkDerivation { pname = "lingo"; - version = "0.2.0.0"; - sha256 = "0wgrliwxgwsylga3hkikpjyf7a835n7y62kihj6glymim2xv1vdw"; + version = "0.3.0.0"; + sha256 = "0cjxd9yflagps5760h62m948nmhbn0ad8kyldv9k28i59phm8gwx"; setupHaskellDepends = [ base bytestring Cabal containers directory filepath text yaml ]; @@ -153548,11 +153314,9 @@ self: { ]; description = "Functional test framework for LSP servers"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; - "lsp-test_0_7_0_0" = callPackage + "lsp-test_0_8_0_0" = callPackage ({ mkDerivation, aeson, aeson-pretty, ansi-terminal, async, base , bytestring, conduit, conduit-parse, containers, data-default , Diff, directory, filepath, haskell-lsp, hspec, lens, mtl @@ -153561,8 +153325,8 @@ self: { }: mkDerivation { pname = "lsp-test"; - version = "0.7.0.0"; - sha256 = "1lm299gbahrnwfrprhhpzxrmjljj33pps1gzz2wzmp3m9gzl1dx5"; + version = "0.8.0.0"; + sha256 = "1w8ag6v8mdgxynz32nzxmvfaar68abmsh3fchdfbhz2ky07p6085"; libraryHaskellDepends = [ aeson aeson-pretty ansi-terminal async base bytestring conduit conduit-parse containers data-default Diff directory filepath @@ -153576,7 +153340,6 @@ self: { description = "Functional test framework for LSP servers"; license = stdenv.lib.licenses.bsd3; hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "lss" = callPackage @@ -155760,8 +155523,8 @@ self: { }: mkDerivation { pname = "manifold-random"; - version = "0.5.0.3"; - sha256 = "12k5h3r6wnqjy5vzia3hypwjrh953cc5n912k1rfdwm4m4pznq03"; + version = "0.5.0.4"; + sha256 = "0wf0ya7w73ikd0ivg7m8q4nnc3mv7426l5xlf04zs5gh982x33gl"; libraryHaskellDepends = [ base constrained-categories linearmap-category manifolds random-fu semigroups vector-space @@ -155783,10 +155546,8 @@ self: { }: mkDerivation { pname = "manifolds"; - version = "0.5.0.3"; - sha256 = "16g0xfs99xcccyngknplgmargz4y4ncfw0wjm7sinjcrfd7js9fb"; - revision = "2"; - editedCabalFile = "0l76g7aqjfpp8s9wxdap4lhp3m06hfghz9lgdkr5nfjx60bgy69x"; + version = "0.5.0.4"; + sha256 = "0cng3sp2lg8bfi8g9w4p7ccmg5b177svljl5cki286kdjqsvl320"; libraryHaskellDepends = [ array base binary call-stack comonad constrained-categories containers deepseq free free-vector-spaces ieee754 lens linear @@ -155809,8 +155570,8 @@ self: { ({ mkDerivation, base, call-stack, tagged, vector-space }: mkDerivation { pname = "manifolds-core"; - version = "0.5.0.3"; - sha256 = "1r459qjbdqygxplv06bnqrysfl0xvmx5i7jkwgah1blpmipr4nwz"; + version = "0.5.0.4"; + sha256 = "0b1acwmmjcgq6bb0qijh227wq49vl295gd0d4iz8lpk1g1pwb2v2"; libraryHaskellDepends = [ base call-stack tagged vector-space ]; description = "The basic classes for the manifolds hierarchy"; license = stdenv.lib.licenses.gpl3; @@ -156814,28 +156575,6 @@ self: { }: mkDerivation { pname = "matplotlib"; - version = "0.7.4"; - sha256 = "0vpvi0iigmajz3dn0kx5kk9i7ccpbxs1f9fg4qymy3v18zd3wiqg"; - libraryHaskellDepends = [ - aeson base bytestring containers deepseq filepath process split - temporary - ]; - testHaskellDepends = [ - ad base bytestring directory process random raw-strings-qq split - tasty tasty-expected-failure tasty-golden tasty-hunit temporary - ]; - description = "Bindings to Matplotlib; a Python plotting library"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "matplotlib_0_7_5" = callPackage - ({ mkDerivation, ad, aeson, base, bytestring, containers, deepseq - , directory, filepath, process, random, raw-strings-qq, split - , tasty, tasty-expected-failure, tasty-golden, tasty-hunit - , temporary - }: - mkDerivation { - pname = "matplotlib"; version = "0.7.5"; sha256 = "0iyjr6j5jp7lqrk9rqqvxz3rx8221hwz56p82zqkj3aarrvy21cs"; libraryHaskellDepends = [ @@ -156848,7 +156587,6 @@ self: { ]; description = "Bindings to Matplotlib; a Python plotting library"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "matrices" = callPackage @@ -160895,6 +160633,35 @@ self: { license = stdenv.lib.licenses.mit; }) {}; + "mmsyn3" = callPackage + ({ mkDerivation, base, directory }: + mkDerivation { + pname = "mmsyn3"; + version = "0.1.1.1"; + sha256 = "1gx526ws7hdnn0pwffz46w0hywysbhqrxanwkdhw8v9qcwawkdbx"; + libraryHaskellDepends = [ base directory ]; + description = "A small library to deal with executable endings"; + license = stdenv.lib.licenses.mit; + }) {}; + + "mmsyn4" = callPackage + ({ mkDerivation, base, directory, mmsyn2, mmsyn3, process, vector + }: + mkDerivation { + pname = "mmsyn4"; + version = "0.1.1.1"; + sha256 = "07m0kga90ds25nd187xvfy0kqdsg8cilp6h52w4p4wfgr7hwahnr"; + revision = "2"; + editedCabalFile = "0ddc6pwyibgwvxwk60l1qiw7smkx8gpk7ikj5a9c7kcjq98ndf0z"; + isLibrary = false; + isExecutable = true; + executableHaskellDepends = [ + base directory mmsyn2 mmsyn3 process vector + ]; + description = "The \"glue\" between electronic tables and GraphViz"; + license = stdenv.lib.licenses.mit; + }) {}; + "mmtf" = callPackage ({ mkDerivation, array, base, binary, bytestring, containers , data-msgpack, deepseq, hspec, http-conduit, QuickCheck, text @@ -163254,8 +163021,8 @@ self: { pname = "monoidal-containers"; version = "0.6"; sha256 = "1ii09s068g6bj2j10ig3g3ymv1ci6zg596pmmaw6als15j9bybc9"; - revision = "1"; - editedCabalFile = "1k4k8g5a7swaylcqnga7lyp0lly8j1fqzdwsnznmps8bwn1pn1kk"; + revision = "2"; + editedCabalFile = "17qv2kds7m4mi3r4rd89d2nhcjc06lzdfg93qsn6ldssnypq0rra"; libraryHaskellDepends = [ aeson base containers deepseq hashable lens newtype semialign semigroups these unordered-containers @@ -168016,8 +167783,8 @@ self: { }: mkDerivation { pname = "net-mqtt"; - version = "0.6.0.0"; - sha256 = "1b27jxqs8wbknn3bsv1wcl93qzz9i46g1x449iz40ca3r3bylwcn"; + version = "0.6.0.1"; + sha256 = "1mcr228i7cdpwfpxxvgk7nah32bfc39mx4vr014zcy728imgj8dx"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -170582,6 +170349,36 @@ self: { maintainers = with stdenv.lib.maintainers; [ peti ]; }) {inherit (pkgs) nix;}; + "nix-tools" = callPackage + ({ mkDerivation, aeson, base, base16-bytestring, bytestring, Cabal + , containers, cryptohash-sha256, data-fix, deepseq, directory + , extra, filepath, hackage-db, hnix, hpack, http-client + , http-client-tls, http-types, microlens, microlens-aeson + , optparse-applicative, prettyprinter, process, tar, text, time + , transformers, unordered-containers, vector, yaml, zlib + }: + mkDerivation { + pname = "nix-tools"; + version = "0.1.0.0"; + sha256 = "09cwqxqj8hqf72d0ix9qim9b5gbzgqjkq7lvwg3w59zik8j7r7qk"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + aeson base base16-bytestring bytestring Cabal cryptohash-sha256 + data-fix deepseq directory filepath hnix hpack process text + transformers unordered-containers + ]; + executableHaskellDepends = [ + aeson base base16-bytestring bytestring Cabal containers + cryptohash-sha256 data-fix directory extra filepath hackage-db hnix + hpack http-client http-client-tls http-types microlens + microlens-aeson optparse-applicative prettyprinter tar text time + transformers unordered-containers vector yaml zlib + ]; + description = "cabal/stack to nix translation tools"; + license = stdenv.lib.licenses.bsd3; + }) {}; + "nixfmt" = callPackage ({ mkDerivation, base, cmdargs, directory, filepath, megaparsec , parser-combinators, safe-exceptions, text, unix @@ -177051,8 +176848,8 @@ self: { }: mkDerivation { pname = "pango"; - version = "0.13.6.0"; - sha256 = "14qcikd9r06ra7zp557c0bffd357yj4hk9bjigyhq2kdrc2l7igr"; + version = "0.13.6.1"; + sha256 = "1b17nap158ml58ks0zsdqx6v7gbdwaha1m0rw4bh4if7h0ai9vxp"; enableSeparateDataOutput = true; setupHaskellDepends = [ base Cabal filepath gtk2hs-buildtools ]; libraryHaskellDepends = [ @@ -178077,10 +177874,8 @@ self: { }: mkDerivation { pname = "paripari"; - version = "0.6.0.0"; - sha256 = "1604py5ms14xhmvsxdqx56xfbs3g4wkhjd8f5gsmhpqwz7acy511"; - revision = "2"; - editedCabalFile = "074z7wrb1p4c8wxwmqv3nxs6kp835r9pxmmnspqaajj0rag70ny3"; + version = "0.6.0.1"; + sha256 = "1i82rwd2ysplqazqnarnshdrg8gjsbgh9kzn0mc4avl2lfi3pk16"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -178714,6 +178509,17 @@ self: { broken = true; }) {}; + "partial-records" = callPackage + ({ mkDerivation, base, template-haskell, transformers }: + mkDerivation { + pname = "partial-records"; + version = "0.2.1.0"; + sha256 = "1aw1cnr4zxwczmxirkd7pw5pfajfyinl5d4ar5xhq4hmfyfrdvxi"; + libraryHaskellDepends = [ base template-haskell transformers ]; + description = "Template haskell utilities for constructing records with default values"; + license = stdenv.lib.licenses.bsd3; + }) {}; + "partial-semigroup" = callPackage ({ mkDerivation, base, doctest, hedgehog }: mkDerivation { @@ -180656,8 +180462,8 @@ self: { }: mkDerivation { pname = "persist"; - version = "0.1.1.3"; - sha256 = "0lcjk2q9x0qclc3znwv9xrqqwbczw2ryvamfqa6hvabr618lmi4p"; + version = "0.1.1.4"; + sha256 = "0g15l5fqzw30hsrc58hmgz5vbw8bfbgin7gi2dwahc98k8i0gxd4"; libraryHaskellDepends = [ base bytestring containers text ]; testHaskellDepends = [ base bytestring QuickCheck test-framework @@ -184541,6 +184347,8 @@ self: { pname = "playlists"; version = "0.5.0.0"; sha256 = "0653aifikinz69wq3d6sfkchcchhwlndh1lf40qrk96941qss0d6"; + revision = "1"; + editedCabalFile = "19vwlvva12p4r3ch1ik7m6h5r7cy0f35qn8smd41pv47v29dsxiq"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -187892,10 +187700,8 @@ self: { }: mkDerivation { pname = "pragmatic-show"; - version = "0.1.2.0"; - sha256 = "1nkwimmnk10p0pnv2hr3mxgfs1r2rjfhiaccmhd68a6279whp6p7"; - revision = "2"; - editedCabalFile = "197mbpl6542amy9hmramkhrb57s3wycsc1g2c5vhyfnnpbcrh1pc"; + version = "0.1.2.1"; + sha256 = "1i3yj11vdnca6klnn698fdwpjw356r87zbp7jlc4f4v76qhllfrm"; libraryHaskellDepends = [ base containers ]; testHaskellDepends = [ base tasty tasty-hunit tasty-quickcheck vector-space @@ -188022,8 +187828,8 @@ self: { ({ mkDerivation, adjunctions, base, lens, mtl }: mkDerivation { pname = "predicate-transformers"; - version = "0.3.0.0"; - sha256 = "1wdbizrg6wx1yl5f8h305k2a4vp6lpbxxdh3d761z9xr6fq9b5qj"; + version = "0.5.0.0"; + sha256 = "114mzc7vshxcvzlgqjyhadhmzjkmlszi513fjfmqfl6n556k48wf"; libraryHaskellDepends = [ adjunctions base lens mtl ]; description = "A library for writing predicates and transformations over predicates in Haskell"; license = stdenv.lib.licenses.bsd3; @@ -190450,8 +190256,6 @@ self: { ]; description = "Prometheus Haskell Client"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "prometheus-client" = callPackage @@ -191455,8 +191259,8 @@ self: { }: mkDerivation { pname = "pseudo-boolean"; - version = "0.1.8.0"; - sha256 = "0na3kx4zxjmznfhw9121w8963vm2qppij5i93j4lvd3sflpwry9b"; + version = "0.1.9.0"; + sha256 = "00n5mf7abprhr9xvh3k1mw40jn4l94wwxpc2h0546h0n9v7srb1b"; libraryHaskellDepends = [ attoparsec base bytestring bytestring-builder containers deepseq dlist hashable megaparsec parsec void @@ -195503,8 +195307,8 @@ self: { }: mkDerivation { pname = "rank2classes"; - version = "1.3.1"; - sha256 = "07ykacy93c1rgh9a5ndkkhaviyfr61xfhi430rpwamk4h6i6qiy3"; + version = "1.3.1.1"; + sha256 = "1rx44kjb2vgycmz68wxxdypc4lx7b27sr42xsgmy1l29gigiksij"; libraryHaskellDepends = [ base distributive template-haskell transformers ]; @@ -195968,6 +195772,39 @@ self: { broken = true; }) {}; + "rattletrap_9_0_4" = callPackage + ({ mkDerivation, aeson, aeson-pretty, base, binary, binary-bits + , bytestring, containers, filepath, http-client, http-client-tls + , HUnit, scientific, template-haskell, temporary, text + , transformers + }: + mkDerivation { + pname = "rattletrap"; + version = "9.0.4"; + sha256 = "05d0l0yajb12x3rvn5yz5534lvlkzzzwx2n8x9l1wzx4cavz7fan"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + aeson aeson-pretty base binary binary-bits bytestring containers + filepath http-client http-client-tls scientific template-haskell + text transformers + ]; + executableHaskellDepends = [ + aeson aeson-pretty base binary binary-bits bytestring containers + filepath http-client http-client-tls scientific template-haskell + text transformers + ]; + testHaskellDepends = [ + aeson aeson-pretty base binary binary-bits bytestring containers + filepath http-client http-client-tls HUnit scientific + template-haskell temporary text transformers + ]; + description = "Parse and generate Rocket League replays"; + license = stdenv.lib.licenses.mit; + hydraPlatforms = stdenv.lib.platforms.none; + broken = true; + }) {}; + "raven-haskell" = callPackage ({ mkDerivation, aeson, base, bytestring, hspec, http-conduit, mtl , network, random, resourcet, text, time, unordered-containers @@ -197041,26 +196878,6 @@ self: { }: mkDerivation { pname = "reanimate-svg"; - version = "0.9.3.0"; - sha256 = "1wwk2bd802nznwb9nlc7pq16krldw3dzj89fjd1v00plg9b5i3i4"; - libraryHaskellDepends = [ - attoparsec base bytestring containers JuicyPixels lens linear mtl - scientific text transformers vector xml - ]; - testHaskellDepends = [ - attoparsec base hspec linear scientific svg-tree - ]; - description = "SVG file loader and serializer"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "reanimate-svg_0_9_3_1" = callPackage - ({ mkDerivation, attoparsec, base, bytestring, containers, hspec - , JuicyPixels, lens, linear, mtl, scientific, svg-tree, text - , transformers, vector, xml - }: - mkDerivation { - pname = "reanimate-svg"; version = "0.9.3.1"; sha256 = "1bxfhfdr7p98aj12krxrzcmvxbl8b20flbvqydja8pcwh6jgd744"; libraryHaskellDepends = [ @@ -197072,7 +196889,6 @@ self: { ]; description = "SVG file loader and serializer"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "reason-export" = callPackage @@ -198213,8 +198029,8 @@ self: { pname = "reflex-dom-retractable"; version = "0.1.0.0"; sha256 = "0qdr3xrpg5dhfsbz6b2883jkhvc7nckmn05gyc844xn0fjlrlbda"; - revision = "1"; - editedCabalFile = "1idkwal502xg7qb8hwk5yg3mqy62h5xjmyciv2xs3x3axcckllcv"; + revision = "2"; + editedCabalFile = "19l4apgi05q2hi145wfyp3f6nkmdj9njpqmjk5g6hjma3pgncpsc"; libraryHaskellDepends = [ base containers jsaddle mtl reflex reflex-dom ]; @@ -200473,8 +200289,8 @@ self: { }: mkDerivation { pname = "replace-attoparsec"; - version = "1.0.2.0"; - sha256 = "13fri1sqvr9ldzbr7wif4fn5phjmca3pql10qzx049gyip1vfy8a"; + version = "1.0.3.0"; + sha256 = "0vksppf0x9wp9hs6h9i2jbaz5vmrv8r78dv3dr4g3d8bnkh2vmwz"; libraryHaskellDepends = [ attoparsec base bytestring text ]; testHaskellDepends = [ attoparsec base bytestring Cabal parsers text @@ -200482,24 +200298,19 @@ self: { benchmarkHaskellDepends = [ attoparsec base bytestring criterion text ]; - description = "Stream edit, find-and-replace with Attoparsec parsers"; + description = "Find, replace, and edit text patterns with Attoparsec parsers"; license = stdenv.lib.licenses.bsd2; }) {}; "replace-megaparsec" = callPackage - ({ mkDerivation, base, bytestring, Cabal, criterion, megaparsec - , text - }: + ({ mkDerivation, base, bytestring, Cabal, megaparsec, text }: mkDerivation { pname = "replace-megaparsec"; - version = "1.1.4.0"; - sha256 = "0mfxk03p6wwikyrra2r5zs06axl7v0da9ggv5ycd6mi4bc5hpj8r"; + version = "1.1.5.0"; + sha256 = "07y21p6a65gm3zgi3g9rfgr8ali548jcq8qcg5fyzl2bl1bj8pyd"; libraryHaskellDepends = [ base megaparsec ]; testHaskellDepends = [ base bytestring Cabal megaparsec text ]; - benchmarkHaskellDepends = [ - base bytestring criterion megaparsec text - ]; - description = "Stream edit, find-and-replace with Megaparsec parsers"; + description = "Find, replace, and edit text patterns with Megaparsec parsers"; license = stdenv.lib.licenses.bsd2; }) {}; @@ -201618,26 +201429,6 @@ self: { }: mkDerivation { pname = "retry"; - version = "0.8.0.2"; - sha256 = "1i98a5pp37fcny28wfp002bc16m9jf793jicbp83ffwlk0g123v5"; - libraryHaskellDepends = [ - base exceptions ghc-prim random transformers - ]; - testHaskellDepends = [ - base exceptions ghc-prim hedgehog HUnit mtl random stm tasty - tasty-hedgehog tasty-hunit time transformers - ]; - description = "Retry combinators for monadic actions that may fail"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "retry_0_8_1_0" = callPackage - ({ mkDerivation, base, exceptions, ghc-prim, hedgehog, HUnit, mtl - , random, stm, tasty, tasty-hedgehog, tasty-hunit, time - , transformers - }: - mkDerivation { - pname = "retry"; version = "0.8.1.0"; sha256 = "02k03r86amg1vbrsvb644342ym13d9jwkzki9sk93pdg5l8j35dj"; libraryHaskellDepends = [ @@ -201649,7 +201440,6 @@ self: { ]; description = "Retry combinators for monadic actions that may fail"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "retryer" = callPackage @@ -206064,17 +205854,17 @@ self: { broken = true; }) {inherit (pkgs) z3;}; - "sbv_8_4" = callPackage + "sbv_8_5" = callPackage ({ mkDerivation, array, async, base, bytestring, containers - , crackNum, deepseq, directory, doctest, filepath, generic-deriving - , ghc, Glob, hlint, mtl, pretty, process, QuickCheck, random, syb - , tasty, tasty-golden, tasty-hunit, tasty-quickcheck - , template-haskell, time, transformers, z3 + , crackNum, criterion, deepseq, directory, doctest, filepath + , generic-deriving, ghc, Glob, hlint, mtl, pretty, process + , QuickCheck, random, syb, tasty, tasty-golden, tasty-hunit + , tasty-quickcheck, template-haskell, time, transformers, z3 }: mkDerivation { pname = "sbv"; - version = "8.4"; - sha256 = "0fv1l99zw29vsfgzym0qvb8qcy1jb7gkd1yj48vy1w0ayg9w01i0"; + version = "8.5"; + sha256 = "108j9b10sf7cv838g07lip17qx49d9lh9ajd3q2r0848szg0kf1p"; enableSeparateDataOutput = true; libraryHaskellDepends = [ array async base containers crackNum deepseq directory filepath @@ -206087,6 +205877,10 @@ self: { tasty-quickcheck template-haskell ]; testSystemDepends = [ z3 ]; + benchmarkHaskellDepends = [ + base containers crackNum criterion deepseq directory filepath mtl + process random syb + ]; description = "SMT Based Verification: Symbolic Haskell theorem prover using SMT solving"; license = stdenv.lib.licenses.bsd3; hydraPlatforms = stdenv.lib.platforms.none; @@ -206604,8 +206398,8 @@ self: { }: mkDerivation { pname = "schemas"; - version = "0.2.0.2"; - sha256 = "0fpxjcs23kv3vq3mmg3f682n8yxb0666vflmvn9rnf9vc5afsz0x"; + version = "0.2.0.3"; + sha256 = "1s09viqh2ra0kikx54jr4sr2g38j65idg7xv5ccll30c0vnjjvcs"; libraryHaskellDepends = [ aeson base bifunctors bytestring free generics-sop hashable lens lens-aeson profunctors scientific text transformers @@ -208130,8 +207924,8 @@ self: { }: mkDerivation { pname = "secp256k1-legacy"; - version = "0.5.5"; - sha256 = "1wqzv26zcbd9lxl8ifwwwnp7ikfd7rs8w784gdap963yk0k3692d"; + version = "0.5.6"; + sha256 = "0p7kp7011hfc95rdchkniw3gj5i0pkwgsa5kkhwn6fjzs2clslbz"; setupHaskellDepends = [ base Cabal ]; libraryHaskellDepends = [ base base16-bytestring bytestring cereal entropy mtl QuickCheck @@ -211729,24 +211523,6 @@ self: { }: mkDerivation { pname = "servant-swagger-ui"; - version = "0.3.4.3.22.2"; - sha256 = "0ig05xzh4iybnwzh7bx8i7a337j0xfmsxbmfi9iaiz4g6f9s5clm"; - revision = "1"; - editedCabalFile = "084cqli1d30vz6mrj6l9cxlwmigqqiydkxdq90xvz3ffhs5cyq8p"; - libraryHaskellDepends = [ - base bytestring file-embed-lzma servant servant-server - servant-swagger-ui-core swagger2 text - ]; - description = "Servant swagger ui"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "servant-swagger-ui_0_3_4_3_23_11" = callPackage - ({ mkDerivation, base, bytestring, file-embed-lzma, servant - , servant-server, servant-swagger-ui-core, swagger2, text - }: - mkDerivation { - pname = "servant-swagger-ui"; version = "0.3.4.3.23.11"; sha256 = "0ryr6h74vz6q6q0c9aixb7kwhq1vn95m3m0799cvc0xkfvm0ljha"; libraryHaskellDepends = [ @@ -211755,7 +211531,6 @@ self: { ]; description = "Servant swagger ui"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "servant-swagger-ui-core" = callPackage @@ -211802,24 +211577,6 @@ self: { }: mkDerivation { pname = "servant-swagger-ui-redoc"; - version = "0.3.3.1.22.2"; - sha256 = "0qkh4h5ijhizlnps79gg75jy533rpc1vcw7v7yxika585srndim1"; - revision = "1"; - editedCabalFile = "1qi389fjrlnqbsm7kw6rbyga19mw9286g5ibavi888jqyllpmi8f"; - libraryHaskellDepends = [ - base bytestring file-embed-lzma servant servant-server - servant-swagger-ui-core swagger2 text - ]; - description = "Servant swagger ui: ReDoc theme"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "servant-swagger-ui-redoc_0_3_3_1_22_3" = callPackage - ({ mkDerivation, base, bytestring, file-embed-lzma, servant - , servant-server, servant-swagger-ui-core, swagger2, text - }: - mkDerivation { - pname = "servant-swagger-ui-redoc"; version = "0.3.3.1.22.3"; sha256 = "0bzkrh1hf29vfa1r1sgifb9j2zcg6i43fal4abbx4lcqvf155pzv"; libraryHaskellDepends = [ @@ -211828,7 +211585,6 @@ self: { ]; description = "Servant swagger ui: ReDoc theme"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "servant-tracing" = callPackage @@ -213115,8 +212871,8 @@ self: { }: mkDerivation { pname = "shake-ats"; - version = "1.10.2.2"; - sha256 = "070vvzz0nmdal9ja43l8s4dll2iwxjzd3lmlynkdm1v9qzj0iw67"; + version = "1.10.2.3"; + sha256 = "00d7axnvrwgvskfhb51n55i188dlca2s1xwckqzycpafwbvxvsfx"; libraryHaskellDepends = [ base binary dependency directory hs2ats language-ats microlens shake shake-c shake-cabal shake-ext text @@ -213384,31 +213140,6 @@ self: { }: mkDerivation { pname = "shakespeare"; - version = "2.0.21"; - sha256 = "1assgcinf9i9rm7mphqfymzvn7z1m2jjkm98z7l2pb76z53mcvgh"; - libraryHaskellDepends = [ - aeson base blaze-html blaze-markup bytestring containers directory - exceptions ghc-prim parsec process scientific template-haskell text - time transformers unordered-containers vector - ]; - testHaskellDepends = [ - aeson base blaze-html blaze-markup bytestring containers directory - exceptions ghc-prim hspec HUnit parsec process template-haskell - text time transformers - ]; - description = "A toolkit for making compile-time interpolated templates"; - license = stdenv.lib.licenses.mit; - maintainers = with stdenv.lib.maintainers; [ psibi ]; - }) {}; - - "shakespeare_2_0_22" = callPackage - ({ mkDerivation, aeson, base, blaze-html, blaze-markup, bytestring - , containers, directory, exceptions, ghc-prim, hspec, HUnit, parsec - , process, scientific, template-haskell, text, time, transformers - , unordered-containers, vector - }: - mkDerivation { - pname = "shakespeare"; version = "2.0.22"; sha256 = "1mc1a0vv070gcawwcx6vzpj6gpfh1qnlqrndiyfic3p500y656vh"; libraryHaskellDepends = [ @@ -213423,7 +213154,6 @@ self: { ]; description = "A toolkit for making compile-time interpolated templates"; license = stdenv.lib.licenses.mit; - hydraPlatforms = stdenv.lib.platforms.none; maintainers = with stdenv.lib.maintainers; [ psibi ]; }) {}; @@ -214055,8 +213785,8 @@ self: { }: mkDerivation { pname = "shh"; - version = "0.7.0.6"; - sha256 = "0whcynzjsm0agq456kv6dn6d4gk1k3vhvnbwvjar4sbn0yiz4if1"; + version = "0.7.0.7"; + sha256 = "07xj1l7pk6j072ry2mi6jp6r0ivs4m0fqvfvm62jvhm7vhlg3m8m"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -216188,6 +215918,8 @@ self: { pname = "size-based"; version = "0.1.2.0"; sha256 = "06hmlic0n73ncwlkpx49xlv09bzsrr27ncnp5byhzlknak2gd7vp"; + revision = "1"; + editedCabalFile = "0kax1ypjyglkn6iff1x4yz12y7f2n249m95xvdhrc63hsa4xlcqv"; libraryHaskellDepends = [ base dictionary-sharing template-haskell testing-type-modifiers ]; @@ -216847,8 +216579,8 @@ self: { }: mkDerivation { pname = "slave-thread"; - version = "1.0.3"; - sha256 = "09yvcgd997lj8wbal443hafr1w72v45ks4fxrm05b45malb3vs98"; + version = "1.1"; + sha256 = "02b64s0m7k81s187wj81yzr7l73p79iyh7a59dqf7r72l4r7akbd"; libraryHaskellDepends = [ base deferred-folds focus foldl stm-containers ]; @@ -217131,18 +216863,18 @@ self: { }: mkDerivation { pname = "small-bytearray-builder"; - version = "0.2.1.0"; - sha256 = "11r0nz8z16h75867xq4c62s69ic7vhwfwhl2c11441mkhbrk2nbw"; + version = "0.3.0.0"; + sha256 = "17c0mwanwj96djirh6vac9k5dh8qsl9inx237wwbln4j3br4mkz4"; libraryHaskellDepends = [ base byteslice bytestring natural-arithmetic primitive - primitive-offset run-st text-short vector + primitive-offset run-st text-short ]; testHaskellDepends = [ base byteslice bytestring natural-arithmetic primitive QuickCheck tasty tasty-hunit tasty-quickcheck text vector ]; benchmarkHaskellDepends = [ - base gauge natural-arithmetic primitive + base byteslice gauge natural-arithmetic primitive text-short ]; description = "Serialize to a small byte arrays"; license = stdenv.lib.licenses.bsd3; @@ -224757,6 +224489,58 @@ self: { license = stdenv.lib.licenses.mit; }) {}; + "store_0_6_0" = callPackage + ({ mkDerivation, array, async, base, base-orphans + , base64-bytestring, bifunctors, bytestring, cereal, cereal-vector + , clock, containers, contravariant, criterion, cryptohash, deepseq + , directory, filepath, free, ghc-prim, hashable, hspec + , hspec-smallcheck, integer-gmp, lifted-base, monad-control + , mono-traversable, network, primitive, resourcet, safe, semigroups + , smallcheck, store-core, syb, template-haskell, text, th-lift + , th-lift-instances, th-orphans, th-reify-many, th-utilities, time + , transformers, unordered-containers, vector + , vector-binary-instances, void, weigh + }: + mkDerivation { + pname = "store"; + version = "0.6.0"; + sha256 = "0l3v736insivkvrbnfqv6qyraxyfmxb2n85r3gwfjwnwdwhljhk6"; + libraryHaskellDepends = [ + array async base base-orphans base64-bytestring bifunctors + bytestring containers contravariant cryptohash deepseq directory + filepath free ghc-prim hashable hspec hspec-smallcheck integer-gmp + lifted-base monad-control mono-traversable network primitive + resourcet safe semigroups smallcheck store-core syb + template-haskell text th-lift th-lift-instances th-orphans + th-reify-many th-utilities time transformers unordered-containers + vector void + ]; + testHaskellDepends = [ + array async base base-orphans base64-bytestring bifunctors + bytestring clock containers contravariant cryptohash deepseq + directory filepath free ghc-prim hashable hspec hspec-smallcheck + integer-gmp lifted-base monad-control mono-traversable network + primitive resourcet safe semigroups smallcheck store-core syb + template-haskell text th-lift th-lift-instances th-orphans + th-reify-many th-utilities time transformers unordered-containers + vector void + ]; + benchmarkHaskellDepends = [ + array async base base-orphans base64-bytestring bifunctors + bytestring cereal cereal-vector containers contravariant criterion + cryptohash deepseq directory filepath free ghc-prim hashable hspec + hspec-smallcheck integer-gmp lifted-base monad-control + mono-traversable network primitive resourcet safe semigroups + smallcheck store-core syb template-haskell text th-lift + th-lift-instances th-orphans th-reify-many th-utilities time + transformers unordered-containers vector vector-binary-instances + void weigh + ]; + description = "Fast binary serialization"; + license = stdenv.lib.licenses.mit; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "store-core" = callPackage ({ mkDerivation, base, bytestring, ghc-prim, primitive, text , transformers @@ -224772,6 +224556,22 @@ self: { license = stdenv.lib.licenses.mit; }) {}; + "store-core_0_4_4_1" = callPackage + ({ mkDerivation, base, bytestring, ghc-prim, primitive, text + , transformers + }: + mkDerivation { + pname = "store-core"; + version = "0.4.4.1"; + sha256 = "1dq5wpc6q95nq9jnlwkrnrvf48xz3lq7p5g90g0mym5laq1qhdpc"; + libraryHaskellDepends = [ + base bytestring ghc-prim primitive text transformers + ]; + description = "Fast and lightweight binary serialization"; + license = stdenv.lib.licenses.mit; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "store-streaming" = callPackage ({ mkDerivation, async, base, bytestring, conduit, free, hspec , hspec-smallcheck, network, resourcet, smallcheck, store @@ -224779,8 +224579,8 @@ self: { }: mkDerivation { pname = "store-streaming"; - version = "0.1.0.0"; - sha256 = "04vsglnxqgznnacgmb34z3dsb7pz1kmc2fis1ij5p7k740c9gn2g"; + version = "0.2.0.0"; + sha256 = "0fhcv0lvmhdc53fx9y2dvvykvap7dz4asnajy95kpwhaz6z1xc2k"; libraryHaskellDepends = [ async base bytestring conduit free resourcet store store-core streaming-commons text transformers @@ -228632,22 +228432,30 @@ self: { "symbiote" = callPackage ({ mkDerivation, abides, aeson, async, base, bytestring, cereal - , cereal-text, containers, monad-control, mtl, QuickCheck - , quickcheck-instances, stm, tasty, tasty-hunit, tasty-quickcheck - , text + , containers, exceptions, extractable-singleton, http-types + , monad-control-aligned, mtl, QuickCheck, quickcheck-instances, stm + , tasty, tasty-hunit, tasty-quickcheck, text, wai, wai-extra + , wai-transformers, wai-websockets, warp, websockets + , websockets-simple, websockets-simple-extra, zeromq4-haskell + , zeromq4-simple }: mkDerivation { pname = "symbiote"; - version = "0.0.1.1"; - sha256 = "0l0ibxsi57d4g7s6yg55wc1fxf7mpzpis5ag34i84g41syfwzyb7"; + version = "0.0.2"; + sha256 = "09siz5xy6gvlqy1vd61j7rhhqi6dyg5fc4yl6h1nifchk6126939"; libraryHaskellDepends = [ - abides aeson async base bytestring cereal cereal-text containers - monad-control mtl QuickCheck quickcheck-instances stm text + abides aeson async base bytestring cereal containers exceptions + extractable-singleton monad-control-aligned mtl QuickCheck + quickcheck-instances stm text wai-transformers websockets-simple + websockets-simple-extra zeromq4-haskell zeromq4-simple ]; testHaskellDepends = [ - abides aeson async base bytestring cereal cereal-text containers - monad-control mtl QuickCheck quickcheck-instances stm tasty - tasty-hunit tasty-quickcheck text + abides aeson async base bytestring cereal containers exceptions + extractable-singleton http-types monad-control-aligned mtl + QuickCheck quickcheck-instances stm tasty tasty-hunit + tasty-quickcheck text wai wai-extra wai-transformers wai-websockets + warp websockets websockets-simple websockets-simple-extra + zeromq4-haskell zeromq4-simple ]; description = "Data serialization, communication, and operation verification implementation"; license = stdenv.lib.licenses.bsd3; @@ -231497,8 +231305,6 @@ self: { ]; description = "TAP (Test Anything Protocol) Version 13 formatter for tasty"; license = stdenv.lib.licenses.mit; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "tasty-test-vector" = callPackage @@ -235436,6 +235242,27 @@ self: { license = stdenv.lib.licenses.mit; }) {}; + "th-utilities_0_2_3_1" = callPackage + ({ mkDerivation, base, bytestring, containers, directory, filepath + , hspec, primitive, syb, template-haskell, text, th-orphans, vector + }: + mkDerivation { + pname = "th-utilities"; + version = "0.2.3.1"; + sha256 = "1sy3bgwc85zw999cya92xsp9jllclwbzw9fmjmhqi4r5kj2gyk96"; + libraryHaskellDepends = [ + base bytestring containers directory filepath primitive syb + template-haskell text th-orphans + ]; + testHaskellDepends = [ + base bytestring containers directory filepath hspec primitive syb + template-haskell text th-orphans vector + ]; + description = "Collection of useful functions for use with Template Haskell"; + license = stdenv.lib.licenses.mit; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "thank-you-stars" = callPackage ({ mkDerivation, aeson, base, bytestring, Cabal, containers , directory, filepath, hackage-db, hspec, req, split, text @@ -237740,7 +237567,7 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; - "tls_1_5_1" = callPackage + "tls_1_5_2" = callPackage ({ mkDerivation, asn1-encoding, asn1-types, async, base, bytestring , cereal, cryptonite, data-default-class, gauge, hourglass, memory , mtl, network, QuickCheck, tasty, tasty-quickcheck, transformers @@ -237748,8 +237575,8 @@ self: { }: mkDerivation { pname = "tls"; - version = "1.5.1"; - sha256 = "1fs5q494ip8hi2jdp34wy93hmdd42lwkh1hi0jg3ngdnilpg33yi"; + version = "1.5.2"; + sha256 = "0c23k1aqsdi0kyyg3lcfj78z7bc9xkk0gwy53xmi7b5s6i8dp47b"; libraryHaskellDepends = [ asn1-encoding asn1-types async base bytestring cereal cryptonite data-default-class hourglass memory mtl network transformers x509 @@ -237787,15 +237614,15 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; - "tls-debug_0_4_7" = callPackage + "tls-debug_0_4_8" = callPackage ({ mkDerivation, base, bytestring, cryptonite, data-default-class , network, pem, tls, tls-session-manager, x509, x509-store , x509-system, x509-validation }: mkDerivation { pname = "tls-debug"; - version = "0.4.7"; - sha256 = "1p3ihky5vznjv66f1mbpj33ahkg8g0xgfknldzmgllwsmh533dji"; + version = "0.4.8"; + sha256 = "1x6yjk0m1jrkcy1y6ggrmnhkdrf0kbgvdry6p5i7f4bvfj432qvl"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ @@ -238598,8 +238425,8 @@ self: { }: mkDerivation { pname = "too-many-cells"; - version = "0.2.1.0"; - sha256 = "06sp0c0db4xnik2c361q1g5x8alcfjz9fppxwzz8dbagqbdi110a"; + version = "0.2.2.0"; + sha256 = "02xf5xadicgvhws21901qsn5a5v3hb77wjfy9x0q16zxbif74hvm"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -239220,8 +239047,8 @@ self: { }: mkDerivation { pname = "trackit"; - version = "0.6.2"; - sha256 = "13xydhv9aaw0yk2wa0r2izwn98b0vgsx4vr2r8zpg0qxlhhriidv"; + version = "0.6.3"; + sha256 = "0bjsvz1kc6i2zpzdcjrrncqs3rpl7rfp961njhihymazffhsx3l2"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ @@ -242403,8 +242230,8 @@ self: { ({ mkDerivation, base, doctest, Glob }: mkDerivation { pname = "type-errors-pretty"; - version = "0.0.0.0"; - sha256 = "0yj8c91maanihyl9506ihpxv3zyfvprr359dvd2b9ym3py50kncs"; + version = "0.0.1.0"; + sha256 = "1xp72vsmqamgg9k8w7pimy6q3dn73ammg7zda98a0hb4q9pdcrml"; libraryHaskellDepends = [ base ]; testHaskellDepends = [ base doctest Glob ]; description = "Combinators for writing pretty type errors easily"; @@ -243929,6 +243756,24 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "unagi-chan_0_4_1_3" = callPackage + ({ mkDerivation, async, atomic-primops, base, containers, criterion + , ghc-prim, primitive + }: + mkDerivation { + pname = "unagi-chan"; + version = "0.4.1.3"; + sha256 = "15fnk9x4fd2ryp31fjfrwm8k61m3a0qyb95m4065zc0yi0jyacp2"; + libraryHaskellDepends = [ atomic-primops base ghc-prim primitive ]; + testHaskellDepends = [ + atomic-primops base containers ghc-prim primitive + ]; + benchmarkHaskellDepends = [ async base criterion ]; + description = "Fast concurrent queues with a Chan-like API, and more"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "unagi-streams" = callPackage ({ mkDerivation, base, io-streams, unagi-chan }: mkDerivation { @@ -245181,8 +245026,6 @@ self: { ]; description = "Custom prelude used in Serokell"; license = stdenv.lib.licenses.mit; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "universum_1_6_0" = callPackage @@ -245210,7 +245053,6 @@ self: { description = "Custom prelude used in Serokell"; license = stdenv.lib.licenses.mit; hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "unix_2_7_2_2" = callPackage @@ -246126,35 +245968,6 @@ self: { "uri-bytestring" = callPackage ({ mkDerivation, attoparsec, base, base-compat, blaze-builder , bytestring, containers, criterion, deepseq, deepseq-generics - , generics-sop, HUnit, network-uri, QuickCheck - , quickcheck-instances, semigroups, tasty, tasty-hunit - , tasty-quickcheck, template-haskell, th-lift-instances - , transformers - }: - mkDerivation { - pname = "uri-bytestring"; - version = "0.3.2.1"; - sha256 = "1z61l4l8sg8vyrf7cri0awj5pnpzly8gp7dw9j26v9pcs6z1dgb4"; - libraryHaskellDepends = [ - attoparsec base blaze-builder bytestring containers - template-haskell th-lift-instances - ]; - testHaskellDepends = [ - attoparsec base base-compat blaze-builder bytestring containers - generics-sop HUnit QuickCheck quickcheck-instances semigroups tasty - tasty-hunit tasty-quickcheck transformers - ]; - benchmarkHaskellDepends = [ - base blaze-builder bytestring criterion deepseq deepseq-generics - network-uri - ]; - description = "Haskell URI parsing as ByteStrings"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "uri-bytestring_0_3_2_2" = callPackage - ({ mkDerivation, attoparsec, base, base-compat, blaze-builder - , bytestring, containers, criterion, deepseq, deepseq-generics , hedgehog, HUnit, network-uri, safe, semigroups, tasty , tasty-hedgehog, tasty-hunit, template-haskell, th-lift-instances , transformers @@ -246178,7 +245991,6 @@ self: { ]; description = "Haskell URI parsing as ByteStrings"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "uri-bytestring-aeson" = callPackage @@ -249325,6 +249137,8 @@ self: { pname = "vimeta"; version = "0.2.5.1"; sha256 = "1v46b0215mcyhxma3ascg3paz683j8xqsf4czs8syx4amyls36qg"; + revision = "1"; + editedCabalFile = "1na8qghd918ck1pg058anbh9iy3w4x7hf72ygfwfy23hw00wn5j4"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -259215,18 +259029,18 @@ self: { }) {}; "yampa-test" = callPackage - ({ mkDerivation, base, Cabal, cabal-test-quickcheck - , normaldistribution, QuickCheck, random, Yampa + ({ mkDerivation, base, Cabal, normaldistribution, QuickCheck + , random, tasty, tasty-quickcheck, Yampa }: mkDerivation { pname = "yampa-test"; - version = "0.1.1"; - sha256 = "1qc1aic4apml5akq056i5c460x12hf613r1zkisshjm0na4gx5mb"; + version = "0.2"; + sha256 = "030dakxny9nh0spq04vbxs961y12i2xbr9g9g3q7lk78mhshwv5v"; libraryHaskellDepends = [ base normaldistribution QuickCheck Yampa ]; testHaskellDepends = [ - base Cabal cabal-test-quickcheck QuickCheck random Yampa + base Cabal QuickCheck random tasty tasty-quickcheck Yampa ]; description = "Testing library for Yampa"; license = stdenv.lib.licenses.bsd3; @@ -259500,6 +259314,43 @@ self: { broken = true; }) {}; + "yeamer" = callPackage + ({ mkDerivation, aeson, base, base64-bytestring, blaze-html + , bytestring, containers, data-default-class, diagrams-cairo + , diagrams-lib, directory, dumb-cas, filepath, flat, HaTeX + , js-jquery, microlens, microlens-th, numbered-semigroups + , semigroups, shakespeare, tasty, tasty-hunit, tasty-quickcheck + , template-haskell, temporary, TeX-my-math, texmath, text, these + , these-lens, time, transformers, unix, vector, xml, yesod + , yesod-form, yesod-static, zlib + }: + mkDerivation { + pname = "yeamer"; + version = "0.1.0.3"; + sha256 = "02v33ya0nc0qvsnc5dz33kargyprzxjhbrcdfi439psxh43rw9fp"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + aeson base base64-bytestring blaze-html bytestring containers + data-default-class directory dumb-cas filepath flat HaTeX js-jquery + microlens microlens-th numbered-semigroups semigroups shakespeare + template-haskell temporary TeX-my-math texmath text these + these-lens transformers unix vector xml yesod yesod-form + yesod-static zlib + ]; + executableHaskellDepends = [ + base diagrams-cairo diagrams-lib flat numbered-semigroups + semigroups shakespeare TeX-my-math time + ]; + testHaskellDepends = [ + aeson base numbered-semigroups tasty tasty-hunit tasty-quickcheck + ]; + description = "Yesod-based server for interactive presentation slides"; + license = stdenv.lib.licenses.gpl3; + hydraPlatforms = stdenv.lib.platforms.none; + broken = true; + }) {}; + "yeganesh" = callPackage ({ mkDerivation, base, containers, directory, filepath, process , strict, time, unix, xdg-basedir @@ -261658,31 +261509,6 @@ self: { "yesod-test" = callPackage ({ mkDerivation, attoparsec, base, blaze-builder, blaze-html , bytestring, case-insensitive, conduit, containers, cookie, hspec - , hspec-core, html-conduit, http-types, HUnit, network, pretty-show - , semigroups, text, time, transformers, unliftio, wai, wai-extra - , xml-conduit, xml-types, yesod-core, yesod-form - }: - mkDerivation { - pname = "yesod-test"; - version = "1.6.6.2"; - sha256 = "1c5q11f2wscapl6a16v1r5livjiy8i2iz5zvlcsx89ikrqgvfc58"; - libraryHaskellDepends = [ - attoparsec base blaze-builder blaze-html bytestring - case-insensitive conduit containers cookie hspec-core html-conduit - http-types HUnit network pretty-show semigroups text time - transformers wai wai-extra xml-conduit xml-types yesod-core - ]; - testHaskellDepends = [ - base bytestring containers cookie hspec html-conduit http-types - HUnit text unliftio wai wai-extra xml-conduit yesod-core yesod-form - ]; - description = "integration testing for WAI/Yesod Applications"; - license = stdenv.lib.licenses.mit; - }) {}; - - "yesod-test_1_6_7" = callPackage - ({ mkDerivation, attoparsec, base, blaze-builder, blaze-html - , bytestring, case-insensitive, conduit, containers, cookie, hspec , hspec-core, html-conduit, http-types, HUnit, memory, network , pretty-show, semigroups, text, time, transformers, unliftio, wai , wai-extra, xml-conduit, xml-types, yesod-core, yesod-form @@ -261703,7 +261529,6 @@ self: { ]; description = "integration testing for WAI/Yesod Applications"; license = stdenv.lib.licenses.mit; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "yesod-test-json" = callPackage @@ -263245,8 +263070,8 @@ self: { }: mkDerivation { pname = "zeromq4-simple"; - version = "0.0.0.1"; - sha256 = "0h16chz3x0ipdbw935fvfhpdljbknczk1ivf87519dkrl3c1ypjv"; + version = "0.0.0.2"; + sha256 = "1xk131mia8k6zcl4995cdx15aj74jyrxziah0xmqd0yjnrh4kw8n"; libraryHaskellDepends = [ aeson base bytestring constraints hashable uuid zeromq4-haskell ]; @@ -264145,6 +263970,8 @@ self: { pname = "zxcvbn-dvorak"; version = "0.1.0.0"; sha256 = "07sz5vwgh7vxlr0z2v7bml8j2gy0l2pl62frgl8r211dzjmd7q8m"; + revision = "1"; + editedCabalFile = "19m6h3cal4wc13hh382526bj0z8pdvs7nck0im2cawxh5wna7cq7"; libraryHaskellDepends = [ base base64-bytestring binary binary-instances containers lens text unordered-containers zlib zxcvbn-hs @@ -264163,6 +263990,8 @@ self: { pname = "zxcvbn-hs"; version = "0.2.1.0"; sha256 = "1gvarz005nlz9q5bkdwvplvsmwwchhx0arpq1grmh39r706lh4d8"; + revision = "2"; + editedCabalFile = "05l4pni4264rcivixzakjkph5qr4jr8qb4jbfj2nw106n1zhjaka"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ diff --git a/pkgs/development/libraries/arrayfire/default.nix b/pkgs/development/libraries/arrayfire/default.nix new file mode 100644 index 000000000000..17f43a9ddfae --- /dev/null +++ b/pkgs/development/libraries/arrayfire/default.nix @@ -0,0 +1,86 @@ +{ stdenv, fetchurl, fetchFromGitHub, cmake, pkgconfig +, cudatoolkit, opencl-clhpp, ocl-icd, fftw, fftwFloat, mkl +, blas, openblas, boost, mesa, libGLU_combined +, freeimage, python +}: + +let + version = "3.6.4"; + + clfftSource = fetchFromGitHub { + owner = "arrayfire"; + repo = "clFFT"; + rev = "16925fb93338b3cac66490b5cf764953d6a5dac7"; + sha256 = "0y35nrdz7w4n1l17myhkni3hwm37z775xn6f76xmf1ph7dbkslsc"; + fetchSubmodules = true; + }; + + clblasSource = fetchFromGitHub { + owner = "arrayfire"; + repo = "clBLAS"; + rev = "1f3de2ae5582972f665c685b18ef0df43c1792bb"; + sha256 = "154mz52r5hm0jrp5fqrirzzbki14c1jkacj75flplnykbl36ibjs"; + fetchSubmodules = true; + }; + + cl2hppSource = fetchurl { + url = "https://github.com/KhronosGroup/OpenCL-CLHPP/releases/download/v2.0.10/cl2.hpp"; + sha256 = "1v4q0g6b6mwwsi0kn7kbjn749j3qafb9r4ld3zdq1163ln9cwnvw"; + }; + +in stdenv.mkDerivation { + pname = "arrayfire"; + inherit version; + + src = fetchurl { + url = "http://arrayfire.com/arrayfire_source/arrayfire-full-${version}.tar.bz2"; + sha256 = "1fin7a9rliyqic3z83agkpb8zlq663q6gdxsnm156cs8s7f7rc9h"; + }; + + cmakeFlags = [ + "-DAF_BUILD_OPENCL=OFF" + "-DAF_BUILD_EXAMPLES=OFF" + "-DBUILD_TESTING=OFF" + "-DCMAKE_LIBRARY_PATH=${cudatoolkit}/lib/stubs" + ]; + + patches = [ ./no-download.patch ]; + + postPatch = '' + mkdir -p ./build/third_party/clFFT/src + cp -R --no-preserve=mode,ownership ${clfftSource}/ ./build/third_party/clFFT/src/clFFT-ext/ + mkdir -p ./build/third_party/clBLAS/src + cp -R --no-preserve=mode,ownership ${clblasSource}/ ./build/third_party/clBLAS/src/clBLAS-ext/ + mkdir -p ./build/include/CL + cp -R --no-preserve=mode,ownership ${cl2hppSource} ./build/include/CL/cl2.hpp + ''; + + preBuild = '' + export CUDA_PATH="${cudatoolkit}" + ''; + + enableParallelBuilding = true; + + nativeBuildInputs = [ + cmake + pkgconfig + ]; + + buildInputs = [ + opencl-clhpp fftw fftwFloat + mkl + openblas + libGLU_combined + mesa freeimage + boost.out boost.dev python + ] ++ (stdenv.lib.optional stdenv.isLinux [ cudatoolkit ocl-icd ]); + + meta = with stdenv.lib; { + description = "A general-purpose library that simplifies the process of developing software that targets parallel and massively-parallel architectures including CPUs, GPUs, and other hardware acceleration devices"; + license = licenses.bsd3; + homepage = https://arrayfire.com/ ; + platforms = platforms.linux ++ platforms.darwin; + maintainers = with stdenv.lib.maintainers; [ chessai ]; + inherit version; + }; +} diff --git a/pkgs/development/libraries/arrayfire/no-download.patch b/pkgs/development/libraries/arrayfire/no-download.patch new file mode 100644 index 000000000000..2b3ac492a54d --- /dev/null +++ b/pkgs/development/libraries/arrayfire/no-download.patch @@ -0,0 +1,28 @@ +diff --git a/CMakeModules/build_clBLAS.cmake b/CMakeModules/build_clBLAS.cmake +index 8de529e8..6361b613 100644 +--- a/CMakeModules/build_clBLAS.cmake ++++ b/CMakeModules/build_clBLAS.cmake +@@ -14,8 +14,7 @@ find_package(OpenCL) + + ExternalProject_Add( + clBLAS-ext +- GIT_REPOSITORY https://github.com/arrayfire/clBLAS.git +- GIT_TAG arrayfire-release ++ DOWNLOAD_COMMAND true + BUILD_BYPRODUCTS ${clBLAS_location} + PREFIX "${prefix}" + INSTALL_DIR "${prefix}" +diff --git a/CMakeModules/build_clFFT.cmake b/CMakeModules/build_clFFT.cmake +index 28be38a3..85e3915e 100644 +--- a/CMakeModules/build_clFFT.cmake ++++ b/CMakeModules/build_clFFT.cmake +@@ -20,8 +20,7 @@ ENDIF() + + ExternalProject_Add( + clFFT-ext +- GIT_REPOSITORY https://github.com/arrayfire/clFFT.git +- GIT_TAG arrayfire-release ++ DOWNLOAD_COMMAND true + PREFIX "${prefix}" + INSTALL_DIR "${prefix}" + UPDATE_COMMAND "" diff --git a/pkgs/development/libraries/chromaprint/default.nix b/pkgs/development/libraries/chromaprint/default.nix index fd868884f986..6ad6a09ec14b 100644 --- a/pkgs/development/libraries/chromaprint/default.nix +++ b/pkgs/development/libraries/chromaprint/default.nix @@ -2,18 +2,18 @@ stdenv.mkDerivation rec { pname = "chromaprint"; - version = "1.3.2"; + version = "1.4.3"; src = fetchurl { - url = "https://bitbucket.org/acoustid/chromaprint/downloads/${pname}-${version}.tar.gz"; - sha256 = "0lln8dh33gslb9cbmd1hcv33pr6jxdwipd8m8gbsyhksiq6r1by3"; + url = "https://github.com/acoustid/chromaprint/releases/download/v${version}/${pname}-${version}.tar.gz"; + sha256 = "10kz8lncal4s2rp2rqpgc6xyjp0jzcrihgkx7chf127vfs5n067a"; }; nativeBuildInputs = [ cmake ]; buildInputs = [ boost ffmpeg ]; - cmakeFlags = [ "-DBUILD_EXAMPLES=ON" ]; + cmakeFlags = [ "-DBUILD_EXAMPLES=ON" "-DBUILD_TOOLS=ON" ]; meta = with stdenv.lib; { homepage = https://acoustid.org/chromaprint; diff --git a/pkgs/development/libraries/libmaxminddb/default.nix b/pkgs/development/libraries/libmaxminddb/default.nix index 46ed250b7b19..2fa162b7e8b3 100644 --- a/pkgs/development/libraries/libmaxminddb/default.nix +++ b/pkgs/development/libraries/libmaxminddb/default.nix @@ -12,7 +12,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "C library for working with MaxMind geolocation DB files"; homepage = https://github.com/maxmind/libmaxminddb; - license = licenses.apsl20; + license = licenses.asl20; platforms = platforms.all; maintainers = [ maintainers.vcunat ]; }; diff --git a/pkgs/development/libraries/liburing/default.nix b/pkgs/development/libraries/liburing/default.nix index d2738bf72671..d02016e87e6a 100644 --- a/pkgs/development/libraries/liburing/default.nix +++ b/pkgs/development/libraries/liburing/default.nix @@ -4,12 +4,12 @@ stdenv.mkDerivation rec { pname = "liburing"; - version = "0.2pre246_${builtins.substring 0 8 src.rev}"; + version = "0.2pre252_${builtins.substring 0 8 src.rev}"; src = fetchgit { url = "http://git.kernel.dk/liburing"; - rev = "08bd815170ab4352d71019f4d3e532cd3f6f0489"; - sha256 = "1wqraxj9mzph3wgfq4ld4bxa8ya2db65cwzyh9fmhvhhlsivi6wr"; + rev = "a9bb08db3f8795eb58239d5dbb888e9c1d424011"; + sha256 = "0gv06fcgqhfkqgiqzjb4qzpxh3h595ypw01a0kmhqnmsnvmb624n"; }; separateDebugInfo = true; diff --git a/pkgs/development/libraries/libwhereami/default.nix b/pkgs/development/libraries/libwhereami/default.nix index cafd25ede0ae..4014dc8dd769 100644 --- a/pkgs/development/libraries/libwhereami/default.nix +++ b/pkgs/development/libraries/libwhereami/default.nix @@ -2,10 +2,10 @@ stdenv.mkDerivation rec { pname = "libwhereami"; - version = "0.2.2"; + version = "0.3.0"; src = fetchFromGitHub { - sha256 = "084n153jaq8fmhjififk0xlx1d1i3lclnw2j3ly8bixvc392vzly"; + sha256 = "0yq6m4kh06idp3l8cp7kswn5k8vcaip1zqhypbhszybqa0afb5az"; rev = version; repo = "libwhereami"; owner = "puppetlabs"; diff --git a/pkgs/development/libraries/libxmlb/default.nix b/pkgs/development/libraries/libxmlb/default.nix index 4800a6c405c3..0469cf13b0cd 100644 --- a/pkgs/development/libraries/libxmlb/default.nix +++ b/pkgs/development/libraries/libxmlb/default.nix @@ -1,6 +1,5 @@ { stdenv , fetchFromGitHub -, fetchpatch , docbook_xml_dtd_43 , docbook_xsl , glib @@ -17,7 +16,7 @@ stdenv.mkDerivation rec { pname = "libxmlb"; - version = "0.1.11"; + version = "0.1.13"; outputs = [ "out" "lib" "dev" "devdoc" "installedTests" ]; @@ -25,17 +24,10 @@ stdenv.mkDerivation rec { owner = "hughsie"; repo = "libxmlb"; rev = version; - sha256 = "1503v76w7543snqyjxykiqa5va62zb0ccn3jlw0gpdx8973v80mr"; + sha256 = "14bk7bk08mjbildak1l7jq7idcyask7384vigpq9zmwai1gax4s7"; }; patches = [ - # Fix installed tests - # https://github.com/hughsie/libxmlb/pull/2 - (fetchpatch { - url = "https://github.com/hughsie/libxmlb/commit/78850c8b0f644f729fa21e2bf9ebed0d9d6010f3.diff"; - sha256 = "0zw7c6vy8hscln7za7ijqd9svirach3zdskvbzyxxcsm3xcwxpjm"; - }) - ./installed-tests-path.patch ]; diff --git a/pkgs/development/libraries/physics/geant4/datasets-hook.sh b/pkgs/development/libraries/physics/geant4/datasets-hook.sh index 14e3b8f2fc38..8aed8b8832b3 100644 --- a/pkgs/development/libraries/physics/geant4/datasets-hook.sh +++ b/pkgs/development/libraries/physics/geant4/datasets-hook.sh @@ -1,5 +1,5 @@ @name@ () { - export G4@envvar@DATA="@out@/data" + export G4@envvar@DATA="@datadir@" } postHooks+=(@name@) diff --git a/pkgs/development/libraries/physics/geant4/datasets.nix b/pkgs/development/libraries/physics/geant4/datasets.nix index 88f2dc2cd6d4..22fda0cf9800 100644 --- a/pkgs/development/libraries/physics/geant4/datasets.nix +++ b/pkgs/development/libraries/physics/geant4/datasets.nix @@ -1,12 +1,13 @@ -{ stdenv, fetchurl, }: +{ stdenv, fetchurl, geant_version }: let - mkDataset = { name, version, sha256, envvar}: + mkDataset = { name, version, sha256, envvar }: stdenv.mkDerivation { inherit name version; + inherit geant_version; src = fetchurl { - url = "https://geant4-data.web.cern.ch/geant4-data/datasets/${name}.${version}.tar.gz"; + url = "https://cern.ch/geant4-data/datasets/${name}.${version}.tar.gz"; inherit sha256; }; @@ -14,9 +15,10 @@ let dontBuild = true; dontConfigure = true; + datadir = "${placeholder "out"}/share/Geant4-${geant_version}/data/${name}${version}"; installPhase = '' - mkdir -p $out/data - mv ./* $out/data + mkdir -p $datadir + mv ./* $datadir ''; inherit envvar; @@ -40,37 +42,37 @@ in { name = "G4EMLOW"; - version = "7.3"; - sha256 = "583aa7f34f67b09db7d566f904c54b21e95a9ac05b60e2bfb794efb569dba14e"; + version = "7.7"; + sha256 = "16dec6adda6477a97424d749688d73e9bd7d0b84d0137a67cf341f1960984663"; envvar = "LE"; } { name = "G4PhotonEvaporation"; - version = "5.2"; - sha256 = "83607f8d36827b2a7fca19c9c336caffbebf61a359d0ef7cee44a8bcf3fc2d1f"; + version = "5.3"; + sha256 = "d47ababc8cbe548065ef644e9bd88266869e75e2f9e577ebc36bc55bf7a92ec8"; envvar = "LEVELGAMMA"; } { name = "G4RadioactiveDecay"; - version = "5.2"; - sha256 = "99c038d89d70281316be15c3c98a66c5d0ca01ef575127b6a094063003e2af5d"; + version = "5.3"; + sha256 = "5c8992ac57ae56e66b064d3f5cdfe7c2fee76567520ad34a625bfb187119f8c1"; envvar = "RADIOACTIVE"; } { name = "G4SAIDDATA"; - version = "1.1"; - sha256 = "a38cd9a83db62311922850fe609ecd250d36adf264a88e88c82ba82b7da0ed7f"; + version = "2.0"; + sha256 = "1d26a8e79baa71e44d5759b9f55a67e8b7ede31751316a9e9037d80090c72e91"; envvar = "SAIDXS"; } { - name = "G4NEUTRONXS"; - version = "1.4"; - sha256 = "57b38868d7eb060ddd65b26283402d4f161db76ed2169437c266105cca73a8fd"; - envvar = "NEUTRONXS"; + name = "G4PARTICLEXS"; + version = "1.1"; + sha256 = "100a11c9ed961152acfadcc9b583a9f649dda4e48ab314fcd4f333412ade9d62"; + envvar = "PARTICLEXS"; } { @@ -81,6 +83,13 @@ in } { + name = "G4INCL"; + version = "1.0"; + sha256 = "716161821ae9f3d0565fbf3c2cf34f4e02e3e519eb419a82236eef22c2c4367d"; + envvar = "INCL"; + } + + { name = "G4PII"; version = "1.3"; sha256 = "6225ad902675f4381c98c6ba25fc5a06ce87549aa979634d3d03491d6616e926"; diff --git a/pkgs/development/libraries/physics/geant4/default.nix b/pkgs/development/libraries/physics/geant4/default.nix index 4ec117777857..96715882c231 100644 --- a/pkgs/development/libraries/physics/geant4/default.nix +++ b/pkgs/development/libraries/physics/geant4/default.nix @@ -35,13 +35,13 @@ , libXmu }: -stdenv.mkDerivation { - version = "10.4.1"; +stdenv.mkDerivation rec { + version = "10.5.1"; pname = "geant4"; src = fetchurl{ - url = "http://cern.ch/geant4-data/releases/geant4.10.04.p01.tar.gz"; - sha256 = "a3eb13e4f1217737b842d3869dc5b1fb978f761113e74bd4eaf6017307d234dd"; + url = "http://cern.ch/geant4-data/releases/geant4.10.05.p01.tar.gz"; + sha256 = "f4a292220500fad17e0167ce3153e96e3410ecbe96284e572dc707f63523bdff"; }; cmakeFlags = [ @@ -78,7 +78,10 @@ stdenv.mkDerivation { setupHook = ./geant4-hook.sh; passthru = { - data = import ./datasets.nix { inherit stdenv fetchurl; }; + data = import ./datasets.nix { + inherit stdenv fetchurl; + geant_version = version; + }; }; # Set the myriad of envars required by Geant4 if we use a nix-shell. @@ -95,7 +98,7 @@ stdenv.mkDerivation { ''; homepage = http://www.geant4.org; license = licenses.g4sl; - maintainers = with maintainers; [ tmplt ]; + maintainers = with maintainers; [ tmplt omnipotententity ]; platforms = platforms.linux; }; } diff --git a/pkgs/development/libraries/physics/geant4/g4py/default.nix b/pkgs/development/libraries/physics/geant4/g4py/default.nix index 3d6891c48e2b..dddd7078b86e 100644 --- a/pkgs/development/libraries/physics/geant4/g4py/default.nix +++ b/pkgs/development/libraries/physics/geant4/g4py/default.nix @@ -18,7 +18,7 @@ stdenv.mkDerivation { inherit (geant4_nomt) version src; pname = "g4py"; - sourceRoot = "geant4.10.04.p01/environments/g4py"; + sourceRoot = "geant4.10.05.p01/environments/g4py"; nativeBuildInputs = [ cmake ]; buildInputs = [ geant4_nomt xercesc boost_python python ]; diff --git a/pkgs/development/libraries/pstreams/default.nix b/pkgs/development/libraries/pstreams/default.nix new file mode 100644 index 000000000000..a734ac1e9fdf --- /dev/null +++ b/pkgs/development/libraries/pstreams/default.nix @@ -0,0 +1,40 @@ +{ stdenv +, fetchgit +}: + +stdenv.mkDerivation rec { + pname = "PStreams"; + version = "1.0.1"; + + src = fetchgit { + url = https://git.code.sf.net/p/pstreams/code; + rev = let dot2Underscore = stdenv.lib.strings.stringAsChars (c: if c == "." then "_" else c); + in "RELEASE_${dot2Underscore version}"; + sha256 = "0r8aj0nh5mkf8cvnzl8bdy4nm7i74vs83axxfimcd74kjfn0irys"; + }; + + makeFlags = [ "prefix=${placeholder "out"}" ]; + dontBuild = true; + doCheck = true; + + preInstall = "rm INSTALL"; + # `make install` fails on case-insensitive file systems (e.g. APFS by + # default) because this target exists + + meta = with stdenv.lib; { + description = "POSIX Process Control in C++"; + longDescription = '' + PStreams allows you to run another program from your C++ application and + to transfer data between the two programs similar to shell pipelines. + + In the simplest case, a PStreams class is like a C++ wrapper for the + POSIX.2 functions popen(3) and pclose(3), using C++ iostreams instead of + C's stdio library. + ''; + homepage = http://pstreams.sourceforge.net/; + downloadPage = http://pstreams.sourceforge.net/download/; + maintainers = with maintainers; [ arthur ]; + license = licenses.boost; + platforms = platforms.all; + }; +} diff --git a/pkgs/development/libraries/symengine/default.nix b/pkgs/development/libraries/symengine/default.nix index 47b93ab373a6..a41b086016b7 100644 --- a/pkgs/development/libraries/symengine/default.nix +++ b/pkgs/development/libraries/symengine/default.nix @@ -9,13 +9,13 @@ stdenv.mkDerivation rec { pname = "symengine"; - version = "0.4.1"; + version = "0.5.0"; src = fetchFromGitHub { owner = "symengine"; repo = "symengine"; rev = "v${version}"; - sha256 = "0qqxr7l5lr81k6fs2h5isr43x0dw07rwg3ivl6vd8zmmcgsp9ygd"; + sha256 = "1zgfhqv43qcfkfdyf1p82bcfv05n6iix6yw6qx1y5bnb7dv74irw"; }; nativeBuildInputs = [ cmake ]; diff --git a/pkgs/development/libraries/wt/default.nix b/pkgs/development/libraries/wt/default.nix index 31fa104fb059..b5a7bae68ead 100644 --- a/pkgs/development/libraries/wt/default.nix +++ b/pkgs/development/libraries/wt/default.nix @@ -27,13 +27,16 @@ let ]; cmakeFlags = [ - "-DWT_WRASTERIMAGE_IMPLEMENTATION=GraphicsMagick" "-DWT_CPP_11_MODE=-std=c++11" - "-DGM_PREFIX=${graphicsmagick}" - "-DMYSQL_PREFIX=${libmysqlclient}" "-DHARFBUZZ_INCLUDE_DIR=${harfbuzz.dev}/include" "--no-warn-unused-cli" - ]; + ] + ++ stdenv.lib.optionals (graphicsmagick != null) [ + "-DWT_WRASTERIMAGE_IMPLEMENTATION=GraphicsMagick" + "-DGM_PREFIX=${graphicsmagick}" + ] + ++ stdenv.lib.optional (libmysqlclient != null) + "-DMYSQL_PREFIX=${libmysqlclient}"; meta = with stdenv.lib; { homepage = "https://www.webtoolkit.eu/wt"; diff --git a/pkgs/development/ocaml-modules/eliom/camlp4.patch b/pkgs/development/ocaml-modules/eliom/camlp4.patch deleted file mode 100644 index 06d5ba1b7ed4..000000000000 --- a/pkgs/development/ocaml-modules/eliom/camlp4.patch +++ /dev/null @@ -1,12 +0,0 @@ ---- a/src/_tags 2014-10-01 16:19:35.000000000 +0100 -+++ b/src/_tags 2014-11-09 16:55:34.470663377 +0000 -@@ -40,7 +40,7 @@ - <lib/server/monitor/*.ml{,i}>:I(src/lib/server) - - <syntax/pa_*.*>: syntax(camlp4o),package(camlp4.quotations.o,camlp4.extend,bytes) --<syntax/pa_*.ml{,i}>: I(+camlp4/Camlp4Parsers) -+<syntax/pa_*.ml{,i}>: use_camlp4_full - - <ppx/**/*>: package(ppx_tools, compiler-libs.common, ppx_tools.metaquot) - - diff --git a/pkgs/development/ocaml-modules/eliom/default.nix b/pkgs/development/ocaml-modules/eliom/default.nix index 25e75ceeba36..9a9ea28da539 100644 --- a/pkgs/development/ocaml-modules/eliom/default.nix +++ b/pkgs/development/ocaml-modules/eliom/default.nix @@ -1,33 +1,35 @@ -{ stdenv, fetchzip, which, ocsigen_server, ocsigen_deriving, ocaml, lwt_camlp4, +{ stdenv, fetchzip, which, ocsigen_server, ocaml, lwt_react, opaline, ppx_tools, ppx_deriving, findlib +, ppx_tools_versioned , js_of_ocaml-ocamlbuild, js_of_ocaml-ppx, js_of_ocaml-ppx_deriving_json , js_of_ocaml-lwt , js_of_ocaml-tyxml , lwt_ppx }: +if !stdenv.lib.versionAtLeast ocaml.version "4.07" +then throw "eliom is not available for OCaml ${ocaml.version}" +else + stdenv.mkDerivation rec { pname = "eliom"; - version = "6.7.0"; + version = "6.8.0"; src = fetchzip { url = "https://github.com/ocsigen/eliom/archive/${version}.tar.gz"; - sha256 = "0mrlpvjaihpsf2xr6p1gs0sz4cwzkknf5b1s32bhmqq5qzsh4j8k"; + sha256 = "0di4q0wzbnk9sxlaj97ivghzh8qvjb8n17h80y4nmqhys97pldif"; }; - patches = [ ./camlp4.patch ]; - buildInputs = [ ocaml which findlib js_of_ocaml-ocamlbuild js_of_ocaml-ppx_deriving_json opaline ppx_tools - ocsigen_deriving + ppx_tools_versioned ]; propagatedBuildInputs = [ js_of_ocaml-lwt js_of_ocaml-ppx js_of_ocaml-tyxml - lwt_camlp4 lwt_ppx lwt_react ocsigen_server @@ -40,7 +42,7 @@ stdenv.mkDerivation rec meta = { homepage = http://ocsigen.org/eliom/; - description = "Ocaml Framework for programming Web sites and client/server Web applications"; + description = "OCaml Framework for programming Web sites and client/server Web applications"; longDescription =''Eliom is a framework for programming Web sites and client/server Web applications. It introduces new concepts to diff --git a/pkgs/development/ocaml-modules/menhir/default.nix b/pkgs/development/ocaml-modules/menhir/default.nix index 1a2a31277af4..23e31e75779d 100644 --- a/pkgs/development/ocaml-modules/menhir/default.nix +++ b/pkgs/development/ocaml-modules/menhir/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, ocaml, findlib, ocamlbuild -, version ? if stdenv.lib.versionAtLeast (stdenv.lib.getVersion ocaml) "4.02" then "20181113" else "20140422" +, version ? if stdenv.lib.versionAtLeast (stdenv.lib.getVersion ocaml) "4.02" then "20190626" else "20140422" }@args: let @@ -7,6 +7,7 @@ let if version == "20140422" then { url = "http://cristal.inria.fr/~fpottier/menhir/menhir-20140422.tar.gz"; sha256 = "1ki1f2id6a14h9xpv2k8yb6px7dyw8cvwh39csyzj4qpzx7wia0d"; } else if version == "20170712" then { url = "http://gallium.inria.fr/~fpottier/menhir/menhir-20170712.tar.gz"; sha256 = "006hq3bwj81j67f2k9cgzj5wr4hai8j36925p5n3sd2j01ljsj6a"; } else if version == "20181113" then { url = "https://gitlab.inria.fr/fpottier/menhir/repository/20181113/archive.tar.gz"; sha256 = "0hl611l0gyl7b2bm7m0sk7vjz14m0i7znrnjq3gw58pylj934dx4"; } + else if version == "20190626" then { url = "https://gitlab.inria.fr/fpottier/menhir/repository/20190626/archive.tar.gz"; sha256 = "0nigjnskg89knyi2zj1w211mb1pvkrwfqpz9a0qbw80k3hm8gg0h"; } else throw ("menhir: unknown version " ++ version) ); in diff --git a/pkgs/development/ocaml-modules/ocsigen-start/default.nix b/pkgs/development/ocaml-modules/ocsigen-start/default.nix index 1287c9b80b57..a8eea5b0c072 100644 --- a/pkgs/development/ocaml-modules/ocsigen-start/default.nix +++ b/pkgs/development/ocaml-modules/ocsigen-start/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchFromGitHub, ocaml, findlib, ocsigen-toolkit, eliom, ocaml_pcre, pgocaml, macaque, safepass, yojson, ocsigen_deriving, ocsigen_server -, js_of_ocaml-camlp4 +, js_of_ocaml-camlp4, lwt_camlp4 , resource-pooling }: @@ -7,7 +7,7 @@ stdenv.mkDerivation rec { name = "ocaml${ocaml.version}-ocsigen-start-${version}"; version = "1.8.0"; - buildInputs = [ ocaml findlib eliom js_of_ocaml-camlp4 ]; + buildInputs = [ ocaml findlib eliom js_of_ocaml-camlp4 lwt_camlp4 ]; propagatedBuildInputs = [ pgocaml macaque safepass ocaml_pcre ocsigen-toolkit yojson ocsigen_deriving ocsigen_server resource-pooling ]; patches = [ ./templates-dir.patch ]; diff --git a/pkgs/development/python-modules/azure-applicationinsights/default.nix b/pkgs/development/python-modules/azure-applicationinsights/default.nix index 74f7cf61b13a..f0e70b8d86c0 100644 --- a/pkgs/development/python-modules/azure-applicationinsights/default.nix +++ b/pkgs/development/python-modules/azure-applicationinsights/default.nix @@ -25,7 +25,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Application Insights Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-applicotioninsights; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-batch/default.nix b/pkgs/development/python-modules/azure-batch/default.nix index 42bb4cbad6c9..f772d16942f2 100644 --- a/pkgs/development/python-modules/azure-batch/default.nix +++ b/pkgs/development/python-modules/azure-batch/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Batch Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/batch?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-common/default.nix b/pkgs/development/python-modules/azure-common/default.nix index a61fd50786a2..0b46506960c1 100644 --- a/pkgs/development/python-modules/azure-common/default.nix +++ b/pkgs/development/python-modules/azure-common/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure common code"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-common; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-cosmos/default.nix b/pkgs/development/python-modules/azure-cosmos/default.nix index 72d5b9a6ff7c..f5219e950f19 100644 --- a/pkgs/development/python-modules/azure-cosmos/default.nix +++ b/pkgs/development/python-modules/azure-cosmos/default.nix @@ -21,7 +21,7 @@ buildPythonPackage rec { meta = with lib; { description = "Azure Cosmos DB API"; - homepage = https://github.com/Azure/azure-cosmos-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ jonringer ]; }; diff --git a/pkgs/development/python-modules/azure-cosmosdb-nspkg/default.nix b/pkgs/development/python-modules/azure-cosmosdb-nspkg/default.nix index fef2614e1aed..7a7c0e7215d5 100644 --- a/pkgs/development/python-modules/azure-cosmosdb-nspkg/default.nix +++ b/pkgs/development/python-modules/azure-cosmosdb-nspkg/default.nix @@ -22,7 +22,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure CosmosDB namespace package"; - homepage = https://github.com/Azure/azure-cosmos-table-python/tree/master/azure-cosmosdb-nspkg; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-cosmosdb-table/default.nix b/pkgs/development/python-modules/azure-cosmosdb-table/default.nix index 6a5d54b82989..31a7d81dcab8 100644 --- a/pkgs/development/python-modules/azure-cosmosdb-table/default.nix +++ b/pkgs/development/python-modules/azure-cosmosdb-table/default.nix @@ -32,7 +32,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Log Analytics Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/cosmosdb?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-datalake-store/default.nix b/pkgs/development/python-modules/azure-datalake-store/default.nix index f2fdbd40edcb..0b7d0c9c775f 100644 --- a/pkgs/development/python-modules/azure-datalake-store/default.nix +++ b/pkgs/development/python-modules/azure-datalake-store/default.nix @@ -32,7 +32,7 @@ buildPythonPackage rec { meta = with lib; { description = "This project is the Python filesystem library for Azure Data Lake Store"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/data-lake-store?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-eventgrid/default.nix b/pkgs/development/python-modules/azure-eventgrid/default.nix index 79449c328b0d..35e291fd30f2 100644 --- a/pkgs/development/python-modules/azure-eventgrid/default.nix +++ b/pkgs/development/python-modules/azure-eventgrid/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "A fully-managed intelligent event routing service that allows for uniform event consumption using a publish-subscribe model"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/event-grid?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-graphrbac/default.nix b/pkgs/development/python-modules/azure-graphrbac/default.nix index f5c1131f6608..57fec6ff5251 100644 --- a/pkgs/development/python-modules/azure-graphrbac/default.nix +++ b/pkgs/development/python-modules/azure-graphrbac/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Graph RBAC Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-graphrbac; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-keyvault/default.nix b/pkgs/development/python-modules/azure-keyvault/default.nix index ed0fe138769e..1c4f9f4ee803 100644 --- a/pkgs/development/python-modules/azure-keyvault/default.nix +++ b/pkgs/development/python-modules/azure-keyvault/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Key Vault Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/key-vault?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-loganalytics/default.nix b/pkgs/development/python-modules/azure-loganalytics/default.nix index 6a2b57052cce..ab512480f0c4 100644 --- a/pkgs/development/python-modules/azure-loganalytics/default.nix +++ b/pkgs/development/python-modules/azure-loganalytics/default.nix @@ -25,7 +25,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Log Analytics Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/loganalytics/client?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-advisor/default.nix b/pkgs/development/python-modules/azure-mgmt-advisor/default.nix index c24230952801..66ee264ef455 100644 --- a/pkgs/development/python-modules/azure-mgmt-advisor/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-advisor/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Advisor Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-advisor; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-applicationinsights/default.nix b/pkgs/development/python-modules/azure-mgmt-applicationinsights/default.nix index d7e27eabf645..57c3aec3d451 100644 --- a/pkgs/development/python-modules/azure-mgmt-applicationinsights/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-applicationinsights/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Application Insights Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-applicationinsights; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-authorization/default.nix b/pkgs/development/python-modules/azure-mgmt-authorization/default.nix index 7953234f6e2f..ef99e4e34055 100644 --- a/pkgs/development/python-modules/azure-mgmt-authorization/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-authorization/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Authorization Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-authorization; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-batch/default.nix b/pkgs/development/python-modules/azure-mgmt-batch/default.nix index f218fe58e873..91936af014f5 100644 --- a/pkgs/development/python-modules/azure-mgmt-batch/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-batch/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Batch Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-batch; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-batchai/default.nix b/pkgs/development/python-modules/azure-mgmt-batchai/default.nix index faa4d5bc39aa..e1938738597b 100644 --- a/pkgs/development/python-modules/azure-mgmt-batchai/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-batchai/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Batch AI Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-batchai; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-billing/default.nix b/pkgs/development/python-modules/azure-mgmt-billing/default.nix index 73ce99eb7525..666d10d87e5e 100644 --- a/pkgs/development/python-modules/azure-mgmt-billing/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-billing/default.nix @@ -34,7 +34,7 @@ buildPythonPackage { meta = with lib; { description = "This is the Microsoft Azure Billing Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-billing; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-cdn/default.nix b/pkgs/development/python-modules/azure-mgmt-cdn/default.nix index 7ad279380824..bbee8b39993e 100644 --- a/pkgs/development/python-modules/azure-mgmt-cdn/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-cdn/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure CDN Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-cdn; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-cognitiveservices/default.nix b/pkgs/development/python-modules/azure-mgmt-cognitiveservices/default.nix index fc12cafc117c..c535971c7bf5 100644 --- a/pkgs/development/python-modules/azure-mgmt-cognitiveservices/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-cognitiveservices/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Cognitive Services Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-cognitiveservices; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-commerce/default.nix b/pkgs/development/python-modules/azure-mgmt-commerce/default.nix index ed0cb18aff33..2be5d27f82a1 100644 --- a/pkgs/development/python-modules/azure-mgmt-commerce/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-commerce/default.nix @@ -34,7 +34,7 @@ buildPythonPackage { meta = with lib; { description = "This is the Microsoft Azure Commerce Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-commerce; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-common/default.nix b/pkgs/development/python-modules/azure-mgmt-common/default.nix index 482c0d190bc5..da8dc95dad00 100644 --- a/pkgs/development/python-modules/azure-mgmt-common/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-common/default.nix @@ -35,7 +35,7 @@ buildPythonPackage rec { meta = with pkgs.lib; { description = "This is the Microsoft Azure Resource Management common code"; - homepage = https://pypi.org/project/azure-mgmt-common; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-compute/default.nix b/pkgs/development/python-modules/azure-mgmt-compute/default.nix index 1713ca654059..13fe88b5f890 100644 --- a/pkgs/development/python-modules/azure-mgmt-compute/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-compute/default.nix @@ -30,7 +30,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Compute Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-compute; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-consumption/default.nix b/pkgs/development/python-modules/azure-mgmt-consumption/default.nix index d074f45cce55..59b4cbee5bf4 100644 --- a/pkgs/development/python-modules/azure-mgmt-consumption/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-consumption/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Consumption Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-consumption; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-containerinstance/default.nix b/pkgs/development/python-modules/azure-mgmt-containerinstance/default.nix index fd74008c1bfb..5bf67fc6787c 100644 --- a/pkgs/development/python-modules/azure-mgmt-containerinstance/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-containerinstance/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Container Instance Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-containerinstance; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-containerservice/default.nix b/pkgs/development/python-modules/azure-mgmt-containerservice/default.nix index f66712e6f719..5b78fa6b0396 100644 --- a/pkgs/development/python-modules/azure-mgmt-containerservice/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-containerservice/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Container Service Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-containerservice; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-cosmosdb/default.nix b/pkgs/development/python-modules/azure-mgmt-cosmosdb/default.nix index aa3f44d07971..33617383a1db 100644 --- a/pkgs/development/python-modules/azure-mgmt-cosmosdb/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-cosmosdb/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Cosmos DB Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-cosmosdb; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-datafactory/default.nix b/pkgs/development/python-modules/azure-mgmt-datafactory/default.nix index 7d028a61a550..e768b7b6c607 100644 --- a/pkgs/development/python-modules/azure-mgmt-datafactory/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-datafactory/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Data Factory Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-datafactory; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-datalake-analytics/default.nix b/pkgs/development/python-modules/azure-mgmt-datalake-analytics/default.nix index 31cfdf0e6d57..c3d690088d19 100644 --- a/pkgs/development/python-modules/azure-mgmt-datalake-analytics/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-datalake-analytics/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Data Lake Analytics Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-datalake-analytics; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-datalake-nspkg/default.nix b/pkgs/development/python-modules/azure-mgmt-datalake-nspkg/default.nix index 0df7d65374a8..ce4f623657eb 100644 --- a/pkgs/development/python-modules/azure-mgmt-datalake-nspkg/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-datalake-nspkg/default.nix @@ -23,7 +23,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Data Lake Management namespace package"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-datalake-nspkg; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-datalake-store/default.nix b/pkgs/development/python-modules/azure-mgmt-datalake-store/default.nix index ce28d0a77490..3164cf2bf15e 100644 --- a/pkgs/development/python-modules/azure-mgmt-datalake-store/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-datalake-store/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Data Lake Store Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-datalake-store; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-datamigration/default.nix b/pkgs/development/python-modules/azure-mgmt-datamigration/default.nix index d752abd60124..cd98e6f98b08 100644 --- a/pkgs/development/python-modules/azure-mgmt-datamigration/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-datamigration/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Data Migration Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-datamigration; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-devspaces/default.nix b/pkgs/development/python-modules/azure-mgmt-devspaces/default.nix index f5830f01ac9b..5ce0f30d1f14 100644 --- a/pkgs/development/python-modules/azure-mgmt-devspaces/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-devspaces/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Dev Spaces Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-devspaces; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-devtestlabs/default.nix b/pkgs/development/python-modules/azure-mgmt-devtestlabs/default.nix index 62ae975f8d55..76a5f0cbcd26 100644 --- a/pkgs/development/python-modules/azure-mgmt-devtestlabs/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-devtestlabs/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure DevTestLabs Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-devtestlabs; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-dns/default.nix b/pkgs/development/python-modules/azure-mgmt-dns/default.nix index 55b5633cfe93..94d51b765e9b 100644 --- a/pkgs/development/python-modules/azure-mgmt-dns/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-dns/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure DNS Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/dns?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-eventgrid/default.nix b/pkgs/development/python-modules/azure-mgmt-eventgrid/default.nix index 93f3006966ce..f5ddbf0fcc7c 100644 --- a/pkgs/development/python-modules/azure-mgmt-eventgrid/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-eventgrid/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure EventGrid Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/event-grid?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-eventhub/default.nix b/pkgs/development/python-modules/azure-mgmt-eventhub/default.nix index 188789e171c4..c780d07c7ce1 100644 --- a/pkgs/development/python-modules/azure-mgmt-eventhub/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-eventhub/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure EventHub Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/event-hub?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-hanaonazure/default.nix b/pkgs/development/python-modules/azure-mgmt-hanaonazure/default.nix index 26247a7eb396..a0a35f5b5b57 100644 --- a/pkgs/development/python-modules/azure-mgmt-hanaonazure/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-hanaonazure/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure SAP Hana on Azure Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/hanaonazure?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-iotcentral/default.nix b/pkgs/development/python-modules/azure-mgmt-iotcentral/default.nix index 9509911709be..22dac6794e4f 100644 --- a/pkgs/development/python-modules/azure-mgmt-iotcentral/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-iotcentral/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure IoTCentral Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/iot?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-iothub/default.nix b/pkgs/development/python-modules/azure-mgmt-iothub/default.nix index aac5ef06e914..61e51c4390a4 100644 --- a/pkgs/development/python-modules/azure-mgmt-iothub/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-iothub/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure IoTHub Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/iot?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-iothubprovisioningservices/default.nix b/pkgs/development/python-modules/azure-mgmt-iothubprovisioningservices/default.nix index 502ddccd6670..7605e4592716 100644 --- a/pkgs/development/python-modules/azure-mgmt-iothubprovisioningservices/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-iothubprovisioningservices/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure IoTHub Provisioning Services Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/iot?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-keyvault/default.nix b/pkgs/development/python-modules/azure-mgmt-keyvault/default.nix index b640f7eef0c1..99cdfdf922b9 100644 --- a/pkgs/development/python-modules/azure-mgmt-keyvault/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-keyvault/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Key Vault Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/key-vault?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-loganalytics/default.nix b/pkgs/development/python-modules/azure-mgmt-loganalytics/default.nix index 3473b6e86b4b..6d844117c9f5 100644 --- a/pkgs/development/python-modules/azure-mgmt-loganalytics/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-loganalytics/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Log Analytics Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-loganalytics; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-logic/default.nix b/pkgs/development/python-modules/azure-mgmt-logic/default.nix index 2050e2904676..345f11f86269 100644 --- a/pkgs/development/python-modules/azure-mgmt-logic/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-logic/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Logic Apps Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/logic-apps?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-machinelearningcompute/default.nix b/pkgs/development/python-modules/azure-mgmt-machinelearningcompute/default.nix index a68f7e438586..47e91ecf49f3 100644 --- a/pkgs/development/python-modules/azure-mgmt-machinelearningcompute/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-machinelearningcompute/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Machine Learning Compute Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-machinelearningcompute; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-managementgroups/default.nix b/pkgs/development/python-modules/azure-mgmt-managementgroups/default.nix index 03faf442cbdd..080647bae96b 100644 --- a/pkgs/development/python-modules/azure-mgmt-managementgroups/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-managementgroups/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Management Groups Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-managementgroups; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-managementpartner/default.nix b/pkgs/development/python-modules/azure-mgmt-managementpartner/default.nix index be1ddc49a491..c546a7eeba38 100644 --- a/pkgs/development/python-modules/azure-mgmt-managementpartner/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-managementpartner/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure ManagementPartner Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-managementpartner; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-maps/default.nix b/pkgs/development/python-modules/azure-mgmt-maps/default.nix index b1e373173d25..2869b6c81d73 100644 --- a/pkgs/development/python-modules/azure-mgmt-maps/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-maps/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Maps Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-maps; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-marketplaceordering/default.nix b/pkgs/development/python-modules/azure-mgmt-marketplaceordering/default.nix index 8995f43a08b6..db30d30d7554 100644 --- a/pkgs/development/python-modules/azure-mgmt-marketplaceordering/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-marketplaceordering/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Market Place Ordering Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-marketplaceordering; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-media/default.nix b/pkgs/development/python-modules/azure-mgmt-media/default.nix index 5061fa90ae81..d86b7f40d4bc 100644 --- a/pkgs/development/python-modules/azure-mgmt-media/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-media/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Media Services Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/media-services?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-monitor/default.nix b/pkgs/development/python-modules/azure-mgmt-monitor/default.nix index 3233e9bc00ee..b9a8b3c0158e 100644 --- a/pkgs/development/python-modules/azure-mgmt-monitor/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-monitor/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Monitor Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/monitoring?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-msi/default.nix b/pkgs/development/python-modules/azure-mgmt-msi/default.nix index 63ebbb298f2c..9b1d0bf5a2b2 100644 --- a/pkgs/development/python-modules/azure-mgmt-msi/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-msi/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure MSI Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-msi; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-network/default.nix b/pkgs/development/python-modules/azure-mgmt-network/default.nix index a950f1f7c037..a94cd58136d7 100644 --- a/pkgs/development/python-modules/azure-mgmt-network/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-network/default.nix @@ -30,7 +30,7 @@ buildPythonPackage rec { meta = with lib; { description = "Microsoft Azure SDK for Python"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/network?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-notificationhubs/default.nix b/pkgs/development/python-modules/azure-mgmt-notificationhubs/default.nix index 0629eb07b661..a8ebba576485 100644 --- a/pkgs/development/python-modules/azure-mgmt-notificationhubs/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-notificationhubs/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Notification Hubs Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/notification-hubs?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-nspkg/default.nix b/pkgs/development/python-modules/azure-mgmt-nspkg/default.nix index 8adb60e99aae..fd2664b0ec0e 100644 --- a/pkgs/development/python-modules/azure-mgmt-nspkg/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-nspkg/default.nix @@ -22,7 +22,7 @@ buildPythonPackage rec { meta = with pkgs.lib; { description = "Microsoft Azure SDK for Python"; - homepage = https://github.com/Azure/azure-sdk-for-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-policyinsights/default.nix b/pkgs/development/python-modules/azure-mgmt-policyinsights/default.nix index b57146afe3e9..4df00abc806b 100644 --- a/pkgs/development/python-modules/azure-mgmt-policyinsights/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-policyinsights/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Policy Insights Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/policy?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-powerbiembedded/default.nix b/pkgs/development/python-modules/azure-mgmt-powerbiembedded/default.nix index c946bb7ab36c..537e576b2b5a 100644 --- a/pkgs/development/python-modules/azure-mgmt-powerbiembedded/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-powerbiembedded/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Power BI Embedded Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/power-bi?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-rdbms/default.nix b/pkgs/development/python-modules/azure-mgmt-rdbms/default.nix index 2c5e2d1f2ea8..ae4a5fee2c94 100644 --- a/pkgs/development/python-modules/azure-mgmt-rdbms/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-rdbms/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure RDBMS Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-rdbms; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-recoveryservices/default.nix b/pkgs/development/python-modules/azure-mgmt-recoveryservices/default.nix index 5e4a500ce3be..5747881fd4ee 100644 --- a/pkgs/development/python-modules/azure-mgmt-recoveryservices/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-recoveryservices/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Recovery Services Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/recoveryservices?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-recoveryservicesbackup/default.nix b/pkgs/development/python-modules/azure-mgmt-recoveryservicesbackup/default.nix index 0b91309cac3a..3bf18f9b4d43 100644 --- a/pkgs/development/python-modules/azure-mgmt-recoveryservicesbackup/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-recoveryservicesbackup/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Recovery Services Backup Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/recovery-services-backup?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-redis/default.nix b/pkgs/development/python-modules/azure-mgmt-redis/default.nix index 21cdfbafc922..9274bd53cf69 100644 --- a/pkgs/development/python-modules/azure-mgmt-redis/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-redis/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Redis Cache Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/redis?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-relay/default.nix b/pkgs/development/python-modules/azure-mgmt-relay/default.nix index a3491356c9aa..718cd9854437 100644 --- a/pkgs/development/python-modules/azure-mgmt-relay/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-relay/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Relay Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/relay?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-reservations/default.nix b/pkgs/development/python-modules/azure-mgmt-reservations/default.nix index be00d4aa418c..fe2a4dac402c 100644 --- a/pkgs/development/python-modules/azure-mgmt-reservations/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-reservations/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Reservations Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-reservations; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-resource/default.nix b/pkgs/development/python-modules/azure-mgmt-resource/default.nix index c8574680c172..9d5d124dbd87 100644 --- a/pkgs/development/python-modules/azure-mgmt-resource/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-resource/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with pkgs.lib; { description = "Microsoft Azure SDK for Python"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/resources?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-scheduler/default.nix b/pkgs/development/python-modules/azure-mgmt-scheduler/default.nix index a25b81aa5fab..366e8df9a751 100644 --- a/pkgs/development/python-modules/azure-mgmt-scheduler/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-scheduler/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Scheduler Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/scheduler?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-search/default.nix b/pkgs/development/python-modules/azure-mgmt-search/default.nix index cc287589809f..936a1b4269c4 100644 --- a/pkgs/development/python-modules/azure-mgmt-search/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-search/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Search Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/search?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-servicebus/default.nix b/pkgs/development/python-modules/azure-mgmt-servicebus/default.nix index e5b35e538eef..72c363b3e669 100644 --- a/pkgs/development/python-modules/azure-mgmt-servicebus/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-servicebus/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Service Bus Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/servicebus?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-servicefabric/default.nix b/pkgs/development/python-modules/azure-mgmt-servicefabric/default.nix index cf44d66eab70..a80c97ea377f 100644 --- a/pkgs/development/python-modules/azure-mgmt-servicefabric/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-servicefabric/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Service Fabric Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/servicefabric?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-signalr/default.nix b/pkgs/development/python-modules/azure-mgmt-signalr/default.nix index 050e8e7b4e93..2ccb773c105a 100644 --- a/pkgs/development/python-modules/azure-mgmt-signalr/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-signalr/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure SignalR Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-signalr; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-sql/default.nix b/pkgs/development/python-modules/azure-mgmt-sql/default.nix index 2cb1c16c503c..4a2fe93e6f80 100644 --- a/pkgs/development/python-modules/azure-mgmt-sql/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-sql/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure SQL Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/sql?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-storage/default.nix b/pkgs/development/python-modules/azure-mgmt-storage/default.nix index d056a7ad598c..44d5f2311156 100644 --- a/pkgs/development/python-modules/azure-mgmt-storage/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-storage/default.nix @@ -28,7 +28,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Storage Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/storage?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-subscription/default.nix b/pkgs/development/python-modules/azure-mgmt-subscription/default.nix index d19aba5fbc2d..962add966a57 100644 --- a/pkgs/development/python-modules/azure-mgmt-subscription/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-subscription/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Subscription Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-subscription; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-trafficmanager/default.nix b/pkgs/development/python-modules/azure-mgmt-trafficmanager/default.nix index 87b0603877b7..946be6f34cad 100644 --- a/pkgs/development/python-modules/azure-mgmt-trafficmanager/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-trafficmanager/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Traffic Manager Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/traffic-manager?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-mgmt-web/default.nix b/pkgs/development/python-modules/azure-mgmt-web/default.nix index fcdd36fcc23a..00d55f391d56 100644 --- a/pkgs/development/python-modules/azure-mgmt-web/default.nix +++ b/pkgs/development/python-modules/azure-mgmt-web/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Web Apps Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/webapps?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-nspkg/default.nix b/pkgs/development/python-modules/azure-nspkg/default.nix index bb1cb41f3418..5be901941947 100644 --- a/pkgs/development/python-modules/azure-nspkg/default.nix +++ b/pkgs/development/python-modules/azure-nspkg/default.nix @@ -19,7 +19,7 @@ buildPythonPackage rec { meta = with lib; { description = "Microsoft Azure SDK for Python"; - homepage = https://github.com/Azure/azure-sdk-for-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-servicebus/default.nix b/pkgs/development/python-modules/azure-servicebus/default.nix index 84ebf617bae9..7656e7c6376a 100644 --- a/pkgs/development/python-modules/azure-servicebus/default.nix +++ b/pkgs/development/python-modules/azure-servicebus/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Service Bus Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/free/master/azure-servicebus; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-servicefabric/default.nix b/pkgs/development/python-modules/azure-servicefabric/default.nix index 6b9ac45ad979..b07a34f5bdef 100644 --- a/pkgs/development/python-modules/azure-servicefabric/default.nix +++ b/pkgs/development/python-modules/azure-servicefabric/default.nix @@ -25,7 +25,7 @@ buildPythonPackage rec { meta = with lib; { description = "This project provides a client library in Python that makes it easy to consume Microsoft Azure Storage services"; - homepage = https://pypi.org/project/azure-servicefabric; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-servicemanagement-legacy/default.nix b/pkgs/development/python-modules/azure-servicemanagement-legacy/default.nix index 51e1c08e63dc..dbd4c1c040fa 100644 --- a/pkgs/development/python-modules/azure-servicemanagement-legacy/default.nix +++ b/pkgs/development/python-modules/azure-servicemanagement-legacy/default.nix @@ -30,7 +30,7 @@ buildPythonPackage { meta = with lib; { description = "This is the Microsoft Azure Service Management Legacy Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-servicemanagement-legacy; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-storage-blob/default.nix b/pkgs/development/python-modules/azure-storage-blob/default.nix index 2c00d78a7188..14bd182ea1b7 100644 --- a/pkgs/development/python-modules/azure-storage-blob/default.nix +++ b/pkgs/development/python-modules/azure-storage-blob/default.nix @@ -26,7 +26,7 @@ buildPythonPackage rec { meta = with lib; { description = "Client library for Microsoft Azure Storage services containing the blob service APIs"; - homepage = https://github.com/Azure/azure-storage-python/tree/master/azure-storage-blob; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ cmcdragonkai mwilsoninsight ]; }; diff --git a/pkgs/development/python-modules/azure-storage-common/default.nix b/pkgs/development/python-modules/azure-storage-common/default.nix index dc7a0c3107c0..55339acbac5a 100644 --- a/pkgs/development/python-modules/azure-storage-common/default.nix +++ b/pkgs/development/python-modules/azure-storage-common/default.nix @@ -30,7 +30,7 @@ buildPythonPackage rec { meta = with lib; { description = "Client library for Microsoft Azure Storage services containing common code shared by blob, file and queue"; - homepage = https://github.com/Azure/azure-storage-python/tree/master/azure-storage-common; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ cmcdragonkai ]; }; diff --git a/pkgs/development/python-modules/azure-storage-file/default.nix b/pkgs/development/python-modules/azure-storage-file/default.nix index ffdb2f656c1d..c5f63fada2d7 100644 --- a/pkgs/development/python-modules/azure-storage-file/default.nix +++ b/pkgs/development/python-modules/azure-storage-file/default.nix @@ -26,7 +26,7 @@ buildPythonPackage rec { meta = with lib; { description = "Client library for Microsoft Azure Storage services containing the file service APIs"; - homepage = https://github.com/Azure/azure-storage-python/tree/master/azure-storage-file; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ cmcdragonkai ]; }; diff --git a/pkgs/development/python-modules/azure-storage-nspkg/default.nix b/pkgs/development/python-modules/azure-storage-nspkg/default.nix index 5efef893a756..b8e243c9b6aa 100644 --- a/pkgs/development/python-modules/azure-storage-nspkg/default.nix +++ b/pkgs/development/python-modules/azure-storage-nspkg/default.nix @@ -22,7 +22,7 @@ buildPythonPackage rec { meta = with lib; { description = "Client library for Microsoft Azure Storage services owning the azure.storage namespace, user should not use this directly"; - homepage = https://github.com/Azure/azure-storage-python/tree/master/azure-storage-nspkg; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ cmcdragonkai ]; }; diff --git a/pkgs/development/python-modules/azure-storage-queue/default.nix b/pkgs/development/python-modules/azure-storage-queue/default.nix index 1ca1288e9b44..6e536a35e1bf 100644 --- a/pkgs/development/python-modules/azure-storage-queue/default.nix +++ b/pkgs/development/python-modules/azure-storage-queue/default.nix @@ -24,7 +24,7 @@ buildPythonPackage rec { meta = with lib; { description = "Client library for Microsoft Azure Storage services containing the queue service APIs"; - homepage = https://github.com/Azure/azure-storage-python/tree/master/azure-storage-queue; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ cmcdragonkai ]; }; diff --git a/pkgs/development/python-modules/azure-storage/default.nix b/pkgs/development/python-modules/azure-storage/default.nix index 6693a7464d43..c199fbbeb367 100644 --- a/pkgs/development/python-modules/azure-storage/default.nix +++ b/pkgs/development/python-modules/azure-storage/default.nix @@ -28,7 +28,7 @@ buildPythonPackage rec { meta = with pkgs.lib; { description = "Microsoft Azure SDK for Python"; - homepage = "https://azure.microsoft.com/en-us/develop/python/"; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.asl20; maintainers = with maintainers; [ olcai ]; }; diff --git a/pkgs/development/python-modules/geographiclib/default.nix b/pkgs/development/python-modules/geographiclib/default.nix new file mode 100644 index 000000000000..0c275b672abd --- /dev/null +++ b/pkgs/development/python-modules/geographiclib/default.nix @@ -0,0 +1,22 @@ +{ stdenv +, buildPythonPackage +, fetchPypi +}: + +buildPythonPackage rec { + pname = "geographiclib"; + version = "1.50"; + + src = fetchPypi { + inherit pname version; + sha256 = "0cn6ap5fkh3mkfa57l5b44z3gvz7j6lpmc9rl4g2jny2gvp4dg8j"; + }; + + meta = with stdenv.lib; { + homepage = "https://geographiclib.sourceforge.io"; + description = "Algorithms for geodesics (Karney, 2013) for solving the direct and inverse problems for an ellipsoid of revolution"; + license = licenses.mit; + maintainers = with maintainers; [ va1entin ]; + }; + +} diff --git a/pkgs/development/python-modules/geoip2/default.nix b/pkgs/development/python-modules/geoip2/default.nix index 97371d1b65a2..5d26793250c4 100644 --- a/pkgs/development/python-modules/geoip2/default.nix +++ b/pkgs/development/python-modules/geoip2/default.nix @@ -23,7 +23,7 @@ buildPythonPackage rec { meta = with lib; { description = "MaxMind GeoIP2 API"; homepage = "https://www.maxmind.com/en/home"; - license = licenses.apsl20; + license = licenses.asl20; maintainers = with maintainers; [ ]; }; } diff --git a/pkgs/development/python-modules/glasgow/default.nix b/pkgs/development/python-modules/glasgow/default.nix index 6e314668faec..7717682afda5 100644 --- a/pkgs/development/python-modules/glasgow/default.nix +++ b/pkgs/development/python-modules/glasgow/default.nix @@ -18,15 +18,15 @@ buildPythonPackage rec { pname = "glasgow"; - version = "unstable-2019-09-28"; - # python setup.py --version - realVersion = "0.1.dev1234+g${lib.substring 0 7 src.rev}"; + version = "unstable-2019-10-16"; + # python software/setup.py --version + realVersion = "0.1.dev1286+g${lib.substring 0 7 src.rev}"; src = fetchFromGitHub { owner = "GlasgowEmbedded"; - repo = "Glasgow"; - rev = "a1cc0333315847980806fd0330021c6de05c5395"; - sha256 = "0rdx7fymz828i73bc559sr67aikydz1m8s2a0i6c86gznh1s3cfk"; + repo = "glasgow"; + rev = "4f968dbe6cf4e9d8e2d0a5163d82e996c24d5e30"; + sha256 = "1b50n12dc0b3jmim5ywg7daq62k5j4wkgmwzk88ric5ri3b8dl2r"; }; nativeBuildInputs = [ setuptools_scm sdcc ]; @@ -42,6 +42,8 @@ buildPythonPackage rec { crcmod ]; + checkInputs = [ yosys icestorm nextpnr ]; + preBuild = '' make -C firmware LIBFX2=${fx2}/share/libfx2 cp firmware/glasgow.ihex software/glasgow @@ -56,6 +58,12 @@ buildPythonPackage rec { python -m unittest discover ''; + makeWrapperArgs = [ + "--set" "YOSYS" "${yosys}/bin/yosys" + "--set" "ICEPACK" "${icestorm}/bin/icepack" + "--set" "NEXTPNR_ICE40" "${nextpnr}/bin/nextpnr-ice40" + ]; + meta = with lib; { description = "Software for Glasgow, a digital interface multitool"; homepage = https://github.com/GlasgowEmbedded/Glasgow; diff --git a/pkgs/development/python-modules/gsd/1.7.nix b/pkgs/development/python-modules/gsd/1.7.nix new file mode 100644 index 000000000000..567cc6f8930d --- /dev/null +++ b/pkgs/development/python-modules/gsd/1.7.nix @@ -0,0 +1,27 @@ +{ stdenv +, buildPythonPackage +, fetchPypi +, numpy +}: + +buildPythonPackage rec { + version = "1.7.0"; + pname = "gsd"; + + src = fetchPypi { + inherit pname version; + sha256 = "0fpk69wachyydpk9cbs901m7hkwrrvq24ykxsrz62km9ql8lr2vp"; + }; + + propagatedBuildInputs = [ numpy ]; + + # tests not packaged with gsd + doCheck = false; + + meta = with stdenv.lib; { + homepage = https://bitbucket.org/glotzer/gsd; + description = "General simulation data file format"; + license = licenses.bsd2; + maintainers = [ maintainers.costrouc ]; + }; +} diff --git a/pkgs/development/python-modules/gsd/default.nix b/pkgs/development/python-modules/gsd/default.nix index 567cc6f8930d..8eefb1f4318b 100644 --- a/pkgs/development/python-modules/gsd/default.nix +++ b/pkgs/development/python-modules/gsd/default.nix @@ -1,26 +1,30 @@ -{ stdenv -, buildPythonPackage -, fetchPypi +{ lib, buildPythonPackage, fetchFromGitHub, isPy27 , numpy +, pytest }: buildPythonPackage rec { - version = "1.7.0"; + version = "1.9.3"; pname = "gsd"; + disabled = isPy27; - src = fetchPypi { - inherit pname version; - sha256 = "0fpk69wachyydpk9cbs901m7hkwrrvq24ykxsrz62km9ql8lr2vp"; + src = fetchFromGitHub { + owner = "glotzerlab"; + repo = pname; + rev = "v${version}"; + sha256 = "07hw29r2inyp493dia4fx3ysfr1wxi2jb3n9cmwdi0l54s2ahqvf"; }; propagatedBuildInputs = [ numpy ]; - # tests not packaged with gsd - doCheck = false; + checkInputs = [ pytest ]; + checkPhase = '' + pytest + ''; - meta = with stdenv.lib; { - homepage = https://bitbucket.org/glotzer/gsd; + meta = with lib; { description = "General simulation data file format"; + homepage = "https://github.com/glotzerlab/gsd"; license = licenses.bsd2; maintainers = [ maintainers.costrouc ]; }; diff --git a/pkgs/development/python-modules/matplotlib/default.nix b/pkgs/development/python-modules/matplotlib/default.nix index bb7e75449c84..ab03149e72de 100644 --- a/pkgs/development/python-modules/matplotlib/default.nix +++ b/pkgs/development/python-modules/matplotlib/default.nix @@ -6,7 +6,7 @@ , enableGtk3 ? false, cairo # darwin has its own "MacOSX" backend , enableTk ? !stdenv.isDarwin, tcl ? null, tk ? null, tkinter ? null, libX11 ? null -, enableQt ? false, pyqt4 +, enableQt ? false, pyqt5 ? null , libcxx , Cocoa , pythonOlder @@ -19,7 +19,7 @@ assert enableTk -> (tcl != null) && (tkinter != null) && (libX11 != null) ; -assert enableQt -> pyqt4 != null; +assert enableQt -> pyqt5 != null; buildPythonPackage rec { version = "3.1.1"; @@ -49,7 +49,7 @@ buildPythonPackage rec { ++ stdenv.lib.optional enableGtk2 pygtk ++ stdenv.lib.optionals enableGtk3 [ cairo pycairo gtk3 gobject-introspection pygobject3 ] ++ stdenv.lib.optionals enableTk [ tcl tk tkinter libX11 ] - ++ stdenv.lib.optionals enableQt [ pyqt4 ]; + ++ stdenv.lib.optionals enableQt [ pyqt5 ]; patches = [ ./basedirlist.patch ]; diff --git a/pkgs/development/python-modules/maxminddb/default.nix b/pkgs/development/python-modules/maxminddb/default.nix index c24823d1812a..645ecddd1635 100644 --- a/pkgs/development/python-modules/maxminddb/default.nix +++ b/pkgs/development/python-modules/maxminddb/default.nix @@ -5,12 +5,12 @@ }: buildPythonPackage rec { - version = "1.4.1"; + version = "1.5.1"; pname = "maxminddb"; src = fetchPypi { inherit pname version; - sha256 = "04mpilsj76m29id5xfi8mmasdmh27ldn7r0dmh2rj6a8v2y5256z"; + sha256 = "0y9giw81k4wdmpryr4k42w50z292mf364a6vs1vxf83ksc9ig6j4"; }; propagatedBuildInputs = [ ipaddress ]; @@ -20,7 +20,7 @@ buildPythonPackage rec { meta = with lib; { description = "Reader for the MaxMind DB format"; homepage = "https://www.maxmind.com/en/home"; - license = licenses.apsl20; + license = licenses.asl20; maintainers = with maintainers; [ ]; }; } diff --git a/pkgs/development/python-modules/nmigen-boards/default.nix b/pkgs/development/python-modules/nmigen-boards/default.nix index 7f4654eab79c..6e51ae077318 100644 --- a/pkgs/development/python-modules/nmigen-boards/default.nix +++ b/pkgs/development/python-modules/nmigen-boards/default.nix @@ -8,15 +8,15 @@ buildPythonPackage rec { pname = "nmigen-boards"; - version = "unstable-2019-09-23"; + version = "unstable-2019-10-13"; # python setup.py --version - realVersion = "0.1.dev55+g${lib.substring 0 7 src.rev}"; + realVersion = "0.1.dev79+g${lib.substring 0 7 src.rev}"; src = fetchFromGitHub { owner = "m-labs"; repo = "nmigen-boards"; - rev = "b8b2bbaff34c905f2b1094a74b6865961feb2290"; - sha256 = "00gsdm7qf6gsxqmkgqz1ing1yc0352s14pvw863rdbjbd1bv5r0m"; + rev = "835c175d7cf9d143aea2c7dbc0c870ede655cfc2"; + sha256 = "1mbxgfv6k9i3668lr1b3hrvial2vznvgn4ckjzc36hhizp47ypzw"; }; nativeBuildInputs = [ setuptools_scm ]; diff --git a/pkgs/development/python-modules/nmigen/default.nix b/pkgs/development/python-modules/nmigen/default.nix index 02569e0a81bf..8269c07cba0e 100644 --- a/pkgs/development/python-modules/nmigen/default.nix +++ b/pkgs/development/python-modules/nmigen/default.nix @@ -8,28 +8,23 @@ , bitarray , jinja2 -# nmigen.{test,build} call out to these +# for tests , yosys , symbiyosys -, nextpnr ? null -, icestorm ? null -, trellis ? null - -# for tests , yices }: buildPythonPackage rec { pname = "nmigen"; - version = "unstable-2019-09-28"; + version = "unstable-2019-10-17"; # python setup.py --version - realVersion = "0.1.dev689+g${lib.substring 0 7 src.rev}"; + realVersion = "0.1.rc2.dev5+g${lib.substring 0 7 src.rev}"; src = fetchFromGitHub { owner = "m-labs"; repo = "nmigen"; - rev = "a02e3750bfeba44bcaad4c5de8d9eb0ef055d9c6"; - sha256 = "0m399c2nm7y54q2f0fbkmi4h35csbc2llckm6k9kqdf5qc6355wd"; + rev = "9fba5ccb513cfbd53f884b1efca699352d2471b9"; + sha256 = "02bjry4sqjsrhl0s42zl1zl06gk5na9i6br6vmz7fvxic29vl83v"; }; disabled = pythonOlder "3.6"; @@ -38,25 +33,7 @@ buildPythonPackage rec { propagatedBuildInputs = [ setuptools pyvcd bitarray jinja2 ]; - checkInputs = [ yosys yices ]; - - postPatch = let - tool = pkg: name: - if pkg == null then {} else { ${name} = "${pkg}/bin/${name}"; }; - - # Only FOSS toolchain supported out of the box, sorry! - toolchainOverrides = - tool yosys "yosys" // - tool symbiyosys "sby" // - tool nextpnr "nextpnr-ice40" // - tool nextpnr "nextpnr-ecp5" // - tool icestorm "icepack" // - tool trellis "ecppack"; - in '' - substituteInPlace nmigen/_toolchain.py \ - --replace 'overrides = {}' \ - 'overrides = ${builtins.toJSON toolchainOverrides}' - ''; + checkInputs = [ yosys symbiyosys yices ]; preBuild = '' export SETUPTOOLS_SCM_PRETEND_VERSION="${realVersion}" diff --git a/pkgs/development/python-modules/pgsanity/default.nix b/pkgs/development/python-modules/pgsanity/default.nix index c6fa96bccf79..f7212493e59c 100644 --- a/pkgs/development/python-modules/pgsanity/default.nix +++ b/pkgs/development/python-modules/pgsanity/default.nix @@ -17,6 +17,7 @@ buildPythonPackage rec { ${python.interpreter} -m unittest discover -s test ''; + checkInputs = [ postgresql ]; propagatedBuildInputs = [ postgresql ]; meta = with stdenv.lib; { @@ -24,13 +25,12 @@ buildPythonPackage rec { description = "Checks the syntax of Postgresql SQL files"; longDescription = '' PgSanity checks the syntax of Postgresql SQL files by - taking a file that has a list of bare SQL in it, - making that file look like a C file with embedded SQL, - run it through ecpg and + taking a file that has a list of bare SQL in it, + making that file look like a C file with embedded SQL, + run it through ecpg and let ecpg report on the syntax errors of the SQL. ''; license = stdenv.lib.licenses.mit; maintainers = with maintainers; [ nalbyuites ]; - broken = true; }; } diff --git a/pkgs/development/python-modules/trezor_agent/default.nix b/pkgs/development/python-modules/trezor_agent/default.nix index 17ecd369136e..ea941f0e7cab 100644 --- a/pkgs/development/python-modules/trezor_agent/default.nix +++ b/pkgs/development/python-modules/trezor_agent/default.nix @@ -8,6 +8,7 @@ , mnemonic , keepkey , semver +, setuptools , wheel , pinentry }: @@ -21,7 +22,7 @@ buildPythonPackage rec{ sha256 = "e82bf000c1178b1a7612f2a90487eb34c6234d2edb15dc8e310ad875d8298690"; }; - propagatedBuildInputs = [ trezor libagent ecdsa ed25519 mnemonic keepkey semver wheel pinentry ]; + propagatedBuildInputs = [ setuptools trezor libagent ecdsa ed25519 mnemonic keepkey semver wheel pinentry ]; meta = with stdenv.lib; { description = "Using Trezor as hardware SSH agent"; diff --git a/pkgs/development/tools/build-managers/rebar3/default.nix b/pkgs/development/tools/build-managers/rebar3/default.nix index 341585744a6e..feb0086a355d 100644 --- a/pkgs/development/tools/build-managers/rebar3/default.nix +++ b/pkgs/development/tools/build-managers/rebar3/default.nix @@ -3,7 +3,7 @@ tree, hexRegistrySnapshot }: let - version = "3.11.1"; + version = "3.12.0"; bootstrapper = ./rebar3-nix-bootstrap; @@ -77,7 +77,7 @@ stdenv.mkDerivation rec { owner = "erlang"; repo = pname; rev = version; - sha256 = "124l1alf7wx4hqjpf63l0nx70q68lilmgh582c1d413fz5ip0byd"; + sha256 = "0936ix7lfwsamssap58b265zid7x2m97azrr2qpjcln3xysd16lg"; }; inherit bootstrapper; diff --git a/pkgs/development/tools/documentation/gtk-doc/default.nix b/pkgs/development/tools/documentation/gtk-doc/default.nix index 8898eaa272ab..35d718ecd67b 100644 --- a/pkgs/development/tools/documentation/gtk-doc/default.nix +++ b/pkgs/development/tools/documentation/gtk-doc/default.nix @@ -13,15 +13,6 @@ , withDblatex ? false, dblatex }: -let - - # Needed for https://gitlab.gnome.org/GNOME/gtk-doc/blob/GTK_DOC_1_32/meson.build#L42 - python = python3.withPackages (p: with p; [ - pygments - ]); - -in - stdenv.mkDerivation rec { pname = "gtk-doc"; version = "1.32"; @@ -51,7 +42,8 @@ stdenv.mkDerivation rec { docbook_xsl libxslt pkgconfig - python + python3 + python3.pkgs.pygments # Needed for https://gitlab.gnome.org/GNOME/gtk-doc/blob/GTK_DOC_1_32/meson.build#L42 libxml2Python ] ++ stdenv.lib.optional withDblatex dblatex diff --git a/pkgs/development/tools/documentation/mdsh/default.nix b/pkgs/development/tools/documentation/mdsh/default.nix index 3cc646025086..2ca2ef29dd26 100644 --- a/pkgs/development/tools/documentation/mdsh/default.nix +++ b/pkgs/development/tools/documentation/mdsh/default.nix @@ -2,16 +2,16 @@ rustPlatform.buildRustPackage rec { pname = "mdsh"; - version = "0.2.0"; + version = "0.3.0"; src = fetchFromGitHub { owner = "zimbatm"; repo = "mdsh"; rev = "v${version}"; - sha256 = "1751lll93cimyj7bxxdwdxn5w0zb2mzjpbnk1c93jfsvzlz1wzbl"; + sha256 = "1a9i6h8fzrrfzjyfxaps73lxgkz92k0bnmwbjbwdmiwci4qgi9ms"; }; - cargoSha256 = "0b8rg4pz4mpm60iwwmfw4l1p1g9sh1fwf693aqxi8g4vrjf0zniv"; + cargoSha256 = "0rarpzfigyxr6s0ba13z00kvnms29qkjfbfjkay72mb6xn7f1059"; verifyCargoDeps = true; meta = with stdenv.lib; { diff --git a/pkgs/development/tools/git-series/default.nix b/pkgs/development/tools/git-series/default.nix index 5faceca57166..d2bc539860b3 100644 --- a/pkgs/development/tools/git-series/default.nix +++ b/pkgs/development/tools/git-series/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, fetchpatch, rustPlatform, openssl_1_0_2, cmake, perl, pkgconfig, zlib }: +{ stdenv, fetchFromGitHub, fetchpatch, rustPlatform, openssl, cmake, perl, pkgconfig, zlib, curl, libgit2 }: with rustPlatform; @@ -13,21 +13,23 @@ buildRustPackage rec { sha256 = "07mgq5h6r1gf3jflbv2khcz32bdazw7z1s8xcsafdarnm13ps014"; }; - cargoSha256 = "07b25pcndhwvpwa5khdh8y1fl44hdv6ff2pfj1mjc0wchbspqm6q"; - - cargoDepsHook = '' - ( - cd */ - # see https://github.com/git-series/git-series/pull/56 - patch -p1 < ${fetchpatch { - url = "https://github.com/Mic92/git-series/commit/3aa30a47d74ebf90b444dccdf8c153f07f119483.patch"; - sha256 = "06v8br9skvy75kcw2zgbswxyk82sqzc8smkbqpzmivxlc2i9rnh0"; - }} - ) - ''; - + cargoSha256 = "09y4fvv279cm5sgbpmskascb7rrwqgya5w5wkpz1bgx6pzw3mc7y"; + + cargoPatches = [ + (fetchpatch { + url = "https://github.com/Mic92/git-series/commit/3aa30a47d74ebf90b444dccdf8c153f07f119483.patch"; + sha256 = "06v8br9skvy75kcw2zgbswxyk82sqzc8smkbqpzmivxlc2i9rnh0"; + }) + # Update Cargo.lock to allow using OpenSSL 1.1 + (fetchpatch { + url = "https://github.com/edef1c/git-series/commit/11fe70ffcc18200e5f2a159c36aab070e8ff4228.patch"; + sha256 = "0clwllf9mrhq86dhzyyhkw1q2ggpgqpw7s05dvp3gj9zhfsyya4s"; + }) + ]; + + LIBGIT2_SYS_USE_PKG_CONFIG = true; nativeBuildInputs = [ cmake pkgconfig perl ]; - buildInputs = [ openssl_1_0_2 zlib ]; + buildInputs = [ openssl zlib curl libgit2 ]; postBuild = '' install -D "$src/git-series.1" "$out/man/man1/git-series.1" @@ -43,6 +45,6 @@ buildRustPackage rec { homepage = https://github.com/git-series/git-series; license = licenses.mit; - maintainers = [ maintainers.vmandela ]; + maintainers = with maintainers; [ edef vmandela ]; }; } diff --git a/pkgs/development/tools/golangci-lint/default.nix b/pkgs/development/tools/golangci-lint/default.nix index 6937ab48bb37..1ea734848867 100644 --- a/pkgs/development/tools/golangci-lint/default.nix +++ b/pkgs/development/tools/golangci-lint/default.nix @@ -2,7 +2,7 @@ buildGoPackage rec { pname = "golangci-lint"; - version = "1.20.0"; + version = "1.21.0"; goPackagePath = "github.com/golangci/golangci-lint"; subPackages = [ "cmd/golangci-lint" ]; @@ -11,7 +11,7 @@ buildGoPackage rec { owner = "golangci"; repo = "golangci-lint"; rev = "v${version}"; - sha256 = "1ca7l8smi1hx2sk6sq1cac9bvij4wnxxmwldbk8r1ih8ja5i6vdk"; + sha256 = "0knvb59mg9jrzmfs5nzglz4nv047ayq1xz6dkis74wl1g9xi6yr5"; }; meta = with lib; { diff --git a/pkgs/development/tools/misc/scc/default.nix b/pkgs/development/tools/misc/scc/default.nix new file mode 100644 index 000000000000..2d5d94813ca4 --- /dev/null +++ b/pkgs/development/tools/misc/scc/default.nix @@ -0,0 +1,26 @@ +{ stdenv, buildGoPackage, fetchFromGitHub }: + +buildGoPackage rec { + pname = "scc"; + version = "2.8.0"; + + src = fetchFromGitHub { + owner = "boyter"; + repo = "scc"; + rev = "v${version}"; + sha256 = "1g55aahr8j93jc1k2zgpnyxgp7ddn5137vjf8dafsmqp4m2qjq6g"; + }; + + goPackagePath = "github.com/boyter/scc"; + + # scc has a scripts/ sub-package that's for testing. + subPackages = [ "./" ]; + + meta = with stdenv.lib; { + homepage = https://github.com/boyter/scc; + description = "A very fast accurate code counter with complexity calculations and COCOMO estimates written in pure Go"; + maintainers = with maintainers; [ sigma ]; + license = with licenses; [ unlicense /* or */ mit ]; + platforms = platforms.unix; + }; +} diff --git a/pkgs/development/tools/prototool/default.nix b/pkgs/development/tools/prototool/default.nix index 277944488936..37b0f1fdf8db 100644 --- a/pkgs/development/tools/prototool/default.nix +++ b/pkgs/development/tools/prototool/default.nix @@ -2,18 +2,18 @@ buildGoModule rec { pname = "prototool"; - version = "1.8.0"; + version = "1.9.0"; src = fetchFromGitHub { owner = "uber"; repo = pname; rev = "v${version}"; - sha256 = "0m6g5p3jyf0ja5j9rqmw8mhipdqxk7rcxm0plmh65bxk1n22fzjc"; + sha256 = "1ssgvhcnqffhhdx8hnk4lmklip2f6g9i7ifblywfjylb08y7iqgd"; }; nativeBuildInputs = [ makeWrapper ]; - modSha256 = "0l4nqb1c1lqqk70l9qwib1azavxlwghi2fv5siwrr5zw4jysz5ml"; + modSha256 = "1gc8kc9mbi3mlh48zx4lcgpsrf8z879f1qj9wfyr66s7wd1ljazg"; postInstall = '' wrapProgram "$out/bin/prototool" \ diff --git a/pkgs/development/tools/pypi2nix/default.nix b/pkgs/development/tools/pypi2nix/default.nix index 6a709cf8f9b1..7475abc64573 100644 --- a/pkgs/development/tools/pypi2nix/default.nix +++ b/pkgs/development/tools/pypi2nix/default.nix @@ -32,7 +32,7 @@ in stdenv.mkDerivation rec { requests ]; buildInputs = [ - pythonPackages.python pythonPackages.flake8 + pythonPackages.python pythonPackages.flake8 pythonPackages.setuptools zip makeWrapper nix.out nix-prefetch-git nix-prefetch-hg ]; diff --git a/pkgs/development/tools/trellis/default.nix b/pkgs/development/tools/trellis/default.nix index d9ec787fc477..007f97753cdc 100644 --- a/pkgs/development/tools/trellis/default.nix +++ b/pkgs/development/tools/trellis/default.nix @@ -8,25 +8,25 @@ let in stdenv.mkDerivation rec { pname = "trellis"; - version = "2019.09.27"; + version = "2019.10.13"; # git describe --tags realVersion = with stdenv.lib; with builtins; - "1.0-71-g${substring 0 7 (elemAt srcs 0).rev}"; + "1.0-95-g${substring 0 7 (elemAt srcs 0).rev}"; srcs = [ (fetchFromGitHub { - owner = "symbiflow"; + owner = "SymbiFlow"; repo = "prjtrellis"; - rev = "06904938dfe8f52392e73f7c8b1e034327887c27"; - sha256 = "1yk13pipj7wp2mma0qcss4sa0wx8h60x0l0x9awh8g2iyk1y8nfw"; + rev = "e2e10bfdfaa29fed5d19e83dc7460be9880f5af4"; + sha256 = "0l59nliv75rdxnajl2plilib0r0bzbr3qqzc88cdal841x1m0izs"; name = "trellis"; }) (fetchFromGitHub { - owner = "symbiflow"; + owner = "SymbiFlow"; repo = "prjtrellis-db"; - rev = "b4d626b6402c131e9a035470ffe4cf33ccbe7986"; - sha256 = "0k26lq6c049ja8hhqcljwjb1y5k4gcici23l2n86gyp83jr03ilx"; - name = "database"; + rev = "5b5bb70bae13e6b8c971b4b2d26931f4a64b51bc"; + sha256 = "1fi963zdny3gxdvq564037qs22i7b4y7mxc3yij2a1ww8rzrnpdj"; + name = "trellis-database"; }) ]; sourceRoot = "trellis"; diff --git a/pkgs/games/arx-libertatis/default.nix b/pkgs/games/arx-libertatis/default.nix index c224784e8f24..d3f18d4c2182 100644 --- a/pkgs/games/arx-libertatis/default.nix +++ b/pkgs/games/arx-libertatis/default.nix @@ -2,7 +2,8 @@ , openal, glm, freetype, libGLU, SDL2, epoxy , dejavu_fonts, inkscape, optipng, imagemagick , withCrashReporter ? !stdenv.isDarwin -, qt5 ? null +, qtbase ? null +, wrapQtAppsHook ? null , curl ? null , gdb ? null }: @@ -11,24 +12,23 @@ with stdenv.lib; stdenv.mkDerivation { pname = "arx-libertatis"; - version = "2019-02-16"; + version = "2019-07-22"; src = fetchFromGitHub { - owner = "arx"; - repo = "ArxLibertatis"; - rev = "fbce6ccbc7f58583f33f29b838c38ef527edc267"; - sha256 = "0qrygp09dqhpb5q6a1zl6l03qh9bi7xcahd8hy9177z1cix3k0kz"; + owner = "arx"; + repo = "ArxLibertatis"; + rev = "db77aa26bb8612f711b65e72b1cd8cf6481700c7"; + sha256 = "0c88djyzjna17wjcvkgsfx3011m1rba5xdzdldy1hjmafpqgb4jj"; }; - nativeBuildInputs = [ cmake inkscape imagemagick optipng - ]; + ] ++ optionals withCrashReporter [ wrapQtAppsHook ]; buildInputs = [ zlib boost openal glm freetype libGLU SDL2 epoxy - ] ++ optionals withCrashReporter [ qt5.qtbase curl ] + ] ++ optionals withCrashReporter [ qtbase curl ] ++ optionals stdenv.isLinux [ gdb ]; cmakeFlags = [ @@ -38,11 +38,14 @@ stdenv.mkDerivation { ]; enableParallelBuilding = true; + dontWrapQtApps = true; postInstall = '' ln -sf \ ${dejavu_fonts}/share/fonts/truetype/DejaVuSansMono.ttf \ $out/share/games/arx/misc/dejavusansmono.ttf + '' + optionalString withCrashReporter '' + wrapQtApp "$out/libexec/arxcrashreporter" ''; meta = { diff --git a/pkgs/games/odamex/default.nix b/pkgs/games/odamex/default.nix index 6c6bb9f78bab..2afb259ea43e 100644 --- a/pkgs/games/odamex/default.nix +++ b/pkgs/games/odamex/default.nix @@ -1,23 +1,24 @@ -{ stdenv, cmake, fetchurl, pkgconfig, SDL, SDL_mixer, SDL_net }: +{ stdenv, cmake, fetchurl, pkgconfig, SDL, SDL_mixer, SDL_net, wxGTK30 }: + +stdenv.mkDerivation rec { + pname = "odamex"; + version = "0.8.1"; -stdenv.mkDerivation { - name = "odamex-0.7.0"; src = fetchurl { - url = mirror://sourceforge/odamex/odamex-src-0.7.0.tar.bz2; - sha256 = "0cb6p58yv55kdyfj7s9n9xcwpvxrj8nyc6brw9jvwlc5n4y3cd5a"; + url = "mirror://sourceforge/${pname}/${pname}-src-${version}.tar.bz2"; + sha256 = "1dz0lqdx3vb62mylqddcdq3vxsl2mvv0w2xskvwgpg0p04fcic2c"; }; - nativeBuildInputs = [ pkgconfig ]; - buildInputs = [ cmake SDL SDL_mixer SDL_net ]; + nativeBuildInputs = [ cmake pkgconfig ]; + buildInputs = [ SDL SDL_mixer SDL_net wxGTK30 ]; enableParallelBuilding = true; meta = { - homepage = http://odamex.net/; + homepage = "http://odamex.net/"; description = "A client/server port for playing old-school Doom online"; license = stdenv.lib.licenses.gpl2; - platforms = stdenv.lib.platforms.unix; + platforms = stdenv.lib.platforms.linux; maintainers = with stdenv.lib.maintainers; [ MP2E ]; - broken = true; }; } diff --git a/pkgs/games/steam/chrootenv.nix b/pkgs/games/steam/chrootenv.nix index 5c73e458c1ac..b2bca7ca7b97 100644 --- a/pkgs/games/steam/chrootenv.nix +++ b/pkgs/games/steam/chrootenv.nix @@ -77,6 +77,7 @@ in buildFHSUserEnv rec { libGL # Not formally in runtime but needed by some games + at-spi2-atk gst_all_1.gstreamer gst_all_1.gst-plugins-ugly libdrm diff --git a/pkgs/os-specific/linux/kernel/linux-4.14.nix b/pkgs/os-specific/linux/kernel/linux-4.14.nix index 289f7cc39155..58390ee06366 100644 --- a/pkgs/os-specific/linux/kernel/linux-4.14.nix +++ b/pkgs/os-specific/linux/kernel/linux-4.14.nix @@ -3,7 +3,7 @@ with stdenv.lib; buildLinux (args // rec { - version = "4.14.149"; + version = "4.14.150"; # modDirVersion needs to be x.y.z, will automatically add .0 if needed modDirVersion = if (modDirVersionArg == null) then concatStringsSep "." (take 3 (splitVersion "${version}.0")) else modDirVersionArg; @@ -13,6 +13,6 @@ buildLinux (args // rec { src = fetchurl { url = "mirror://kernel/linux/kernel/v4.x/linux-${version}.tar.xz"; - sha256 = "15pjngy3j5vnisv462ll7wsg78qv7q2cz86da0bcwh446v9ap7g6"; + sha256 = "1c2pxfvv31af0mzcqnbfjk8pc0wrhg4yhspl8a3ab2w5dfwa9ib5"; }; } // (args.argsOverride or {})) diff --git a/pkgs/os-specific/linux/kernel/linux-4.19.nix b/pkgs/os-specific/linux/kernel/linux-4.19.nix index decfab4382ae..c63d78391b8c 100644 --- a/pkgs/os-specific/linux/kernel/linux-4.19.nix +++ b/pkgs/os-specific/linux/kernel/linux-4.19.nix @@ -3,7 +3,7 @@ with stdenv.lib; buildLinux (args // rec { - version = "4.19.79"; + version = "4.19.80"; # modDirVersion needs to be x.y.z, will automatically add .0 if needed modDirVersion = if (modDirVersionArg == null) then concatStringsSep "." (take 3 (splitVersion "${version}.0")) else modDirVersionArg; @@ -13,6 +13,6 @@ buildLinux (args // rec { src = fetchurl { url = "mirror://kernel/linux/kernel/v4.x/linux-${version}.tar.xz"; - sha256 = "0d2bcg0krahia2ylgqaxdppyr9idq2pi6y1si6h8n9sg6rj3a57i"; + sha256 = "1v776s6q5wxn8ci86dwa8s8y41b94g09fnpgvzysg2h89rvbmac0"; }; } // (args.argsOverride or {})) diff --git a/pkgs/os-specific/linux/kernel/linux-4.4.nix b/pkgs/os-specific/linux/kernel/linux-4.4.nix index 65ee9634ba40..7820ed3258f9 100644 --- a/pkgs/os-specific/linux/kernel/linux-4.4.nix +++ b/pkgs/os-specific/linux/kernel/linux-4.4.nix @@ -1,11 +1,11 @@ { stdenv, buildPackages, fetchurl, perl, buildLinux, ... } @ args: buildLinux (args // rec { - version = "4.4.196"; + version = "4.4.197"; extraMeta.branch = "4.4"; src = fetchurl { url = "mirror://kernel/linux/kernel/v4.x/linux-${version}.tar.xz"; - sha256 = "0vd7fra22ky4sqp6vamracp5xd4900md5vdx0n4i6dhkf03kz7hn"; + sha256 = "0ypfl1q1bdbk81hk0bm8a0grqzz4z5rp7z7asa3191ji3r8q9x4w"; }; } // (args.argsOverride or {})) diff --git a/pkgs/os-specific/linux/kernel/linux-4.9.nix b/pkgs/os-specific/linux/kernel/linux-4.9.nix index c9e72a3264bc..06d752cf34e7 100644 --- a/pkgs/os-specific/linux/kernel/linux-4.9.nix +++ b/pkgs/os-specific/linux/kernel/linux-4.9.nix @@ -1,11 +1,11 @@ { stdenv, buildPackages, fetchurl, perl, buildLinux, ... } @ args: buildLinux (args // rec { - version = "4.9.196"; + version = "4.9.197"; extraMeta.branch = "4.9"; src = fetchurl { url = "mirror://kernel/linux/kernel/v4.x/linux-${version}.tar.xz"; - sha256 = "1vy6j9ycl5aw0dmj4n9kih5i8igybk0ilahlwbn30mlp9aq15az0"; + sha256 = "032as6g4xvqjarqhvx7mr14yhn6idak4g0ps1skmsl4dfav6hdam"; }; } // (args.argsOverride or {})) diff --git a/pkgs/os-specific/linux/kernel/linux-5.3.nix b/pkgs/os-specific/linux/kernel/linux-5.3.nix index 9311cad10a0c..ecf629577ff9 100644 --- a/pkgs/os-specific/linux/kernel/linux-5.3.nix +++ b/pkgs/os-specific/linux/kernel/linux-5.3.nix @@ -3,7 +3,7 @@ with stdenv.lib; buildLinux (args // rec { - version = "5.3.6"; + version = "5.3.7"; # modDirVersion needs to be x.y.z, will automatically add .0 if needed modDirVersion = if (modDirVersionArg == null) then concatStringsSep "." (take 3 (splitVersion "${version}.0")) else modDirVersionArg; @@ -13,6 +13,6 @@ buildLinux (args // rec { src = fetchurl { url = "mirror://kernel/linux/kernel/v5.x/linux-${version}.tar.xz"; - sha256 = "07zcfpj6ras7mmazny5xjwf8v6l5hwdgnla21sqpppl48ylj2h78"; + sha256 = "00j8sdrmmppqf38vl50a4zas5gy7yv37n43b61f8472k45773jf6"; }; } // (args.argsOverride or {})) diff --git a/pkgs/servers/irc/atheme/default.nix b/pkgs/servers/irc/atheme/default.nix new file mode 100644 index 000000000000..d7aa06102400 --- /dev/null +++ b/pkgs/servers/irc/atheme/default.nix @@ -0,0 +1,33 @@ +{ stdenv, fetchgit, libmowgli, pkgconfig, git, gettext, pcre, libidn, cracklib, openssl }: + +stdenv.mkDerivation rec { + pname = "atheme"; + version = "7.2.10-r2"; + + src = fetchgit { + url = "https://github.com/atheme/atheme.git"; + rev = "v${version}"; + sha256 = "1yasfvbmixj4zzfv449hlcp0ms5c250lrshdy6x6l643nbnix4y9"; + leaveDotGit = true; + }; + + nativeBuildInputs = [ pkgconfig git gettext ]; + buildInputs = [ libmowgli pcre libidn cracklib openssl ]; + + configureFlags = [ + "--with-pcre" + "--with-libidn" + "--with-cracklib" + "--enable-large-net" + "--enable-contrib" + "--enable-reproducible-builds" + ]; + + meta = with stdenv.lib; { + description = "A set of services for IRC networks"; + homepage = https://atheme.github.io/; + license = licenses.isc; + platforms = platforms.unix; + maintainers = with maintainers; [ leo60228 ]; + }; +} diff --git a/pkgs/servers/monitoring/prometheus/default.nix b/pkgs/servers/monitoring/prometheus/default.nix index d6470f8dd3ce..86bd7f9d5189 100644 --- a/pkgs/servers/monitoring/prometheus/default.nix +++ b/pkgs/servers/monitoring/prometheus/default.nix @@ -1,19 +1,16 @@ { lib, go, buildGoPackage, fetchFromGitHub }: -let - goPackagePath = "github.com/prometheus/prometheus"; -in buildGoPackage rec { pname = "prometheus"; - version = "2.13.0"; + version = "2.13.1"; - inherit goPackagePath; + goPackagePath = "github.com/prometheus/prometheus"; src = fetchFromGitHub { rev = "v${version}"; owner = "prometheus"; repo = "prometheus"; - sha256 = "08fmicgrv9b37qapmqjbif19c9181mpp7abd2yrpc3xpyjd3h687"; + sha256 = "055qliv683b87dwj7pkprdpjgyp6s4s3cwvpbsl1gxidhlr4y69b"; }; buildFlagsArray = let diff --git a/pkgs/servers/monitoring/zabbix/versions.nix b/pkgs/servers/monitoring/zabbix/versions.nix index 248c05aab4fa..21557634197c 100644 --- a/pkgs/servers/monitoring/zabbix/versions.nix +++ b/pkgs/servers/monitoring/zabbix/versions.nix @@ -1,12 +1,12 @@ generic: { v42 = generic { - version = "4.2.6"; - sha256 = "0r08v9ka0v8d7w133yr09vaxzl5lhbrsvbx900x15qv8lwliysv4"; + version = "4.2.7"; + sha256 = "09znh8x1sass5mw6wjrfmizjbfls8ad2c16y24ldfj40hlfxz6wx"; }; v40 = generic { - version = "4.0.12"; - sha256 = "1jlbzlz0rzcjixicdcd7xcjhfqwr82hngpazx85f40r9dy574pjw"; + version = "4.0.13"; + sha256 = "1hz4sdj4nw8fh124dqd41ag2wv4rcp4snpxgk80nx76rssw6c9ny"; }; v30 = generic { diff --git a/pkgs/servers/web-apps/fileshelter/default.nix b/pkgs/servers/web-apps/fileshelter/default.nix index d7510e7d1e03..47184fe9c96b 100644 --- a/pkgs/servers/web-apps/fileshelter/default.nix +++ b/pkgs/servers/web-apps/fileshelter/default.nix @@ -1,31 +1,31 @@ -{ stdenv, fetchFromGitHub, autoreconfHook, libzip, boost, wt3, libconfig, pkgconfig } : +{ stdenv, fetchFromGitHub, autoreconfHook, libzip, boost, wt4, libconfig, pkgconfig } : stdenv.mkDerivation rec { pname = "fileshelter"; - version = "3.0.0"; + version = "4.0.1"; src = fetchFromGitHub { owner = "epoupon"; repo = "fileshelter"; rev = "v${version}"; - sha256 = "1n9hrls3l9gf8wfz6m9bylma1b1hdvdqsksv2dlp1zdgjdzv200b"; + sha256 = "07n70wwqj7lqdxs3wya1m8bwg8l6lgmmlfpwyv3r3s4dfzb1b3ka"; }; enableParallelBuilding = true; nativeBuildInputs = [ autoreconfHook pkgconfig ]; - buildInputs = [ libzip boost wt3 libconfig ]; + buildInputs = [ libzip boost wt4 libconfig ]; NIX_LDFLAGS = [ "-lpthread" ]; postInstall = '' - ln -s ${wt3}/share/Wt/resources $out/share/fileshelter/docroot/resources + ln -s ${wt4}/share/Wt/resources $out/share/fileshelter/docroot/resources ''; meta = with stdenv.lib; { - homepage = https://github.com/epoupon/fileshelter; + homepage = "https://github.com/epoupon/fileshelter"; description = "FileShelter is a 'one-click' file sharing web application"; maintainers = [ maintainers.willibutz ]; license = licenses.gpl3; diff --git a/pkgs/servers/web-apps/mediawiki/default.nix b/pkgs/servers/web-apps/mediawiki/default.nix index e526afe661cf..490459f2e250 100644 --- a/pkgs/servers/web-apps/mediawiki/default.nix +++ b/pkgs/servers/web-apps/mediawiki/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { pname = "mediawiki"; - version = "1.33.0"; + version = "1.33.1"; src = with stdenv.lib; fetchurl { url = "https://releases.wikimedia.org/mediawiki/${versions.majorMinor version}/${pname}-${version}.tar.gz"; - sha256 = "0rydzmr64r3p5n6g8v9rifk277z1v31p82s8ka8xap8cfkca4dc3"; + sha256 = "19x10vsgg2fhcpmfvdswwilqwa65byrsmzvhk2v8bsxzhp6s95vx"; }; prePatch = '' diff --git a/pkgs/shells/zsh/oh-my-zsh/default.nix b/pkgs/shells/zsh/oh-my-zsh/default.nix index 3ad542e2a0a2..6ebe81dc9f8d 100644 --- a/pkgs/shells/zsh/oh-my-zsh/default.nix +++ b/pkgs/shells/zsh/oh-my-zsh/default.nix @@ -4,13 +4,13 @@ { stdenv, fetchgit }: stdenv.mkDerivation rec { - version = "2019-10-15"; + version = "2019-10-19"; pname = "oh-my-zsh"; - rev = "f56b678888c0ad4ac71458680d75d88b442cf09b"; + rev = "05dfd0ae8520e58e852c574f55c83fe6f49fb189"; src = fetchgit { inherit rev; url = "https://github.com/robbyrussell/oh-my-zsh"; - sha256 = "0d8xbnq3icqyn88awc213jy0dmmb0ma0xqxayj7zyxwns9mrpd82"; + sha256 = "1ky926ih9b56xgh8xp8dsnzjliwzd8rr0cqn4wjf74z42bg2cnw0"; }; pathsToLink = [ "/share/oh-my-zsh" ]; diff --git a/pkgs/shells/zsh/zsh-you-should-use/default.nix b/pkgs/shells/zsh/zsh-you-should-use/default.nix index 39e1cedc7d0f..becc4c57e4bb 100644 --- a/pkgs/shells/zsh/zsh-you-should-use/default.nix +++ b/pkgs/shells/zsh/zsh-you-should-use/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "zsh-you-should-use"; - version = "1.4.0"; + version = "1.6.0"; src = fetchFromGitHub { owner = "MichaelAquilina"; repo = pname; rev = version; - sha256 = "1n0mcgahx40acqjj617k0rhqpzjqjaa9xfs4b1xrjp3qdy9s0ns0"; + sha256 = "1xzq7xmmx4rg53pd69d0s9n561q4z35hlbb2sq2xd76gk3x6fars"; }; dontBuild = true; diff --git a/pkgs/tools/misc/clipman/default.nix b/pkgs/tools/misc/clipman/default.nix index 1dd2c16cb56e..42db25f95734 100644 --- a/pkgs/tools/misc/clipman/default.nix +++ b/pkgs/tools/misc/clipman/default.nix @@ -2,16 +2,16 @@ buildGoModule rec { pname = "clipman"; - version = "1.0.1"; + version = "1.1.0"; src = fetchFromGitHub { owner = "yory8"; repo = pname; rev = "v${version}"; - sha256 = "1qv7mncb8ggyxrxqxax3gbcfxzk8b4zj2n8rp2xpghsynw4j740w"; + sha256 = "083wd9wqsvxsf3w72jqh36php3c85273n3c6j7j997w47k069m32"; }; - modSha256 = "0qwrj6wqy32v65k3sbp24frhrcq6wfk38ckmy6wfmhgcix47fzj2"; + modSha256 = "0r7bx2vxnjqs4lx17w7q75spdjh3dz4560d62bj6fb5n71hc5mgv"; nativeBuildInputs = [ makeWrapper ]; diff --git a/pkgs/tools/misc/execline/default.nix b/pkgs/tools/misc/execline/default.nix index 5a967ea20959..a19074341818 100644 --- a/pkgs/tools/misc/execline/default.nix +++ b/pkgs/tools/misc/execline/default.nix @@ -1,42 +1,85 @@ -{ skawarePackages, makeWrapper }: +{ lib, skawarePackages +# for execlineb-with-builtins +, coreutils, gnugrep, writeScriptBin, runCommand, runCommandCC +# Whether to wrap bin/execlineb to have the execline tools on its PATH. +, execlineb-with-builtins ? true +}: with skawarePackages; -buildPackage { - pname = "execline"; - version = "2.5.1.0"; - sha256 = "0xr6yb50wm6amj1wc7jmxyv7hvlx2ypbnww1vc288j275625d9xi"; +let + outputs = [ "bin" "lib" "dev" "doc" "out" ]; - description = "A small scripting language, to be used in place of a shell in non-interactive scripts"; + execline = + buildPackage { + pname = "execline"; + version = "2.5.1.0"; + sha256 = "0xr6yb50wm6amj1wc7jmxyv7hvlx2ypbnww1vc288j275625d9xi"; - outputs = [ "bin" "lib" "dev" "doc" "out" ]; + description = "A small scripting language, to be used in place of a shell in non-interactive scripts"; + + inherit outputs; + + # TODO: nsss support + configureFlags = [ + "--libdir=\${lib}/lib" + "--dynlibdir=\${lib}/lib" + "--bindir=\${bin}/bin" + "--includedir=\${dev}/include" + "--with-sysdeps=${skalibs.lib}/lib/skalibs/sysdeps" + "--with-include=${skalibs.dev}/include" + "--with-lib=${skalibs.lib}/lib" + "--with-dynlib=${skalibs.lib}/lib" + ]; + + postInstall = '' + # remove all execline executables from build directory + rm $(find -type f -mindepth 1 -maxdepth 1 -executable) + rm libexecline.* - setupHooks = [ makeWrapper ]; - - # TODO: nsss support - configureFlags = [ - "--libdir=\${lib}/lib" - "--dynlibdir=\${lib}/lib" - "--bindir=\${bin}/bin" - "--includedir=\${dev}/include" - "--with-sysdeps=${skalibs.lib}/lib/skalibs/sysdeps" - "--with-include=${skalibs.dev}/include" - "--with-lib=${skalibs.lib}/lib" - "--with-dynlib=${skalibs.lib}/lib" - ]; - - postInstall = '' - # remove all execline executables from build directory - rm $(find -type f -mindepth 1 -maxdepth 1 -executable) - rm libexecline.* - - mv doc $doc/share/doc/execline/html - mv examples $doc/share/doc/execline/examples - - # finally, add all tools to PATH so they are available - # from within execlineb scripts by default - wrapProgram $bin/bin/execlineb \ - --suffix PATH : $bin/bin + mv doc $doc/share/doc/execline/html + mv examples $doc/share/doc/execline/examples + ''; + + }; + + # A wrapper around execlineb, which provides all execline + # tools on `execlineb`’s PATH. + # It is implemented as a C script, because on non-Linux, + # nested shebang lines are not supported. + execlineb-with-builtins-drv = runCommandCC "execlineb" {} '' + mkdir -p $out/bin + cc \ + -O \ + -Wall -Wpedantic \ + -D 'EXECLINEB_PATH()="${execline}/bin/execlineb"' \ + -D 'EXECLINE_BIN_PATH()="${execline}/bin"' \ + -I "${skalibs.dev}/include" \ + -L "${skalibs.lib}/lib" \ + -l"skarnet" \ + -o "$out/bin/execlineb" \ + ${./execlineb-wrapper.c} ''; -} + + # the original execline package, with bin/execlineb overwritten + execline-with-builtins = runCommand "my-execline" + (execline.drvAttrs // { + preferLocalBuild = true; + allowSubstitutes = false; + }) + # copy every output and just overwrite the execlineb binary in $bin + '' + ${lib.concatMapStringsSep "\n" + (output: '' + cp -r ${execline.${output}} "''$${output}" + chmod --recursive +w "''$${output}" + '') + outputs} + install ${execlineb-with-builtins-drv}/bin/execlineb $bin/bin/execlineb + ''; + +in + if execlineb-with-builtins + then execline-with-builtins + else execline diff --git a/pkgs/tools/misc/execline/execlineb-wrapper.c b/pkgs/tools/misc/execline/execlineb-wrapper.c new file mode 100644 index 000000000000..09ccf990af7f --- /dev/null +++ b/pkgs/tools/misc/execline/execlineb-wrapper.c @@ -0,0 +1,43 @@ +#include <stdlib.h> +#include <string.h> + +#include <skalibs/stralloc.h> +#include <skalibs/djbunix.h> +#include <skalibs/strerr2.h> +#include <skalibs/env.h> + +#define dienomem() strerr_diefu1sys(111, "stralloc_catb") + +// macros from outside +/* const char* EXECLINEB_PATH; */ +/* const char* EXECLINE_BIN_PATH; */ + +int main(int argc, char const* argv[], char const *const *envp) +{ + PROG = "execlineb-wrapper"; + + char const* path = getenv("PATH"); + stralloc path_modif = STRALLOC_ZERO; + + // modify PATH if unset or EXECLINEB_BIN_PATH is not yet there + if ( !path || ! strstr(path, EXECLINE_BIN_PATH())) { + // prepend our execline path + if ( ! stralloc_cats(&path_modif, "PATH=") + || ! stralloc_cats(&path_modif, EXECLINE_BIN_PATH()) ) dienomem(); + // old path was not empty + if ( path && path[0] ) { + if ( ! stralloc_catb(&path_modif, ":", 1) + || ! stralloc_cats(&path_modif, path) ) dienomem(); + } + // append final \0 + if ( ! stralloc_0(&path_modif) ) dienomem(); + } + + // exec into execlineb and append path_modif to the environment + xpathexec_r_name( + EXECLINEB_PATH(), + argv, + envp, env_len(envp), + path_modif.s, path_modif.len + ); +} diff --git a/pkgs/tools/misc/kak-lsp/default.nix b/pkgs/tools/misc/kak-lsp/default.nix index 7484e6829188..e3551b8f404f 100644 --- a/pkgs/tools/misc/kak-lsp/default.nix +++ b/pkgs/tools/misc/kak-lsp/default.nix @@ -2,16 +2,16 @@ rustPlatform.buildRustPackage rec { pname = "kak-lsp"; - version = "6.2.1"; + version = "7.0.0"; src = fetchFromGitHub { owner = "ul"; repo = pname; rev = "v${version}"; - sha256 = "0bazbz1g5iqxlwybn5whidvavglvgdl9yp9qswgsk1jrjmcr5klx"; + sha256 = "1b9v417g0z9q1sqgnms5vy740xggg4fcz0fdwbc4hfvfj6jkyaad"; }; - cargoSha256 = "0w0mnh8fnl8zi9n0fxzqaqbvmfagf3ay5v2na3laxb72jm76hrwa"; + cargoSha256 = "0kzrrphlilnyl79yfmlvd6an8iyi8zcs0inwiq74z383lnbdpk7q"; buildInputs = lib.optional stdenv.isDarwin [ darwin.apple_sdk.frameworks.Security ]; diff --git a/pkgs/tools/misc/screenfetch/default.nix b/pkgs/tools/misc/screenfetch/default.nix index a395e8decfe6..71b6dd90530e 100644 --- a/pkgs/tools/misc/screenfetch/default.nix +++ b/pkgs/tools/misc/screenfetch/default.nix @@ -1,12 +1,12 @@ { stdenv, lib, fetchFromGitHub, makeWrapper, coreutils, gawk, procps, gnused -, bc, findutils, xdpyinfo, xprop, gnugrep, ncurses +, bc, findutils, xdpyinfo, xprop, gnugrep, ncurses, pciutils , darwin }: let path = lib.makeBinPath ([ coreutils gawk gnused findutils - gnugrep ncurses bc + gnugrep ncurses bc pciutils ] ++ lib.optionals stdenv.isLinux [ procps xdpyinfo diff --git a/pkgs/tools/misc/snore/default.nix b/pkgs/tools/misc/snore/default.nix new file mode 100644 index 000000000000..f6f177811b02 --- /dev/null +++ b/pkgs/tools/misc/snore/default.nix @@ -0,0 +1,23 @@ +{ stdenv, fetchFromGitHub }: + +stdenv.mkDerivation rec { + version = "0.1"; + pname = "snore"; + + src = fetchFromGitHub { + owner = "clamiax"; + repo = pname; + rev = version; + sha256 = "1ic1qy6ybnjlkz5rb1hpvq6dcdmxw5xcx34qcadrsfdjizxcv8pp"; + }; + + makeFlags = [ "PREFIX=${placeholder "out"}" ]; + + meta = with stdenv.lib; { + description = "sleep with feedback"; + homepage = "https://github.com/clamiax/snore"; + license = licenses.mit; + maintainers = [ maintainers.marsam ]; + platforms = platforms.unix; + }; +} diff --git a/pkgs/tools/networking/dhcpcd/default.nix b/pkgs/tools/networking/dhcpcd/default.nix index 0827f2eac7ba..7952c2b91e2f 100644 --- a/pkgs/tools/networking/dhcpcd/default.nix +++ b/pkgs/tools/networking/dhcpcd/default.nix @@ -4,11 +4,11 @@ stdenv.mkDerivation rec { # when updating this to >=7, check, see previous reverts: # nix-build -A nixos.tests.networking.scripted.macvlan.x86_64-linux nixos/release-combined.nix pname = "dhcpcd"; - version = "8.0.6"; + version = "8.1.1"; src = fetchurl { url = "mirror://roy/${pname}/${pname}-${version}.tar.xz"; - sha256 = "0kxxpb79j564m6bjvjb9gsn0yzs13c0arfgsycm51gw3xnch3db6"; + sha256 = "10pasmx3gi09amx9y2phwi3a2d5bwih44vlkdxmx7sqgw67k0pa8"; }; nativeBuildInputs = [ pkgconfig ]; diff --git a/pkgs/tools/networking/network-manager/fortisslvpn/default.nix b/pkgs/tools/networking/network-manager/fortisslvpn/default.nix index dbf175d20c95..53d6ab2fbcf5 100644 --- a/pkgs/tools/networking/network-manager/fortisslvpn/default.nix +++ b/pkgs/tools/networking/network-manager/fortisslvpn/default.nix @@ -32,10 +32,14 @@ in stdenv.mkDerivation { configureFlags = [ "--without-libnm-glib" "--with-gnome=${if withGnome then "yes" else "no"}" - "--localstatedir=/tmp" + "--localstatedir=/var" "--enable-absolute-paths" ]; + # the installer only create an empty directory in localstatedir, so + # we can drop it + installFlags = [ "localstatedir=." ]; + passthru = { updateScript = gnome3.updateScript { packageName = pname; diff --git a/pkgs/tools/networking/offlineimap/default.nix b/pkgs/tools/networking/offlineimap/default.nix index 16734df1fb0d..a14f8187b7d9 100644 --- a/pkgs/tools/networking/offlineimap/default.nix +++ b/pkgs/tools/networking/offlineimap/default.nix @@ -2,14 +2,14 @@ asciidoc, cacert, libxml2, libxslt, docbook_xsl }: python2Packages.buildPythonApplication rec { - version = "7.2.4"; + version = "7.3.0"; pname = "offlineimap"; src = fetchFromGitHub { owner = "OfflineIMAP"; repo = "offlineimap"; rev = "v${version}"; - sha256 = "0h5q5nk2p2vx86w6rrbs7v70h81dpqqr68x6l3klzl3m0yj9agb1"; + sha256 = "0v32s09zgi3jg2grwh1xzzgzpw333b9qflai7zh2hv3fx9xnfbyj"; }; postPatch = '' @@ -23,7 +23,7 @@ python2Packages.buildPythonApplication rec { doCheck = false; nativeBuildInputs = [ asciidoc libxml2 libxslt docbook_xsl ]; - propagatedBuildInputs = with python2Packages; [ six kerberos ]; + propagatedBuildInputs = with python2Packages; [ six kerberos rfc6555 ]; postInstall = '' make -C docs man @@ -35,6 +35,6 @@ python2Packages.buildPythonApplication rec { description = "Synchronize emails between two repositories, so that you can read the same mailbox from multiple computers"; homepage = http://offlineimap.org; license = stdenv.lib.licenses.gpl2Plus; - maintainers = []; + maintainers = with stdenv.lib.maintainers; [ endocrimes ma27 ]; }; } diff --git a/pkgs/tools/system/netdata/default.nix b/pkgs/tools/system/netdata/default.nix index b04bc905ec03..ff6a6e03cc88 100644 --- a/pkgs/tools/system/netdata/default.nix +++ b/pkgs/tools/system/netdata/default.nix @@ -12,12 +12,12 @@ with stdenv.lib; stdenv.mkDerivation rec { - version = "1.18.0"; + version = "1.18.1"; pname = "netdata"; src = fetchurl { url = "https://github.com/netdata/netdata/releases/download/v${version}/netdata-v${version}.tar.gz"; - sha256 = "1ay22x3ydmfh7649scampr0xvgzb32rvbs6fk57xx64sav8vx607"; + sha256 = "08g5jp63k8y5gbg8v9hxj75q0533c6cyzpjml9z1g5h2h4zaik1r"; }; nativeBuildInputs = [ autoreconfHook pkgconfig ]; diff --git a/pkgs/tools/system/rsyslog/default.nix b/pkgs/tools/system/rsyslog/default.nix index 34b7b7d908a8..8cf1b16a37d8 100644 --- a/pkgs/tools/system/rsyslog/default.nix +++ b/pkgs/tools/system/rsyslog/default.nix @@ -11,11 +11,12 @@ let mkFlag = cond: name: if cond then "--enable-${name}" else "--disable-${name}"; in stdenv.mkDerivation rec { - name = "rsyslog-8.1907.0"; + pname = "rsyslog"; + version = "8.1910.0"; src = fetchurl { - url = "https://www.rsyslog.com/files/download/rsyslog/${name}.tar.gz"; - sha256 = "1dcz0w5xalqsi2xjb5j7c9mq5kf9s9kq9j2inpv4w5wkrrg569zb"; + url = "https://www.rsyslog.com/files/download/rsyslog/${pname}-${version}.tar.gz"; + sha256 = "14qczsj12spx0m3dz1pkxnacwi5njr0syamnmi1rg8ri5xlyw682"; }; #patches = [ ./fix-gnutls-detection.patch ]; @@ -108,6 +109,7 @@ stdenv.mkDerivation rec { meta = { homepage = https://www.rsyslog.com/; description = "Enhanced syslog implementation"; + changelog = "https://raw.githubusercontent.com/rsyslog/rsyslog/v${version}/ChangeLog"; license = licenses.gpl3; platforms = platforms.linux; }; diff --git a/pkgs/top-level/aliases.nix b/pkgs/top-level/aliases.nix index cf6c377ece49..5b455d629143 100644 --- a/pkgs/top-level/aliases.nix +++ b/pkgs/top-level/aliases.nix @@ -382,6 +382,7 @@ mapAliases ({ tex-gyre-termes-math = tex-gyre-math.termes; # added 2018-04-03 tftp_hpa = tftp-hpa; # added 2015-04-03 torbrowser = tor-browser-bundle-bin; # added 2017-04-05 + transporter = throw "transporter has been removed. It was archived upstream, so it's considered abandoned."; trang = jing-trang; # added 2018-04-25 transmission_gtk = transmission-gtk; # added 2018-01-06 transmission_remote_gtk = transmission-remote-gtk; # added 2018-01-06 diff --git a/pkgs/top-level/all-packages.nix b/pkgs/top-level/all-packages.nix index 68d4911d5ee8..7ebc00a2c105 100644 --- a/pkgs/top-level/all-packages.nix +++ b/pkgs/top-level/all-packages.nix @@ -662,6 +662,8 @@ in gsl = gsl_1; }; + atheme = callPackage ../servers/irc/atheme { }; + atinout = callPackage ../tools/networking/atinout { }; atomicparsley = callPackage ../tools/video/atomicparsley { @@ -5486,6 +5488,8 @@ in jbig2enc = callPackage ../tools/graphics/jbig2enc { }; + pdfarranger = callPackage ../applications/misc/pdfarranger { }; + pdfread = callPackage ../tools/graphics/pdfread { inherit (pythonPackages) pillow; }; @@ -6620,8 +6624,6 @@ in translate-shell = callPackage ../applications/misc/translate-shell { }; - transporter = callPackage ../applications/networking/transporter { }; - trash-cli = callPackage ../tools/misc/trash-cli { }; trickle = callPackage ../tools/networking/trickle {}; @@ -10103,6 +10105,8 @@ in sbt-extras = callPackage ../development/tools/build-managers/sbt-extras { }; + scc = callPackage ../development/tools/misc/scc { }; + scss-lint = callPackage ../development/tools/scss-lint { }; shadowenv = callPackage ../tools/misc/shadowenv { @@ -10140,6 +10144,8 @@ in snakemake = callPackage ../applications/science/misc/snakemake { python = python3Packages; }; + snore = callPackage ../tools/misc/snore { }; + snowman = qt5.callPackage ../development/tools/analysis/snowman { }; sparse = callPackage ../development/tools/analysis/sparse { }; @@ -10391,6 +10397,11 @@ in armadillo = callPackage ../development/libraries/armadillo {}; + arrayfire = callPackage ../development/libraries/arrayfire { + # fails to build with gcc >= 7 + stdenv = gcc6Stdenv; + }; + arrow-cpp = callPackage ../development/libraries/arrow-cpp { gtest = gtest.override { static = true; }; }; @@ -13213,6 +13224,8 @@ in gnupth = callPackage ../development/libraries/pth { }; pth = if stdenv.hostPlatform.isMusl then npth else gnupth; + pstreams = callPackage ../development/libraries/pstreams {}; + ptlib = callPackage ../development/libraries/ptlib {}; pugixml = callPackage ../development/libraries/pugixml { }; @@ -19982,6 +19995,12 @@ in ncdc = callPackage ../applications/networking/p2p/ncdc { }; + ncspot = callPackage ../applications/audio/ncspot { + withALSA = stdenv.isLinux; + withPulseAudio = config.pulseaudio or stdenv.isLinux; + withPortAudio = stdenv.isDarwin; + }; + ncview = callPackage ../tools/X11/ncview { } ; ne = callPackage ../applications/editors/ne { }; @@ -22053,7 +22072,7 @@ in arena = callPackage ../games/arena {}; - arx-libertatis = callPackage ../games/arx-libertatis { + arx-libertatis = libsForQt5.callPackage ../games/arx-libertatis { stdenv = gcc6Stdenv; }; diff --git a/pkgs/top-level/perl-packages.nix b/pkgs/top-level/perl-packages.nix index bb6c7b31d0ff..b1ccdec9bcf3 100644 --- a/pkgs/top-level/perl-packages.nix +++ b/pkgs/top-level/perl-packages.nix @@ -3975,6 +3975,20 @@ let }; }; + DataSExpression = buildPerlPackage { + pname = "Data-SExpression"; + version = "0.41"; + src = fetchurl { + url = "mirror://cpan/authors/id/N/NE/NELHAGE/Data-SExpression-0.41.tar.gz"; + sha256 = "8162426a4285a094385fdfaf6d09ced106d5af57553f953acb1d56867dd0149b"; + }; + buildInputs = [ TestDeep ]; + propagatedBuildInputs = [ ClassAccessor ]; + meta = { + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + }; + }; + DataSpreadPagination = buildPerlPackage { pname = "Data-SpreadPagination"; version = "0.1.2"; @@ -7623,7 +7637,9 @@ let sha256 = "1044rj3wbfmgaif2jb0k28m2aczli6ai2n5yvn6pr7zjyw16kvd2"; }; buildInputs = [ pkgs.gtk2 Cairo ExtUtilsDepends ExtUtilsPkgConfig Glib Pango ]; - doCheck = !stdenv.isDarwin; + # https://rt.cpan.org/Public/Bug/Display.html?id=130742 + # doCheck = !stdenv.isDarwin; + doCheck = false; meta = { homepage = http://gtk2-perl.sourceforge.net/; description = "Perl interface to the 2.x series of the Gimp Toolkit library"; @@ -9041,11 +9057,11 @@ let ImageExifTool = buildPerlPackage { pname = "Image-ExifTool"; - version = "11.50"; + version = "11.70"; src = fetchurl { - url = "https://www.sno.phy.queensu.ca/~phil/exiftool/Image-ExifTool-11.50.tar.gz"; - sha256 = "0d8v48y94z8maxkmw1rv7v9m0jg2dc8xbp581njb6yhr7abwqdv3"; + url = "https://www.sno.phy.queensu.ca/~phil/exiftool/Image-ExifTool-11.70.tar.gz"; + sha256 = "1z0s0zvga24m9dzp1lnrsvwv9qmvn21ifd9in520sd54ld774ifp"; }; nativeBuildInputs = stdenv.lib.optional stdenv.isDarwin shortenPerlShebang; @@ -15140,6 +15156,21 @@ let }; }; + RPCEPCService = buildPerlPackage { + pname = "RPC-EPC-Service"; + version = "0.0.11"; + src = fetchurl { + url = "mirror://cpan/authors/id/K/KI/KIWANAMI/RPC-EPC-Service-v0.0.11.tar.gz"; + sha256 = "975f4134365258fb47fa921919053513adb9101f2bd420fcefe345f209128be3"; + }; + buildInputs = [ ModuleBuild ]; + propagatedBuildInputs = [ AnyEvent DataSExpression ]; + meta = { + description = "An Asynchronous Remote Procedure Stack"; + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + }; + }; + RSSParserLite = buildPerlPackage { pname = "RSS-Parser-Lite"; version = "0.12"; @@ -20059,6 +20090,8 @@ let sha256 = "0gy8h2bvvvlxychwsb99ikdh5cqpk6sqc073jk2b4zffs09n40ac"; }; propagatedBuildInputs = [ XMLParser XMLSAX ]; + # Avoid creating perllocal.pod, which contains a timestamp + installTargets = "pure_install"; meta = { description = "SAX Driver for Expat"; license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; diff --git a/pkgs/top-level/python-packages.nix b/pkgs/top-level/python-packages.nix index 16a40c623214..34bfb5019aa5 100644 --- a/pkgs/top-level/python-packages.nix +++ b/pkgs/top-level/python-packages.nix @@ -643,7 +643,10 @@ in { inherit (pkgs) graphviz; }; - gsd = callPackage ../development/python-modules/gsd { }; + gsd = if isPy27 then + callPackage ../development/python-modules/gsd/1.7.nix { } + else + callPackage ../development/python-modules/gsd { }; gssapi = callPackage ../development/python-modules/gssapi { inherit (pkgs) darwin krb5Full; @@ -4787,6 +4790,8 @@ in { geoalchemy2 = callPackage ../development/python-modules/geoalchemy2 { }; + geographiclib = callPackage ../development/python-modules/geographiclib { }; + geopy = callPackage ../development/python-modules/geopy { }; django-haystack = callPackage ../development/python-modules/django-haystack { }; |