about summary refs log tree commit diff
diff options
context:
space:
mode:
authorBjørn Forsman <bjorn.forsman@gmail.com>2023-11-06 21:07:29 +0100
committerBjørn Forsman <bjorn.forsman@gmail.com>2023-11-15 22:30:42 +0100
commit9008bc4eb62c878d0812105ea1b34255d651df88 (patch)
tree194d85603fa8ef10fdbb81c7276b58deaf6fc2bf
parent7a1d45811ea4420dea5b2818c9790a86c18c1170 (diff)
downloadnixlib-9008bc4eb62c878d0812105ea1b34255d651df88.tar
nixlib-9008bc4eb62c878d0812105ea1b34255d651df88.tar.gz
nixlib-9008bc4eb62c878d0812105ea1b34255d651df88.tar.bz2
nixlib-9008bc4eb62c878d0812105ea1b34255d651df88.tar.lz
nixlib-9008bc4eb62c878d0812105ea1b34255d651df88.tar.xz
nixlib-9008bc4eb62c878d0812105ea1b34255d651df88.tar.zst
nixlib-9008bc4eb62c878d0812105ea1b34255d651df88.zip
quartus-prime-lite: expose all modelsim programs
Just because nixpkgs can split upstream packages and hide certain
programs doesn't mean we should. Upstream documents that users should
add the ModelSim bin/ path to $PATH:
https://www.intel.com/content/www/us/en/docs/programmable/683200/1-2-1-and-2-0-1/setting-up-the-environment.html

This answers the comment "Should we install all executables?" -- yes.
-rw-r--r--pkgs/applications/editors/quartus-prime/default.nix3
1 files changed, 1 insertions, 2 deletions
diff --git a/pkgs/applications/editors/quartus-prime/default.nix b/pkgs/applications/editors/quartus-prime/default.nix
index d68925e752f4..278296f12d40 100644
--- a/pkgs/applications/editors/quartus-prime/default.nix
+++ b/pkgs/applications/editors/quartus-prime/default.nix
@@ -65,8 +65,7 @@ in buildFHSEnv rec {
     progs_to_wrap=(
       "${unwrapped}"/quartus/bin/*
       "${unwrapped}"/quartus/sopc_builder/bin/qsys-{generate,edit,script}
-      # Should we install all executables?
-      "${unwrapped}"/modelsim_ase/bin/{vsim,vlog,vlib,vcom,vdel,vmap}
+      "${unwrapped}"/modelsim_ase/bin/*
       "${unwrapped}"/modelsim_ase/linuxaloem/lmutil
     )