diff options
Diffstat (limited to 'nixpkgs/pkgs/development')
389 files changed, 18336 insertions, 32012 deletions
diff --git a/nixpkgs/pkgs/development/compilers/crystal/default.nix b/nixpkgs/pkgs/development/compilers/crystal/default.nix index 9f2e17dad09d..022c4e543127 100644 --- a/nixpkgs/pkgs/development/compilers/crystal/default.nix +++ b/nixpkgs/pkgs/development/compilers/crystal/default.nix @@ -199,6 +199,9 @@ let wrapProgram $bin/bin/crystal \ --suffix PATH : ${lib.makeBinPath [ pkg-config llvmPackages.clang which ]} \ --suffix CRYSTAL_PATH : lib:$lib/crystal \ + --suffix PKG_CONFIG_PATH : ${ + lib.makeSearchPathOutput "dev" "lib/pkgconfig" finalAttrs.buildInputs + } \ --suffix CRYSTAL_LIBRARY_PATH : ${ lib.makeLibraryPath finalAttrs.buildInputs } diff --git a/nixpkgs/pkgs/development/compilers/gcc/patches/default.nix b/nixpkgs/pkgs/development/compilers/gcc/patches/default.nix index 79dbad30a0a9..0afc6586511a 100644 --- a/nixpkgs/pkgs/development/compilers/gcc/patches/default.nix +++ b/nixpkgs/pkgs/development/compilers/gcc/patches/default.nix @@ -47,102 +47,43 @@ let inherit (lib) optionals optional; in -optionals (is49 || is6) [ - ./9/fix-struct-redefinition-on-glibc-2.36.patch -] ++ optionals (is49 || (is6 && !stdenv.targetPlatform.isRedox)) [ - ./use-source-date-epoch.patch -] ++ optionals (is6 && !stdenv.targetPlatform.isRedox) [ - ./6/0001-Fix-build-for-glibc-2.31.patch -] ++ optionals (!atLeast6) [ - ./parallel-bconfig.patch -] ++ optionals (is49) [ - (./. + "/${lib.versions.major version}.${lib.versions.minor version}/parallel-strsignal.patch") - (./. + "/${lib.versions.major version}.${lib.versions.minor version}/libsanitizer.patch") - (fetchpatch { - name = "avoid-ustat-glibc-2.28.patch"; - url = "https://gitweb.gentoo.org/proj/gcc-patches.git/plain/4.9.4/gentoo/100_all_avoid-ustat-glibc-2.28.patch?id=55fcb515620a8f7d3bb77eba938aa0fcf0d67c96"; - sha256 = "0b32sb4psv5lq0ij9fwhi1b4pjbwdjnv24nqprsk14dsc6xmi1g0"; - }) -] ++ optionals (is7) [ - # https://gcc.gnu.org/ml/gcc-patches/2018-02/msg00633.html - (./. + "/${majorVersion}/riscv-pthread-reentrant.patch") - # https://gcc.gnu.org/ml/gcc-patches/2018-03/msg00297.html - (./. + "/${majorVersion}/riscv-no-relax.patch") - # Fix for asan w/glibc-2.34. Although there's no upstream backport to v7, - # the patch from gcc 8 seems to work perfectly fine. - (./. + "/${majorVersion}/gcc8-asan-glibc-2.34.patch") - (./. + "/${majorVersion}/0001-Fix-build-for-glibc-2.31.patch") -] ++ optional (majorVersion == "9") ./9/fix-struct-redefinition-on-glibc-2.36.patch +# +# Patches below are organized into three general categories: +# 1. Patches relevant to gcc>=12 on every platform +# 2. Patches relevant to gcc>=12 on specific platforms +# 3. Patches relevant only to gcc<12 +# + + +## 1. Patches relevant to gcc>=12 on every platform #################################### + +[] ++ optional (atLeast6 && !atLeast12) ./fix-bug-80431.patch -++ optional (is7 || is8) ./9/fix-struct-redefinition-on-glibc-2.36.patch ++ optional (targetPlatform != hostPlatform) ./libstdc++-target.patch -++ optional (atLeast7 && !atLeast10 && targetPlatform.isNetBSD) ./libstdc++-netbsd-ctypes.patch -++ optional (noSysDirs) (if atLeast12 then ./gcc-12-no-sys-dirs.patch else ./no-sys-dirs.patch) -++ optionals (is6 && langAda) [ - ./gnat-cflags.patch - ./6/gnat-glibc234.patch -] ++ optional (noSysDirs && atLeast10 && !atLeast13 && (is10 || (!atLeast12 -> hostPlatform.isRiscV))) ./no-sys-dirs-riscv.patch -++ optional (noSysDirs && is13) ./13/no-sys-dirs-riscv.patch -++ optional (noSysDirs && is9 && hostPlatform.isRiscV) ./no-sys-dirs-riscv-gcc9.patch -++ optionals (langAda || atLeast12) [ - ./gnat-cflags-11.patch -] ++ optionals (langAda && (is9 || is10)) [ - ./gnat-cflags.patch -] ++ optionals atLeast12 [ - ./gcc-12-gfortran-driving.patch - ./ppc-musl.patch -] ++ optionals is12 [ - # backport ICE fix on ccache code - ./12/lambda-ICE-PR109241.patch -] -# We only apply this patch when building a native toolchain for aarch64-darwin, as it breaks building -# a foreign one: https://github.com/iains/gcc-12-branch/issues/18 -++ optionals (stdenv.isDarwin && stdenv.isAarch64 && buildPlatform == hostPlatform && hostPlatform == targetPlatform) ({ - "13" = [ (fetchpatch { - name = "gcc-13-darwin-aarch64-support.patch"; - url = "https://raw.githubusercontent.com/Homebrew/formula-patches/3c5cbc8e9cf444a1967786af48e430588e1eb481/gcc/gcc-13.2.0.diff"; - sha256 = "sha256-Y5r3U3dwAFG6+b0TNCFd18PNxYu2+W/5zDbZ5cHvv+U="; - }) ]; - "12" = [ (fetchurl { - name = "gcc-12-darwin-aarch64-support.patch"; - url = "https://raw.githubusercontent.com/Homebrew/formula-patches/f1188b90d610e2ed170b22512ff7435ba5c891e2/gcc/gcc-12.3.0.diff"; - sha256 = "sha256-naL5ZNiurqfDBiPSU8PTbTmLqj25B+vjjiqc4fAFgYs="; - }) ]; -}."${majorVersion}" or []) +++ optionals (noSysDirs) ( + [(if atLeast12 then ./gcc-12-no-sys-dirs.patch else ./no-sys-dirs.patch)] ++ + ({ + "13" = [ ./13/no-sys-dirs-riscv.patch ]; + "12" = [ ./no-sys-dirs-riscv.patch ]; + "11" = [ ./no-sys-dirs-riscv.patch ]; + "10" = [ ./no-sys-dirs-riscv.patch ]; + "9" = [ ./no-sys-dirs-riscv-gcc9.patch ]; + }."${majorVersion}" or []) +) +++ optional (atLeast12 && langAda) ./gnat-cflags-11.patch +++ optional langFortran (if atLeast12 then ./gcc-12-gfortran-driving.patch else ./gfortran-driving.patch) +++ optional atLeast7 ./ppc-musl.patch +++ optional is12 ./12/lambda-ICE-PR109241.patch # backport ICE fix on ccache code ++ optional (atLeast9 && langD) ./libphobos.patch -++ optional (is7 && hostPlatform != buildPlatform) (fetchpatch { # XXX: Refine when this should be applied - url = "https://git.busybox.net/buildroot/plain/package/gcc/7.1.0/0900-remove-selftests.patch?id=11271540bfe6adafbc133caf6b5b902a816f5f02"; - sha256 = "0mrvxsdwip2p3l17dscpc1x8vhdsciqw1z5q9i6p5g9yg1cqnmgs"; -}) -++ optional (!atLeast12 && langFortran) ./gfortran-driving.patch -++ optional (!atLeast49 && hostPlatform.isDarwin) ./gfortran-darwin-NXConstStr.patch -++ optionals (is49) [ - # glibc-2.26 - ./struct-ucontext.patch - ./struct-sigaltstack-4.9.patch -] -# TODO: deduplicate this with copy above -- leaving duplicated for now in order to avoid changing eval results by reordering -++ optional (atLeast7 && !atLeast12 && targetPlatform.libc == "musl" && targetPlatform.isPower) ./ppc-musl.patch -++ optional ((is6 || is7) && targetPlatform.libc == "musl" && targetPlatform.isx86_32) (fetchpatch { - url = "https://git.alpinelinux.org/aports/plain/main/gcc/gcc-6.1-musl-libssp.patch?id=5e4b96e23871ee28ef593b439f8c07ca7c7eb5bb"; - sha256 = "1jf1ciz4gr49lwyh8knfhw6l5gvfkwzjy90m7qiwkcbsf4a3fqn2"; -}) -++ optional ((is6 || is7 || is8) && !atLeast9 && targetPlatform.libc == "musl") ./libgomp-dont-force-initial-exec.patch -++ optional (is6 && langGo) ./gogcc-workaround-glibc-2.36.patch -# TODO: deduplicate this with copy above -- leaving duplicated for now in order to avoid changing eval results by reordering -++ optionals (is11 && stdenv.isDarwin) [ - (fetchpatch { - # There are no upstream release tags in https://github.com/iains/gcc-11-branch. - # ff4bf32 is the commit from https://github.com/gcc-mirror/gcc/releases/tag/releases%2Fgcc-11.4.0 - url = "https://github.com/iains/gcc-11-branch/compare/ff4bf326d03e750a8d4905ea49425fe7d15a04b8..gcc-11.4-darwin-r0.diff"; - hash = "sha256-6prPgR2eGVJs7vKd6iM1eZsEPCD1ShzLns2Z+29vlt4="; - }) -] -# https://github.com/osx-cross/homebrew-avr/issues/280#issuecomment-1272381808 -++ optional (is11 && stdenv.isDarwin && targetPlatform.isAvr) ./avr-gcc-11.3-darwin.patch + + + +## 2. Patches relevant to gcc>=12 on specific platforms #################################### + +### Musl+Go+gcc12 # backport fixes to build gccgo with musl libc -++ optionals (atLeast12 && langGo && stdenv.hostPlatform.isMusl) [ +++ optionals (stdenv.hostPlatform.isMusl && langGo && atLeast12) [ (fetchpatch { excludes = [ "gcc/go/gofrontend/MERGE" ]; url = "https://github.com/gcc-mirror/gcc/commit/cf79b1117bd177d3d4c6ed24b6fa243c3628ac2d.diff"; @@ -179,16 +120,143 @@ optionals (is49 || is6) [ }) ] + +## Darwin + # Fix detection of bootstrap compiler Ada support (cctools as) on Nix Darwin ++ optional (atLeast12 && stdenv.isDarwin && langAda) ./ada-cctools-as-detection-configure.patch # Use absolute path in GNAT dylib install names on Darwin ++ optional (atLeast12 && stdenv.isDarwin && langAda) ./gnat-darwin-dylib-install-name.patch +# We only apply this patch when building a native toolchain for aarch64-darwin, as it breaks building +# a foreign one: https://github.com/iains/gcc-12-branch/issues/18 +++ optionals (stdenv.isDarwin && stdenv.isAarch64 && buildPlatform == hostPlatform && hostPlatform == targetPlatform) ({ + "13" = [ (fetchpatch { + name = "gcc-13-darwin-aarch64-support.patch"; + url = "https://raw.githubusercontent.com/Homebrew/formula-patches/3c5cbc8e9cf444a1967786af48e430588e1eb481/gcc/gcc-13.2.0.diff"; + sha256 = "sha256-Y5r3U3dwAFG6+b0TNCFd18PNxYu2+W/5zDbZ5cHvv+U="; + }) ]; + "12" = [ (fetchurl { + name = "gcc-12-darwin-aarch64-support.patch"; + url = "https://raw.githubusercontent.com/Homebrew/formula-patches/f1188b90d610e2ed170b22512ff7435ba5c891e2/gcc/gcc-12.3.0.diff"; + sha256 = "sha256-naL5ZNiurqfDBiPSU8PTbTmLqj25B+vjjiqc4fAFgYs="; + }) ]; + "11" = [ (fetchpatch { + # There are no upstream release tags in https://github.com/iains/gcc-11-branch. + # ff4bf32 is the commit from https://github.com/gcc-mirror/gcc/releases/tag/releases%2Fgcc-11.4.0 + url = "https://github.com/iains/gcc-11-branch/compare/ff4bf326d03e750a8d4905ea49425fe7d15a04b8..gcc-11.4-darwin-r0.diff"; + hash = "sha256-6prPgR2eGVJs7vKd6iM1eZsEPCD1ShzLns2Z+29vlt4="; + }) ]; +}.${majorVersion} or []) + + +## Windows + # Obtain latest patch with ../update-mcfgthread-patches.sh ++ optional (atLeast6 && !atLeast13 && !withoutTargetLibc && targetPlatform.isMinGW && threadsCross.model == "mcf") (./. + "/${majorVersion}/Added-mcf-thread-model-support-from-mcfgthread.patch") + + + +############################################################################## +## +## 3. Patches relevant only to gcc<12 +## +## Above this point are patches which might potentially be applied +## to gcc version 12 or newer. Below this point are patches which +## will *only* be used for gcc versions older than gcc12. +## +############################################################################## + + + + +## gcc 11.0 and older ############################################################################## + +# https://github.com/osx-cross/homebrew-avr/issues/280#issuecomment-1272381808 +++ optional (is11 && stdenv.isDarwin && targetPlatform.isAvr) ./avr-gcc-11.3-darwin.patch + +# openjdk build fails without this on -march=opteron; is upstream in gcc12 +++ optionals (is11) [ ./11/gcc-issue-103910.patch ] + + + +## gcc 10.0 and older ############################################################################## + +++ optional (langAda && (is9 || is10)) ./gnat-cflags.patch +++ optional (is10 && buildPlatform.system == "aarch64-darwin" && targetPlatform != buildPlatform) (fetchpatch { + url = "https://raw.githubusercontent.com/richard-vd/musl-cross-make/5e9e87f06fc3220e102c29d3413fbbffa456fcd6/patches/gcc-${version}/0008-darwin-aarch64-self-host-driver.patch"; + sha256 = "sha256-XtykrPd5h/tsnjY1wGjzSOJ+AyyNLsfnjuOZ5Ryq9vA="; +}) + + +## gcc 9.0 and older ############################################################################## + +++ optional (majorVersion == "9") ./9/fix-struct-redefinition-on-glibc-2.36.patch +++ optional (atLeast7 && !atLeast10 && targetPlatform.isNetBSD) ./libstdc++-netbsd-ctypes.patch + + +## gcc 8.0 and older ############################################################################## + +# for 49 this is applied later +++ optional (atLeast49 && !is49 && !atLeast9) ./libsanitizer-no-cyclades-9.patch +++ optional (is7 || is8) ./9/fix-struct-redefinition-on-glibc-2.36.patch + + +## gcc 7.0 and older ############################################################################## + +++ optional (is7 && hostPlatform != buildPlatform) (fetchpatch { # XXX: Refine when this should be applied + url = "https://git.busybox.net/buildroot/plain/package/gcc/7.1.0/0900-remove-selftests.patch?id=11271540bfe6adafbc133caf6b5b902a816f5f02"; + sha256 = "0mrvxsdwip2p3l17dscpc1x8vhdsciqw1z5q9i6p5g9yg1cqnmgs"; +}) +++ optionals (is7) [ + # https://gcc.gnu.org/ml/gcc-patches/2018-02/msg00633.html + (./. + "/${majorVersion}/riscv-pthread-reentrant.patch") + # https://gcc.gnu.org/ml/gcc-patches/2018-03/msg00297.html + (./. + "/${majorVersion}/riscv-no-relax.patch") + # Fix for asan w/glibc-2.34. Although there's no upstream backport to v7, + # the patch from gcc 8 seems to work perfectly fine. + (./. + "/${majorVersion}/gcc8-asan-glibc-2.34.patch") + (./. + "/${majorVersion}/0001-Fix-build-for-glibc-2.31.patch") +] +++ optional ((is6 || is7) && targetPlatform.libc == "musl" && targetPlatform.isx86_32) (fetchpatch { + url = "https://git.alpinelinux.org/aports/plain/main/gcc/gcc-6.1-musl-libssp.patch?id=5e4b96e23871ee28ef593b439f8c07ca7c7eb5bb"; + sha256 = "1jf1ciz4gr49lwyh8knfhw6l5gvfkwzjy90m7qiwkcbsf4a3fqn2"; +}) +++ optional ((is6 || is7 || is8) && !atLeast9 && targetPlatform.libc == "musl") ./libgomp-dont-force-initial-exec.patch + + + +## gcc 6.0 and older ############################################################################## + +++ optional (is6 && langGo) ./gogcc-workaround-glibc-2.36.patch +++ optional (is49 || is6) ./9/fix-struct-redefinition-on-glibc-2.36.patch +++ optional (is49 || (is6 && !stdenv.targetPlatform.isRedox)) ./use-source-date-epoch.patch +++ optional (is6 && !stdenv.targetPlatform.isRedox) ./6/0001-Fix-build-for-glibc-2.31.patch +++ optionals (is6 && langAda) [ + ./gnat-cflags.patch + ./6/gnat-glibc234.patch +] + +## gcc 4.9 and older ############################################################################## + +++ optional (!atLeast6) ./parallel-bconfig.patch +++ optionals (is49) [ + (./. + "/${lib.versions.major version}.${lib.versions.minor version}/parallel-strsignal.patch") + (./. + "/${lib.versions.major version}.${lib.versions.minor version}/libsanitizer.patch") + (fetchpatch { + name = "avoid-ustat-glibc-2.28.patch"; + url = "https://gitweb.gentoo.org/proj/gcc-patches.git/plain/4.9.4/gentoo/100_all_avoid-ustat-glibc-2.28.patch?id=55fcb515620a8f7d3bb77eba938aa0fcf0d67c96"; + sha256 = "0b32sb4psv5lq0ij9fwhi1b4pjbwdjnv24nqprsk14dsc6xmi1g0"; + }) + # has to be applied after "avoid-ustat-glibc-2.28.patch" + ./libsanitizer-no-cyclades-9.patch + # glibc-2.26 + ./struct-ucontext.patch + ./struct-sigaltstack-4.9.patch +] # Retpoline patches pulled from the branch hjl/indirect/gcc-4_9-branch (by H.J. Lu, the author of GCC upstream retpoline commits) ++ optionals is49 (builtins.map ({commit, sha256}: fetchpatch {url = "https://github.com/hjl-tools/gcc/commit/${commit}.patch"; inherit sha256;}) @@ -206,7 +274,6 @@ optionals (is49 || is6) [ { commit = "1e961ed49b18e176c7457f53df2433421387c23b"; sha256 = "04dnqqs4qsvz4g8cq6db5id41kzys7hzhcaycwmc9rpqygs2ajwz"; } { commit = "e137c72d099f9b3b47f4cc718aa11eab14df1a9c"; sha256 = "1ms0dmz74yf6kwgjfs4d2fhj8y6mcp2n184r3jk44wx2xc24vgb2"; }]) -++ optional (atLeast49 && !atLeast9) ./libsanitizer-no-cyclades-9.patch ++ optional (is49 && !atLeast6) [ # gcc-11 compatibility (fetchpatch { @@ -217,14 +284,11 @@ optionals (is49 || is6) [ }) ] -# openjdk build fails without this on -march=opteron; is upstream in gcc12 -++ optionals (is11) [ ./11/gcc-issue-103910.patch ] -++ optional (is10 && buildPlatform.system == "aarch64-darwin" && targetPlatform != buildPlatform) (fetchpatch { - url = "https://raw.githubusercontent.com/richard-vd/musl-cross-make/5e9e87f06fc3220e102c29d3413fbbffa456fcd6/patches/gcc-${version}/0008-darwin-aarch64-self-host-driver.patch"; - sha256 = "sha256-XtykrPd5h/tsnjY1wGjzSOJ+AyyNLsfnjuOZ5Ryq9vA="; -}) -++ lib.optionals is48 [ +## gcc 4.8 only ############################################################################## + +++ optional (!atLeast49 && hostPlatform.isDarwin) ./gfortran-darwin-NXConstStr.patch +++ optionals is48 [ (fetchpatch { name = "libc_name_p.diff"; # needed to build with gcc6 url = "https://gcc.gnu.org/git/?p=gcc.git;a=commitdiff_plain;h=ec1cc0263f1"; diff --git a/nixpkgs/pkgs/development/compilers/go/1.20.nix b/nixpkgs/pkgs/development/compilers/go/1.20.nix index 3364ea354019..26fbc9e7df2e 100644 --- a/nixpkgs/pkgs/development/compilers/go/1.20.nix +++ b/nixpkgs/pkgs/development/compilers/go/1.20.nix @@ -46,11 +46,11 @@ let in stdenv.mkDerivation rec { pname = "go"; - version = "1.20.7"; + version = "1.20.8"; src = fetchurl { url = "https://go.dev/dl/go${version}.src.tar.gz"; - hash = "sha256-LF7pyeweczsNu8K9/tP2IwblHYFyvzj09OVCsnUg9Zc="; + hash = "sha256-ONcXFPpSeflyQEUZVtjkfjwbal3ny4QTeUnWK13TGC4="; }; strictDeps = true; diff --git a/nixpkgs/pkgs/development/compilers/go/1.21.nix b/nixpkgs/pkgs/development/compilers/go/1.21.nix index 56da1bc52dde..ef935e73f61f 100644 --- a/nixpkgs/pkgs/development/compilers/go/1.21.nix +++ b/nixpkgs/pkgs/development/compilers/go/1.21.nix @@ -64,10 +64,6 @@ stdenv.mkDerivation rec { depsTargetTarget = lib.optional stdenv.targetPlatform.isWindows threadsCross.package; - postPatch = '' - patchShebangs . - ''; - patches = [ (substituteAll { src = ./iana-etc-1.17.patch; @@ -92,8 +88,6 @@ stdenv.mkDerivation rec { GOOS = stdenv.targetPlatform.parsed.kernel.name; GOARCH = goarch stdenv.targetPlatform; # GOHOSTOS/GOHOSTARCH must match the building system, not the host system. - # Go will nevertheless build a for host system that we will copy over in - # the install phase. GOHOSTOS = stdenv.buildPlatform.parsed.kernel.name; GOHOSTARCH = goarch stdenv.buildPlatform; @@ -116,14 +110,16 @@ stdenv.mkDerivation rec { GOROOT_BOOTSTRAP = if useGccGoBootstrap then goBootstrap else "${goBootstrap}/share/go"; + # Note that we use distpack to avoid moving around cross-compiled binaries. + # The paths are slightly different when buildPlatform != hostPlatform and + # distpack handles assembling outputs in the right place, same as the official + # Go binary releases. See also https://pkg.go.dev/cmd/distpack buildPhase = '' runHook preBuild export GOCACHE=$TMPDIR/go-cache # this is compiled into the binary export GOROOT_FINAL=$out/share/go - export PATH=$(pwd)/bin:$PATH - ${lib.optionalString isCross '' # Independent from host/target, CC should produce code for the building system. # We only set it when cross-compiling. @@ -132,34 +128,16 @@ stdenv.mkDerivation rec { ulimit -a pushd src - ./make.bash + bash make.bash -no-banner -distpack popd runHook postBuild ''; - preInstall = '' - # Contains the wrong perl shebang when cross compiling, - # since it is not used for anything we can deleted as well. - rm src/regexp/syntax/make_perl_groups.pl - '' + (if (stdenv.buildPlatform.system != stdenv.hostPlatform.system) then '' - mv bin/*_*/* bin - rmdir bin/*_* - ${lib.optionalString (!(GOHOSTARCH == GOARCH && GOOS == GOHOSTOS)) '' - rm -rf pkg/${GOHOSTOS}_${GOHOSTARCH} pkg/tool/${GOHOSTOS}_${GOHOSTARCH} - ''} - '' else lib.optionalString (stdenv.hostPlatform.system != stdenv.targetPlatform.system) '' - rm -rf bin/*_* - ${lib.optionalString (!(GOHOSTARCH == GOARCH && GOOS == GOHOSTOS)) '' - rm -rf pkg/${GOOS}_${GOARCH} pkg/tool/${GOOS}_${GOARCH} - ''} - ''); - installPhase = '' runHook preInstall - mkdir -p $GOROOT_FINAL - cp -a bin pkg src lib misc api doc go.env $GOROOT_FINAL - mkdir -p $out/bin - ln -s $GOROOT_FINAL/bin/* $out/bin + mkdir -p $out/{share,bin} + tar -C $out/share -x -z -f "pkg/distpack/go${version}.$GOOS-$GOARCH.tar.gz" + ln -s $out/share/go/bin/* $out/bin runHook postInstall ''; diff --git a/nixpkgs/pkgs/development/compilers/llvm/10/bintools/default.nix b/nixpkgs/pkgs/development/compilers/llvm/10/bintools/default.nix deleted file mode 100644 index 38002439c205..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/10/bintools/default.nix +++ /dev/null @@ -1,34 +0,0 @@ -{ lib, runCommand, stdenv, llvm, lld, version }: - -let - prefix = lib.optionalString (stdenv.hostPlatform != stdenv.targetPlatform) "${stdenv.targetPlatform.config}-"; -in runCommand "llvm-binutils-${version}" { - preferLocalBuild = true; - passthru = { - isLLVM = true; - }; -} '' - mkdir -p $out/bin - for prog in ${lld}/bin/*; do - ln -s $prog $out/bin/${prefix}$(basename $prog) - done - for prog in ${llvm}/bin/*; do - ln -sf $prog $out/bin/${prefix}$(basename $prog) - done - - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ar - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}dlltool - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ranlib - ln -s ${llvm}/bin/llvm-cxxfilt $out/bin/${prefix}c++filt - ln -s ${llvm}/bin/llvm-dwp $out/bin/${prefix}dwp - ln -s ${llvm}/bin/llvm-nm $out/bin/${prefix}nm - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}objcopy - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}strip - ln -s ${llvm}/bin/llvm-objdump $out/bin/${prefix}objdump - ln -s ${llvm}/bin/llvm-readobj $out/bin/${prefix}readelf - ln -s ${llvm}/bin/llvm-size $out/bin/${prefix}size - ln -s ${llvm}/bin/llvm-strings $out/bin/${prefix}strings - ln -s ${llvm}/bin/llvm-symbolizer $out/bin/${prefix}addr2line - - ln -s ${lld}/bin/lld $out/bin/${prefix}ld -'' diff --git a/nixpkgs/pkgs/development/compilers/llvm/10/compiler-rt/default.nix b/nixpkgs/pkgs/development/compilers/llvm/10/compiler-rt/default.nix index 6aed5d219a57..3702509d7756 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/10/compiler-rt/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/10/compiler-rt/default.nix @@ -55,7 +55,7 @@ stdenv.mkDerivation { outputs = [ "out" "dev" ]; patches = [ - ./codesign.patch # Revert compiler-rt commit that makes codesign mandatory + ../../common/compiler-rt/7-12-codesign.patch # Revert compiler-rt commit that makes codesign mandatory ./find-darwin-sdk-version.patch # don't test for macOS being >= 10.15 ./gnu-install-dirs.patch ../../common/compiler-rt/libsanitizer-no-cyclades-11.patch diff --git a/nixpkgs/pkgs/development/compilers/llvm/10/default.nix b/nixpkgs/pkgs/development/compilers/llvm/10/default.nix index c1ea3a86342f..37c32e425c63 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/10/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/10/default.nix @@ -137,7 +137,7 @@ let ./lldb/procfs.patch ./lldb/gnu-install-dirs.patch ]; - inherit llvm_meta release_version; + inherit llvm_meta; }; # Below, is the LLVM bootstrapping logic. It handles building a @@ -147,7 +147,7 @@ let # doesn’t support like LLVM. Probably we should move to some other # file. - bintools-unwrapped = callPackage ./bintools {}; + bintools-unwrapped = callPackage ../common/bintools.nix { }; bintoolsNoLibc = wrapBintoolsWith { bintools = tools.bintools-unwrapped; diff --git a/nixpkgs/pkgs/development/compilers/llvm/10/libcxxabi/default.nix b/nixpkgs/pkgs/development/compilers/llvm/10/libcxxabi/default.nix index d59191dfd724..c4eba56a6b81 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/10/libcxxabi/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/10/libcxxabi/default.nix @@ -19,11 +19,11 @@ stdenv.mkDerivation { '' + lib.optionalString stdenv.hostPlatform.isMusl '' patch -p1 -d $(ls -d libcxx-*) -i ${../../libcxx-0001-musl-hacks.patch} '' + lib.optionalString stdenv.hostPlatform.isWasm '' - patch -p1 -d $(ls -d llvm-*) -i ${./wasm.patch} + patch -p1 -d $(ls -d llvm-*) -i ${../../common/libcxxabi/wasm.patch} ''; patches = [ - ./no-threads.patch + ../../common/libcxxabi/no-threads.patch ./gnu-install-dirs.patch ]; diff --git a/nixpkgs/pkgs/development/compilers/llvm/11/bintools/default.nix b/nixpkgs/pkgs/development/compilers/llvm/11/bintools/default.nix deleted file mode 100644 index 38002439c205..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/11/bintools/default.nix +++ /dev/null @@ -1,34 +0,0 @@ -{ lib, runCommand, stdenv, llvm, lld, version }: - -let - prefix = lib.optionalString (stdenv.hostPlatform != stdenv.targetPlatform) "${stdenv.targetPlatform.config}-"; -in runCommand "llvm-binutils-${version}" { - preferLocalBuild = true; - passthru = { - isLLVM = true; - }; -} '' - mkdir -p $out/bin - for prog in ${lld}/bin/*; do - ln -s $prog $out/bin/${prefix}$(basename $prog) - done - for prog in ${llvm}/bin/*; do - ln -sf $prog $out/bin/${prefix}$(basename $prog) - done - - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ar - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}dlltool - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ranlib - ln -s ${llvm}/bin/llvm-cxxfilt $out/bin/${prefix}c++filt - ln -s ${llvm}/bin/llvm-dwp $out/bin/${prefix}dwp - ln -s ${llvm}/bin/llvm-nm $out/bin/${prefix}nm - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}objcopy - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}strip - ln -s ${llvm}/bin/llvm-objdump $out/bin/${prefix}objdump - ln -s ${llvm}/bin/llvm-readobj $out/bin/${prefix}readelf - ln -s ${llvm}/bin/llvm-size $out/bin/${prefix}size - ln -s ${llvm}/bin/llvm-strings $out/bin/${prefix}strings - ln -s ${llvm}/bin/llvm-symbolizer $out/bin/${prefix}addr2line - - ln -s ${lld}/bin/lld $out/bin/${prefix}ld -'' diff --git a/nixpkgs/pkgs/development/compilers/llvm/11/compiler-rt/codesign.patch b/nixpkgs/pkgs/development/compilers/llvm/11/compiler-rt/codesign.patch deleted file mode 100644 index 3cc12b94b200..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/11/compiler-rt/codesign.patch +++ /dev/null @@ -1,33 +0,0 @@ -From 3dec5f3475a26aeb4678627795c4b67c6b7b4785 Mon Sep 17 00:00:00 2001 -From: Will Dietz <w@wdtz.org> -Date: Tue, 19 Sep 2017 13:13:06 -0500 -Subject: [PATCH] remove codesign use on Apple, disable ios sim testing that - needs it - ---- - cmake/Modules/AddCompilerRT.cmake | 8 ------ - test/asan/CMakeLists.txt | 52 --------------------------------------- - test/tsan/CMakeLists.txt | 47 ----------------------------------- - 3 files changed, 107 deletions(-) - -diff --git a/cmake/Modules/AddCompilerRT.cmake b/cmake/Modules/AddCompilerRT.cmake -index bc5fb9ff7..b64eb4246 100644 ---- a/cmake/Modules/AddCompilerRT.cmake -+++ b/cmake/Modules/AddCompilerRT.cmake -@@ -210,14 +210,6 @@ function(add_compiler_rt_runtime name type) - set_target_properties(${libname} PROPERTIES IMPORT_PREFIX "") - set_target_properties(${libname} PROPERTIES IMPORT_SUFFIX ".lib") - endif() -- if(APPLE) -- # Ad-hoc sign the dylibs -- add_custom_command(TARGET ${libname} -- POST_BUILD -- COMMAND codesign --sign - $<TARGET_FILE:${libname}> -- WORKING_DIRECTORY ${COMPILER_RT_LIBRARY_OUTPUT_DIR} -- ) -- endif() - endif() - install(TARGETS ${libname} - ARCHIVE DESTINATION ${COMPILER_RT_LIBRARY_INSTALL_DIR} -2.14.1 - diff --git a/nixpkgs/pkgs/development/compilers/llvm/11/compiler-rt/default.nix b/nixpkgs/pkgs/development/compilers/llvm/11/compiler-rt/default.nix index aaea3bf9e0d2..38dc7a0fbdc8 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/11/compiler-rt/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/11/compiler-rt/default.nix @@ -56,7 +56,7 @@ stdenv.mkDerivation { outputs = [ "out" "dev" ]; patches = [ - ./codesign.patch # Revert compiler-rt commit that makes codesign mandatory + ../../common/compiler-rt/7-12-codesign.patch # Revert compiler-rt commit that makes codesign mandatory ./X86-support-extension.patch # Add support for i486 i586 i686 by reusing i386 config ./gnu-install-dirs.patch # ld-wrapper dislikes `-rpath-link //nix/store`, so we normalize away the diff --git a/nixpkgs/pkgs/development/compilers/llvm/11/default.nix b/nixpkgs/pkgs/development/compilers/llvm/11/default.nix index acb649cdab0b..6ce7d86c835e 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/11/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/11/default.nix @@ -152,7 +152,7 @@ let ./lldb/procfs.patch ./lldb/gnu-install-dirs.patch ]; - inherit llvm_meta release_version; + inherit llvm_meta; }; # Below, is the LLVM bootstrapping logic. It handles building a @@ -162,7 +162,7 @@ let # doesn’t support like LLVM. Probably we should move to some other # file. - bintools-unwrapped = callPackage ./bintools {}; + bintools-unwrapped = callPackage ../common/bintools.nix { }; bintoolsNoLibc = wrapBintoolsWith { bintools = tools.bintools-unwrapped; diff --git a/nixpkgs/pkgs/development/compilers/llvm/11/libcxxabi/default.nix b/nixpkgs/pkgs/development/compilers/llvm/11/libcxxabi/default.nix index 77f7e1411885..8a0a18f67822 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/11/libcxxabi/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/11/libcxxabi/default.nix @@ -22,11 +22,11 @@ stdenv.mkDerivation { '' + lib.optionalString stdenv.hostPlatform.isMusl '' patch -p1 -d libcxx -i ${../../libcxx-0001-musl-hacks.patch} '' + lib.optionalString stdenv.hostPlatform.isWasm '' - patch -p1 -d llvm -i ${./wasm.patch} + patch -p1 -d llvm -i ${../../common/libcxxabi/wasm.patch} ''; patches = [ - ./no-threads.patch + ../../common/libcxxabi/no-threads.patch ./gnu-install-dirs.patch ]; diff --git a/nixpkgs/pkgs/development/compilers/llvm/11/libcxxabi/no-threads.patch b/nixpkgs/pkgs/development/compilers/llvm/11/libcxxabi/no-threads.patch deleted file mode 100644 index 787f3e16500e..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/11/libcxxabi/no-threads.patch +++ /dev/null @@ -1,12 +0,0 @@ -diff --git a/CMakeLists.txt b/CMakeLists.txt -index 4138acf..41b4763 100644 ---- a/CMakeLists.txt -+++ b/CMakeLists.txt -@@ -362,6 +362,7 @@ if (NOT LIBCXXABI_ENABLE_THREADS) - " is also set to ON.") - endif() - add_definitions(-D_LIBCXXABI_HAS_NO_THREADS) -+ add_definitions(-D_LIBCPP_HAS_NO_THREADS) - endif() - - if (LIBCXXABI_HAS_EXTERNAL_THREAD_API) diff --git a/nixpkgs/pkgs/development/compilers/llvm/11/libcxxabi/wasm.patch b/nixpkgs/pkgs/development/compilers/llvm/11/libcxxabi/wasm.patch deleted file mode 100644 index 4ebfe46aa813..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/11/libcxxabi/wasm.patch +++ /dev/null @@ -1,16 +0,0 @@ -diff --git a/cmake/modules/HandleLLVMOptions.cmake b/cmake/modules/HandleLLVMOptions.cmake -index 15497d405e0..33f7f18193a 100644 ---- a/cmake/modules/HandleLLVMOptions.cmake -+++ b/cmake/modules/HandleLLVMOptions.cmake -@@ -127,7 +127,10 @@ else(WIN32) - set(LLVM_HAVE_LINK_VERSION_SCRIPT 1) - endif() - else(FUCHSIA OR UNIX) -- MESSAGE(SEND_ERROR "Unable to determine platform") -+ if(${CMAKE_SYSTEM_NAME} MATCHES "Wasi") -+ else() -+ MESSAGE(SEND_ERROR "Unable to determine platform") -+ endif() - endif(FUCHSIA OR UNIX) - endif(WIN32) - diff --git a/nixpkgs/pkgs/development/compilers/llvm/12/bintools/default.nix b/nixpkgs/pkgs/development/compilers/llvm/12/bintools/default.nix deleted file mode 100644 index 38002439c205..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/12/bintools/default.nix +++ /dev/null @@ -1,34 +0,0 @@ -{ lib, runCommand, stdenv, llvm, lld, version }: - -let - prefix = lib.optionalString (stdenv.hostPlatform != stdenv.targetPlatform) "${stdenv.targetPlatform.config}-"; -in runCommand "llvm-binutils-${version}" { - preferLocalBuild = true; - passthru = { - isLLVM = true; - }; -} '' - mkdir -p $out/bin - for prog in ${lld}/bin/*; do - ln -s $prog $out/bin/${prefix}$(basename $prog) - done - for prog in ${llvm}/bin/*; do - ln -sf $prog $out/bin/${prefix}$(basename $prog) - done - - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ar - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}dlltool - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ranlib - ln -s ${llvm}/bin/llvm-cxxfilt $out/bin/${prefix}c++filt - ln -s ${llvm}/bin/llvm-dwp $out/bin/${prefix}dwp - ln -s ${llvm}/bin/llvm-nm $out/bin/${prefix}nm - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}objcopy - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}strip - ln -s ${llvm}/bin/llvm-objdump $out/bin/${prefix}objdump - ln -s ${llvm}/bin/llvm-readobj $out/bin/${prefix}readelf - ln -s ${llvm}/bin/llvm-size $out/bin/${prefix}size - ln -s ${llvm}/bin/llvm-strings $out/bin/${prefix}strings - ln -s ${llvm}/bin/llvm-symbolizer $out/bin/${prefix}addr2line - - ln -s ${lld}/bin/lld $out/bin/${prefix}ld -'' diff --git a/nixpkgs/pkgs/development/compilers/llvm/12/compiler-rt/codesign.patch b/nixpkgs/pkgs/development/compilers/llvm/12/compiler-rt/codesign.patch deleted file mode 100644 index 3cc12b94b200..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/12/compiler-rt/codesign.patch +++ /dev/null @@ -1,33 +0,0 @@ -From 3dec5f3475a26aeb4678627795c4b67c6b7b4785 Mon Sep 17 00:00:00 2001 -From: Will Dietz <w@wdtz.org> -Date: Tue, 19 Sep 2017 13:13:06 -0500 -Subject: [PATCH] remove codesign use on Apple, disable ios sim testing that - needs it - ---- - cmake/Modules/AddCompilerRT.cmake | 8 ------ - test/asan/CMakeLists.txt | 52 --------------------------------------- - test/tsan/CMakeLists.txt | 47 ----------------------------------- - 3 files changed, 107 deletions(-) - -diff --git a/cmake/Modules/AddCompilerRT.cmake b/cmake/Modules/AddCompilerRT.cmake -index bc5fb9ff7..b64eb4246 100644 ---- a/cmake/Modules/AddCompilerRT.cmake -+++ b/cmake/Modules/AddCompilerRT.cmake -@@ -210,14 +210,6 @@ function(add_compiler_rt_runtime name type) - set_target_properties(${libname} PROPERTIES IMPORT_PREFIX "") - set_target_properties(${libname} PROPERTIES IMPORT_SUFFIX ".lib") - endif() -- if(APPLE) -- # Ad-hoc sign the dylibs -- add_custom_command(TARGET ${libname} -- POST_BUILD -- COMMAND codesign --sign - $<TARGET_FILE:${libname}> -- WORKING_DIRECTORY ${COMPILER_RT_LIBRARY_OUTPUT_DIR} -- ) -- endif() - endif() - install(TARGETS ${libname} - ARCHIVE DESTINATION ${COMPILER_RT_LIBRARY_INSTALL_DIR} -2.14.1 - diff --git a/nixpkgs/pkgs/development/compilers/llvm/12/compiler-rt/default.nix b/nixpkgs/pkgs/development/compilers/llvm/12/compiler-rt/default.nix index 82c190fa0d64..02c20016bad0 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/12/compiler-rt/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/12/compiler-rt/default.nix @@ -57,7 +57,7 @@ stdenv.mkDerivation { outputs = [ "out" "dev" ]; patches = [ - ./codesign.patch # Revert compiler-rt commit that makes codesign mandatory + ../../common/compiler-rt/7-12-codesign.patch # Revert compiler-rt commit that makes codesign mandatory ./X86-support-extension.patch # Add support for i486 i586 i686 by reusing i386 config ./gnu-install-dirs.patch # ld-wrapper dislikes `-rpath-link //nix/store`, so we normalize away the diff --git a/nixpkgs/pkgs/development/compilers/llvm/12/default.nix b/nixpkgs/pkgs/development/compilers/llvm/12/default.nix index e390149f0dad..b976dd2ee67a 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/12/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/12/default.nix @@ -139,19 +139,20 @@ let src = fetch "lldb" "0g3pj1m3chafavpr35r9fynm85y2hdyla6klj0h28khxs2613i78"; patches = let - resourceDirPatch = callPackage ({ runCommand, libclang }: (runCommand "resource-dir.patch" - { - clangLibDir = "${libclang.lib}/lib"; - } '' - substitute '${./lldb/resource-dir.patch}' "$out" --subst-var clangLibDir - '')) { }; + resourceDirPatch = callPackage + ({ substituteAll, libclang }: substituteAll + { + src = ./lldb/resource-dir.patch; + clangLibDir = "${libclang.lib}/lib"; + }) + { }; in [ ./lldb/procfs.patch resourceDirPatch ./lldb/gnu-install-dirs.patch ]; - inherit llvm_meta release_version; + inherit llvm_meta; }; # Below, is the LLVM bootstrapping logic. It handles building a @@ -161,7 +162,7 @@ let # doesn’t support like LLVM. Probably we should move to some other # file. - bintools-unwrapped = callPackage ./bintools {}; + bintools-unwrapped = callPackage ../common/bintools.nix { }; bintoolsNoLibc = wrapBintoolsWith { bintools = tools.bintools-unwrapped; diff --git a/nixpkgs/pkgs/development/compilers/llvm/12/libcxxabi/default.nix b/nixpkgs/pkgs/development/compilers/llvm/12/libcxxabi/default.nix index bab39a5272c8..78a4a68d6a16 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/12/libcxxabi/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/12/libcxxabi/default.nix @@ -22,7 +22,7 @@ stdenv.mkDerivation { '' + lib.optionalString stdenv.hostPlatform.isMusl '' patch -p1 -d libcxx -i ${../../libcxx-0001-musl-hacks.patch} '' + lib.optionalString stdenv.hostPlatform.isWasm '' - patch -p1 -d llvm -i ${./wasm.patch} + patch -p1 -d llvm -i ${../../common/libcxxabi/wasm.patch} ''; patches = [ diff --git a/nixpkgs/pkgs/development/compilers/llvm/12/libcxxabi/wasm.patch b/nixpkgs/pkgs/development/compilers/llvm/12/libcxxabi/wasm.patch deleted file mode 100644 index 4ebfe46aa813..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/12/libcxxabi/wasm.patch +++ /dev/null @@ -1,16 +0,0 @@ -diff --git a/cmake/modules/HandleLLVMOptions.cmake b/cmake/modules/HandleLLVMOptions.cmake -index 15497d405e0..33f7f18193a 100644 ---- a/cmake/modules/HandleLLVMOptions.cmake -+++ b/cmake/modules/HandleLLVMOptions.cmake -@@ -127,7 +127,10 @@ else(WIN32) - set(LLVM_HAVE_LINK_VERSION_SCRIPT 1) - endif() - else(FUCHSIA OR UNIX) -- MESSAGE(SEND_ERROR "Unable to determine platform") -+ if(${CMAKE_SYSTEM_NAME} MATCHES "Wasi") -+ else() -+ MESSAGE(SEND_ERROR "Unable to determine platform") -+ endif() - endif(FUCHSIA OR UNIX) - endif(WIN32) - diff --git a/nixpkgs/pkgs/development/compilers/llvm/13/bintools/default.nix b/nixpkgs/pkgs/development/compilers/llvm/13/bintools/default.nix deleted file mode 100644 index b69f4bd12917..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/13/bintools/default.nix +++ /dev/null @@ -1,35 +0,0 @@ -{ lib, runCommand, stdenv, llvm, lld, version }: - -let - prefix = lib.optionalString (stdenv.hostPlatform != stdenv.targetPlatform) "${stdenv.targetPlatform.config}-"; -in runCommand "llvm-binutils-${version}" { - preferLocalBuild = true; - passthru = { - isLLVM = true; - }; -} '' - mkdir -p $out/bin - for prog in ${lld}/bin/*; do - ln -s $prog $out/bin/${prefix}$(basename $prog) - done - for prog in ${llvm}/bin/*; do - ln -sf $prog $out/bin/${prefix}$(basename $prog) - done - - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ar - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}dlltool - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ranlib - ln -s ${llvm}/bin/llvm-cxxfilt $out/bin/${prefix}c++filt - ln -s ${llvm}/bin/llvm-dwp $out/bin/${prefix}dwp - ln -s ${llvm}/bin/llvm-nm $out/bin/${prefix}nm - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}objcopy - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}strip - ln -s ${llvm}/bin/llvm-objdump $out/bin/${prefix}objdump - ln -s ${llvm}/bin/llvm-rc $out/bin/${prefix}windres - ln -s ${llvm}/bin/llvm-readobj $out/bin/${prefix}readelf - ln -s ${llvm}/bin/llvm-size $out/bin/${prefix}size - ln -s ${llvm}/bin/llvm-strings $out/bin/${prefix}strings - ln -s ${llvm}/bin/llvm-symbolizer $out/bin/${prefix}addr2line - - ln -s ${lld}/bin/lld $out/bin/${prefix}ld -'' diff --git a/nixpkgs/pkgs/development/compilers/llvm/13/default.nix b/nixpkgs/pkgs/development/compilers/llvm/13/default.nix index 0432dcd14316..3cf7cd711ebe 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/13/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/13/default.nix @@ -138,12 +138,13 @@ let lldb = callPackage ../common/lldb.nix { patches = let - resourceDirPatch = callPackage ({ runCommand, libclang }: (runCommand "resource-dir.patch" - { - clangLibDir = "${libclang.lib}/lib"; - } '' - substitute '${./lldb/resource-dir.patch}' "$out" --subst-var clangLibDir - '')) { }; + resourceDirPatch = callPackage + ({ substituteAll, libclang }: substituteAll + { + src = ./lldb/resource-dir.patch; + clangLibDir = "${libclang.lib}/lib"; + }) + { }; in [ ./lldb/procfs.patch @@ -164,7 +165,7 @@ let && !stdenv.targetPlatform.isAarch64 && (lib.versionOlder darwin.apple_sdk.sdk.version "11.0") ) ./lldb/cpu_subtype_arm64e_replacement.patch; - inherit llvm_meta release_version; + inherit llvm_meta; }; # Below, is the LLVM bootstrapping logic. It handles building a @@ -174,7 +175,7 @@ let # doesn’t support like LLVM. Probably we should move to some other # file. - bintools-unwrapped = callPackage ./bintools {}; + bintools-unwrapped = callPackage ../common/bintools.nix { }; bintoolsNoLibc = wrapBintoolsWith { bintools = tools.bintools-unwrapped; diff --git a/nixpkgs/pkgs/development/compilers/llvm/13/libcxxabi/default.nix b/nixpkgs/pkgs/development/compilers/llvm/13/libcxxabi/default.nix index b1b57b3050ad..50f09831e974 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/13/libcxxabi/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/13/libcxxabi/default.nix @@ -16,7 +16,7 @@ stdenv.mkDerivation rec { postUnpack = lib.optionalString stdenv.isDarwin '' export TRIPLE=x86_64-apple-darwin '' + lib.optionalString stdenv.hostPlatform.isWasm '' - patch -p1 -d llvm -i ${./wasm.patch} + patch -p1 -d llvm -i ${../../common/libcxxabi/wasm.patch} ''; patches = [ diff --git a/nixpkgs/pkgs/development/compilers/llvm/13/libcxxabi/wasm.patch b/nixpkgs/pkgs/development/compilers/llvm/13/libcxxabi/wasm.patch deleted file mode 100644 index 4ebfe46aa813..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/13/libcxxabi/wasm.patch +++ /dev/null @@ -1,16 +0,0 @@ -diff --git a/cmake/modules/HandleLLVMOptions.cmake b/cmake/modules/HandleLLVMOptions.cmake -index 15497d405e0..33f7f18193a 100644 ---- a/cmake/modules/HandleLLVMOptions.cmake -+++ b/cmake/modules/HandleLLVMOptions.cmake -@@ -127,7 +127,10 @@ else(WIN32) - set(LLVM_HAVE_LINK_VERSION_SCRIPT 1) - endif() - else(FUCHSIA OR UNIX) -- MESSAGE(SEND_ERROR "Unable to determine platform") -+ if(${CMAKE_SYSTEM_NAME} MATCHES "Wasi") -+ else() -+ MESSAGE(SEND_ERROR "Unable to determine platform") -+ endif() - endif(FUCHSIA OR UNIX) - endif(WIN32) - diff --git a/nixpkgs/pkgs/development/compilers/llvm/14/bintools/default.nix b/nixpkgs/pkgs/development/compilers/llvm/14/bintools/default.nix deleted file mode 100644 index b69f4bd12917..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/14/bintools/default.nix +++ /dev/null @@ -1,35 +0,0 @@ -{ lib, runCommand, stdenv, llvm, lld, version }: - -let - prefix = lib.optionalString (stdenv.hostPlatform != stdenv.targetPlatform) "${stdenv.targetPlatform.config}-"; -in runCommand "llvm-binutils-${version}" { - preferLocalBuild = true; - passthru = { - isLLVM = true; - }; -} '' - mkdir -p $out/bin - for prog in ${lld}/bin/*; do - ln -s $prog $out/bin/${prefix}$(basename $prog) - done - for prog in ${llvm}/bin/*; do - ln -sf $prog $out/bin/${prefix}$(basename $prog) - done - - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ar - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}dlltool - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ranlib - ln -s ${llvm}/bin/llvm-cxxfilt $out/bin/${prefix}c++filt - ln -s ${llvm}/bin/llvm-dwp $out/bin/${prefix}dwp - ln -s ${llvm}/bin/llvm-nm $out/bin/${prefix}nm - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}objcopy - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}strip - ln -s ${llvm}/bin/llvm-objdump $out/bin/${prefix}objdump - ln -s ${llvm}/bin/llvm-rc $out/bin/${prefix}windres - ln -s ${llvm}/bin/llvm-readobj $out/bin/${prefix}readelf - ln -s ${llvm}/bin/llvm-size $out/bin/${prefix}size - ln -s ${llvm}/bin/llvm-strings $out/bin/${prefix}strings - ln -s ${llvm}/bin/llvm-symbolizer $out/bin/${prefix}addr2line - - ln -s ${lld}/bin/lld $out/bin/${prefix}ld -'' diff --git a/nixpkgs/pkgs/development/compilers/llvm/14/default.nix b/nixpkgs/pkgs/development/compilers/llvm/14/default.nix index 75a52b609605..b9a74e0f070a 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/14/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/14/default.nix @@ -139,12 +139,13 @@ let lldb = callPackage ../common/lldb.nix { patches = let - resourceDirPatch = callPackage ({ runCommand, libclang }: (runCommand "resource-dir.patch" - { - clangLibDir = "${libclang.lib}/lib"; - } '' - substitute '${./lldb/resource-dir.patch}' "$out" --subst-var clangLibDir - '')) { }; + resourceDirPatch = callPackage + ({ substituteAll, libclang }: substituteAll + { + src = ./lldb/resource-dir.patch; + clangLibDir = "${libclang.lib}/lib"; + }) + { }; in [ ./lldb/procfs.patch @@ -165,7 +166,7 @@ let && !stdenv.targetPlatform.isAarch64 && (lib.versionOlder darwin.apple_sdk.sdk.version "11.0") ) ./lldb/cpu_subtype_arm64e_replacement.patch; - inherit llvm_meta release_version; + inherit llvm_meta; }; # Below, is the LLVM bootstrapping logic. It handles building a @@ -175,7 +176,7 @@ let # doesn’t support like LLVM. Probably we should move to some other # file. - bintools-unwrapped = callPackage ./bintools {}; + bintools-unwrapped = callPackage ../common/bintools.nix { }; bintoolsNoLibc = wrapBintoolsWith { bintools = tools.bintools-unwrapped; diff --git a/nixpkgs/pkgs/development/compilers/llvm/14/libcxxabi/default.nix b/nixpkgs/pkgs/development/compilers/llvm/14/libcxxabi/default.nix index 30cc34a8c0e6..0f7cec3695d6 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/14/libcxxabi/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/14/libcxxabi/default.nix @@ -27,7 +27,7 @@ stdenv.mkDerivation rec { postUnpack = lib.optionalString stdenv.isDarwin '' export TRIPLE=x86_64-apple-darwin '' + lib.optionalString stdenv.hostPlatform.isWasm '' - patch -p1 -d llvm -i ${./wasm.patch} + patch -p1 -d llvm -i ${../../common/libcxxabi/wasm.patch} ''; patches = [ diff --git a/nixpkgs/pkgs/development/compilers/llvm/14/libcxxabi/wasm.patch b/nixpkgs/pkgs/development/compilers/llvm/14/libcxxabi/wasm.patch deleted file mode 100644 index 4ebfe46aa813..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/14/libcxxabi/wasm.patch +++ /dev/null @@ -1,16 +0,0 @@ -diff --git a/cmake/modules/HandleLLVMOptions.cmake b/cmake/modules/HandleLLVMOptions.cmake -index 15497d405e0..33f7f18193a 100644 ---- a/cmake/modules/HandleLLVMOptions.cmake -+++ b/cmake/modules/HandleLLVMOptions.cmake -@@ -127,7 +127,10 @@ else(WIN32) - set(LLVM_HAVE_LINK_VERSION_SCRIPT 1) - endif() - else(FUCHSIA OR UNIX) -- MESSAGE(SEND_ERROR "Unable to determine platform") -+ if(${CMAKE_SYSTEM_NAME} MATCHES "Wasi") -+ else() -+ MESSAGE(SEND_ERROR "Unable to determine platform") -+ endif() - endif(FUCHSIA OR UNIX) - endif(WIN32) - diff --git a/nixpkgs/pkgs/development/compilers/llvm/15/bintools/default.nix b/nixpkgs/pkgs/development/compilers/llvm/15/bintools/default.nix deleted file mode 100644 index c7b20dd28e23..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/15/bintools/default.nix +++ /dev/null @@ -1,37 +0,0 @@ -{ lib, runCommand, stdenv, llvm, lld, version }: - -let - prefix = lib.optionalString (stdenv.hostPlatform != stdenv.targetPlatform) "${stdenv.targetPlatform.config}-"; -in runCommand "llvm-binutils-${version}" { - preferLocalBuild = true; - passthru = { - isLLVM = true; - }; -} '' - mkdir -p $out/bin - for prog in ${lld}/bin/*; do - ln -s $prog $out/bin/${prefix}$(basename $prog) - done - for prog in ${llvm}/bin/*; do - ln -sf $prog $out/bin/${prefix}$(basename $prog) - done - - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ar - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}dlltool - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ranlib - ln -s ${llvm}/bin/llvm-cxxfilt $out/bin/${prefix}c++filt - ln -s ${llvm}/bin/llvm-debuginfod $out/bin/${prefix}debuginfod - ln -s ${llvm}/bin/llvm-debuginfod-find $out/bin/${prefix}debuginfod-find - ln -s ${llvm}/bin/llvm-dwp $out/bin/${prefix}dwp - ln -s ${llvm}/bin/llvm-nm $out/bin/${prefix}nm - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}objcopy - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}strip - ln -s ${llvm}/bin/llvm-objdump $out/bin/${prefix}objdump - ln -s ${llvm}/bin/llvm-rc $out/bin/${prefix}windres - ln -s ${llvm}/bin/llvm-readobj $out/bin/${prefix}readelf - ln -s ${llvm}/bin/llvm-size $out/bin/${prefix}size - ln -s ${llvm}/bin/llvm-strings $out/bin/${prefix}strings - ln -s ${llvm}/bin/llvm-symbolizer $out/bin/${prefix}addr2line - - ln -s ${lld}/bin/lld $out/bin/${prefix}ld -'' diff --git a/nixpkgs/pkgs/development/compilers/llvm/15/default.nix b/nixpkgs/pkgs/development/compilers/llvm/15/default.nix index f244987353b9..863d31874ec8 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/15/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/15/default.nix @@ -187,12 +187,13 @@ in let lldb = callPackage ../common/lldb.nix { patches = let - resourceDirPatch = callPackage ({ runCommand, libclang }: (runCommand "resource-dir.patch" - { - clangLibDir = "${libclang.lib}/lib"; - } '' - substitute '${./lldb/resource-dir.patch}' "$out" --subst-var clangLibDir - '')) { }; + resourceDirPatch = callPackage + ({ substituteAll, libclang }: substituteAll + { + src = ./lldb/resource-dir.patch; + clangLibDir = "${libclang.lib}/lib"; + }) + { }; in [ ./lldb/procfs.patch @@ -213,7 +214,7 @@ in let && !stdenv.targetPlatform.isAarch64 && (lib.versionOlder darwin.apple_sdk.sdk.version "11.0") ) ./lldb/cpu_subtype_arm64e_replacement.patch; - inherit llvm_meta release_version; + inherit llvm_meta; }; # Below, is the LLVM bootstrapping logic. It handles building a @@ -223,7 +224,7 @@ in let # doesn’t support like LLVM. Probably we should move to some other # file. - bintools-unwrapped = callPackage ./bintools {}; + bintools-unwrapped = callPackage ../common/bintools.nix { }; bintoolsNoLibc = wrapBintoolsWith { bintools = tools.bintools-unwrapped; diff --git a/nixpkgs/pkgs/development/compilers/llvm/15/libcxxabi/default.nix b/nixpkgs/pkgs/development/compilers/llvm/15/libcxxabi/default.nix index 77ba2d2d008a..04bfee14aa18 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/15/libcxxabi/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/15/libcxxabi/default.nix @@ -29,7 +29,7 @@ stdenv.mkDerivation rec { postUnpack = lib.optionalString stdenv.isDarwin '' export TRIPLE=x86_64-apple-darwin '' + lib.optionalString stdenv.hostPlatform.isWasm '' - patch -p1 -d llvm -i ${./wasm.patch} + patch -p1 -d llvm -i ${../../common/libcxxabi/wasm.patch} ''; prePatch = '' diff --git a/nixpkgs/pkgs/development/compilers/llvm/15/libcxxabi/wasm.patch b/nixpkgs/pkgs/development/compilers/llvm/15/libcxxabi/wasm.patch deleted file mode 100644 index 4ebfe46aa813..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/15/libcxxabi/wasm.patch +++ /dev/null @@ -1,16 +0,0 @@ -diff --git a/cmake/modules/HandleLLVMOptions.cmake b/cmake/modules/HandleLLVMOptions.cmake -index 15497d405e0..33f7f18193a 100644 ---- a/cmake/modules/HandleLLVMOptions.cmake -+++ b/cmake/modules/HandleLLVMOptions.cmake -@@ -127,7 +127,10 @@ else(WIN32) - set(LLVM_HAVE_LINK_VERSION_SCRIPT 1) - endif() - else(FUCHSIA OR UNIX) -- MESSAGE(SEND_ERROR "Unable to determine platform") -+ if(${CMAKE_SYSTEM_NAME} MATCHES "Wasi") -+ else() -+ MESSAGE(SEND_ERROR "Unable to determine platform") -+ endif() - endif(FUCHSIA OR UNIX) - endif(WIN32) - diff --git a/nixpkgs/pkgs/development/compilers/llvm/16/bintools/default.nix b/nixpkgs/pkgs/development/compilers/llvm/16/bintools/default.nix deleted file mode 100644 index c7b20dd28e23..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/16/bintools/default.nix +++ /dev/null @@ -1,37 +0,0 @@ -{ lib, runCommand, stdenv, llvm, lld, version }: - -let - prefix = lib.optionalString (stdenv.hostPlatform != stdenv.targetPlatform) "${stdenv.targetPlatform.config}-"; -in runCommand "llvm-binutils-${version}" { - preferLocalBuild = true; - passthru = { - isLLVM = true; - }; -} '' - mkdir -p $out/bin - for prog in ${lld}/bin/*; do - ln -s $prog $out/bin/${prefix}$(basename $prog) - done - for prog in ${llvm}/bin/*; do - ln -sf $prog $out/bin/${prefix}$(basename $prog) - done - - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ar - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}dlltool - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ranlib - ln -s ${llvm}/bin/llvm-cxxfilt $out/bin/${prefix}c++filt - ln -s ${llvm}/bin/llvm-debuginfod $out/bin/${prefix}debuginfod - ln -s ${llvm}/bin/llvm-debuginfod-find $out/bin/${prefix}debuginfod-find - ln -s ${llvm}/bin/llvm-dwp $out/bin/${prefix}dwp - ln -s ${llvm}/bin/llvm-nm $out/bin/${prefix}nm - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}objcopy - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}strip - ln -s ${llvm}/bin/llvm-objdump $out/bin/${prefix}objdump - ln -s ${llvm}/bin/llvm-rc $out/bin/${prefix}windres - ln -s ${llvm}/bin/llvm-readobj $out/bin/${prefix}readelf - ln -s ${llvm}/bin/llvm-size $out/bin/${prefix}size - ln -s ${llvm}/bin/llvm-strings $out/bin/${prefix}strings - ln -s ${llvm}/bin/llvm-symbolizer $out/bin/${prefix}addr2line - - ln -s ${lld}/bin/lld $out/bin/${prefix}ld -'' diff --git a/nixpkgs/pkgs/development/compilers/llvm/16/default.nix b/nixpkgs/pkgs/development/compilers/llvm/16/default.nix index 94d0e7d30b58..9dbe65ed68da 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/16/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/16/default.nix @@ -192,12 +192,13 @@ in let '') { }; patches = let - resourceDirPatch = callPackage ({ runCommand, libclang }: (runCommand "resource-dir.patch" - { - clangLibDir = "${libclang.lib}/lib"; - } '' - substitute '${./lldb/resource-dir.patch}' "$out" --subst-var clangLibDir - '')) { }; + resourceDirPatch = callPackage + ({ substituteAll, libclang }: substituteAll + { + src = ./lldb/resource-dir.patch; + clangLibDir = "${libclang.lib}/lib"; + }) + { }; in [ # FIXME: do we need this? ./procfs.patch @@ -218,7 +219,7 @@ in let && !stdenv.targetPlatform.isAarch64 && (lib.versionOlder darwin.apple_sdk.sdk.version "11.0") ) ./lldb/cpu_subtype_arm64e_replacement.patch; - inherit llvm_meta release_version; + inherit llvm_meta; }; # Below, is the LLVM bootstrapping logic. It handles building a @@ -228,7 +229,7 @@ in let # doesn’t support like LLVM. Probably we should move to some other # file. - bintools-unwrapped = callPackage ./bintools {}; + bintools-unwrapped = callPackage ../common/bintools.nix { }; bintoolsNoLibc = wrapBintoolsWith { bintools = tools.bintools-unwrapped; diff --git a/nixpkgs/pkgs/development/compilers/llvm/16/libcxxabi/default.nix b/nixpkgs/pkgs/development/compilers/llvm/16/libcxxabi/default.nix index 77ba2d2d008a..04bfee14aa18 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/16/libcxxabi/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/16/libcxxabi/default.nix @@ -29,7 +29,7 @@ stdenv.mkDerivation rec { postUnpack = lib.optionalString stdenv.isDarwin '' export TRIPLE=x86_64-apple-darwin '' + lib.optionalString stdenv.hostPlatform.isWasm '' - patch -p1 -d llvm -i ${./wasm.patch} + patch -p1 -d llvm -i ${../../common/libcxxabi/wasm.patch} ''; prePatch = '' diff --git a/nixpkgs/pkgs/development/compilers/llvm/16/libcxxabi/wasm.patch b/nixpkgs/pkgs/development/compilers/llvm/16/libcxxabi/wasm.patch deleted file mode 100644 index 4ebfe46aa813..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/16/libcxxabi/wasm.patch +++ /dev/null @@ -1,16 +0,0 @@ -diff --git a/cmake/modules/HandleLLVMOptions.cmake b/cmake/modules/HandleLLVMOptions.cmake -index 15497d405e0..33f7f18193a 100644 ---- a/cmake/modules/HandleLLVMOptions.cmake -+++ b/cmake/modules/HandleLLVMOptions.cmake -@@ -127,7 +127,10 @@ else(WIN32) - set(LLVM_HAVE_LINK_VERSION_SCRIPT 1) - endif() - else(FUCHSIA OR UNIX) -- MESSAGE(SEND_ERROR "Unable to determine platform") -+ if(${CMAKE_SYSTEM_NAME} MATCHES "Wasi") -+ else() -+ MESSAGE(SEND_ERROR "Unable to determine platform") -+ endif() - endif(FUCHSIA OR UNIX) - endif(WIN32) - diff --git a/nixpkgs/pkgs/development/compilers/llvm/5/clang/default.nix b/nixpkgs/pkgs/development/compilers/llvm/5/clang/default.nix index 6e968a6bd2a6..70ffb3e0dd19 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/5/clang/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/5/clang/default.nix @@ -41,7 +41,7 @@ let ]; patches = [ - ./purity.patch + ../../common/clang/5-8-purity.patch ./gnu-install-dirs.patch (substituteAll { src = ./LLVMgold-path.patch; diff --git a/nixpkgs/pkgs/development/compilers/llvm/6/clang/default.nix b/nixpkgs/pkgs/development/compilers/llvm/6/clang/default.nix index baf601ffbfc2..bc69f1c99cf4 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/6/clang/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/6/clang/default.nix @@ -41,7 +41,7 @@ let ]; patches = [ - ./purity.patch + ../../common/clang/5-8-purity.patch ./gnu-install-dirs.patch (substituteAll { src = ../../clang-6-10-LLVMgold-path.patch; diff --git a/nixpkgs/pkgs/development/compilers/llvm/6/clang/purity.patch b/nixpkgs/pkgs/development/compilers/llvm/6/clang/purity.patch deleted file mode 100644 index b30d0d0b5d5b..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/6/clang/purity.patch +++ /dev/null @@ -1,30 +0,0 @@ -From 4add81bba40dcec62c4ea4481be8e35ac53e89d8 Mon Sep 17 00:00:00 2001 -From: Will Dietz <w@wdtz.org> -Date: Thu, 18 May 2017 11:56:12 -0500 -Subject: [PATCH] "purity" patch for 5.0 - ---- - lib/Driver/ToolChains/Gnu.cpp | 7 ------- - 1 file changed, 7 deletions(-) - -diff --git a/lib/Driver/ToolChains/Gnu.cpp b/lib/Driver/ToolChains/Gnu.cpp -index fe3c0191bb..c6a482bece 100644 ---- a/lib/Driver/ToolChains/Gnu.cpp -+++ b/lib/Driver/ToolChains/Gnu.cpp -@@ -494,13 +494,6 @@ void tools::gnutools::Linker::ConstructJob(Compilation &C, const JobAction &JA, - if (!Args.hasArg(options::OPT_static)) { - if (Args.hasArg(options::OPT_rdynamic)) - CmdArgs.push_back("-export-dynamic"); -- -- if (!Args.hasArg(options::OPT_shared)) { -- const std::string Loader = -- D.DyldPrefix + ToolChain.getDynamicLinker(Args); -- CmdArgs.push_back("-dynamic-linker"); -- CmdArgs.push_back(Args.MakeArgString(Loader)); -- } - } - - CmdArgs.push_back("-o"); --- -2.11.0 - diff --git a/nixpkgs/pkgs/development/compilers/llvm/7/bintools/default.nix b/nixpkgs/pkgs/development/compilers/llvm/7/bintools/default.nix deleted file mode 100644 index 38002439c205..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/7/bintools/default.nix +++ /dev/null @@ -1,34 +0,0 @@ -{ lib, runCommand, stdenv, llvm, lld, version }: - -let - prefix = lib.optionalString (stdenv.hostPlatform != stdenv.targetPlatform) "${stdenv.targetPlatform.config}-"; -in runCommand "llvm-binutils-${version}" { - preferLocalBuild = true; - passthru = { - isLLVM = true; - }; -} '' - mkdir -p $out/bin - for prog in ${lld}/bin/*; do - ln -s $prog $out/bin/${prefix}$(basename $prog) - done - for prog in ${llvm}/bin/*; do - ln -sf $prog $out/bin/${prefix}$(basename $prog) - done - - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ar - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}dlltool - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ranlib - ln -s ${llvm}/bin/llvm-cxxfilt $out/bin/${prefix}c++filt - ln -s ${llvm}/bin/llvm-dwp $out/bin/${prefix}dwp - ln -s ${llvm}/bin/llvm-nm $out/bin/${prefix}nm - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}objcopy - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}strip - ln -s ${llvm}/bin/llvm-objdump $out/bin/${prefix}objdump - ln -s ${llvm}/bin/llvm-readobj $out/bin/${prefix}readelf - ln -s ${llvm}/bin/llvm-size $out/bin/${prefix}size - ln -s ${llvm}/bin/llvm-strings $out/bin/${prefix}strings - ln -s ${llvm}/bin/llvm-symbolizer $out/bin/${prefix}addr2line - - ln -s ${lld}/bin/lld $out/bin/${prefix}ld -'' diff --git a/nixpkgs/pkgs/development/compilers/llvm/7/clang/default.nix b/nixpkgs/pkgs/development/compilers/llvm/7/clang/default.nix index c3f2bcb73ffe..136a69e72161 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/7/clang/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/7/clang/default.nix @@ -45,7 +45,7 @@ let ]; patches = [ - ./purity.patch + ../../common/clang/5-8-purity.patch # make clang -xhip use $PATH to find executables ./HIP-use-PATH-7.patch # Backport for the `--unwindlib=[libgcc|compiler-rt]` flag, which is diff --git a/nixpkgs/pkgs/development/compilers/llvm/7/clang/purity.patch b/nixpkgs/pkgs/development/compilers/llvm/7/clang/purity.patch deleted file mode 100644 index b30d0d0b5d5b..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/7/clang/purity.patch +++ /dev/null @@ -1,30 +0,0 @@ -From 4add81bba40dcec62c4ea4481be8e35ac53e89d8 Mon Sep 17 00:00:00 2001 -From: Will Dietz <w@wdtz.org> -Date: Thu, 18 May 2017 11:56:12 -0500 -Subject: [PATCH] "purity" patch for 5.0 - ---- - lib/Driver/ToolChains/Gnu.cpp | 7 ------- - 1 file changed, 7 deletions(-) - -diff --git a/lib/Driver/ToolChains/Gnu.cpp b/lib/Driver/ToolChains/Gnu.cpp -index fe3c0191bb..c6a482bece 100644 ---- a/lib/Driver/ToolChains/Gnu.cpp -+++ b/lib/Driver/ToolChains/Gnu.cpp -@@ -494,13 +494,6 @@ void tools::gnutools::Linker::ConstructJob(Compilation &C, const JobAction &JA, - if (!Args.hasArg(options::OPT_static)) { - if (Args.hasArg(options::OPT_rdynamic)) - CmdArgs.push_back("-export-dynamic"); -- -- if (!Args.hasArg(options::OPT_shared)) { -- const std::string Loader = -- D.DyldPrefix + ToolChain.getDynamicLinker(Args); -- CmdArgs.push_back("-dynamic-linker"); -- CmdArgs.push_back(Args.MakeArgString(Loader)); -- } - } - - CmdArgs.push_back("-o"); --- -2.11.0 - diff --git a/nixpkgs/pkgs/development/compilers/llvm/7/compiler-rt/codesign.patch b/nixpkgs/pkgs/development/compilers/llvm/7/compiler-rt/codesign.patch deleted file mode 100644 index 3cc12b94b200..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/7/compiler-rt/codesign.patch +++ /dev/null @@ -1,33 +0,0 @@ -From 3dec5f3475a26aeb4678627795c4b67c6b7b4785 Mon Sep 17 00:00:00 2001 -From: Will Dietz <w@wdtz.org> -Date: Tue, 19 Sep 2017 13:13:06 -0500 -Subject: [PATCH] remove codesign use on Apple, disable ios sim testing that - needs it - ---- - cmake/Modules/AddCompilerRT.cmake | 8 ------ - test/asan/CMakeLists.txt | 52 --------------------------------------- - test/tsan/CMakeLists.txt | 47 ----------------------------------- - 3 files changed, 107 deletions(-) - -diff --git a/cmake/Modules/AddCompilerRT.cmake b/cmake/Modules/AddCompilerRT.cmake -index bc5fb9ff7..b64eb4246 100644 ---- a/cmake/Modules/AddCompilerRT.cmake -+++ b/cmake/Modules/AddCompilerRT.cmake -@@ -210,14 +210,6 @@ function(add_compiler_rt_runtime name type) - set_target_properties(${libname} PROPERTIES IMPORT_PREFIX "") - set_target_properties(${libname} PROPERTIES IMPORT_SUFFIX ".lib") - endif() -- if(APPLE) -- # Ad-hoc sign the dylibs -- add_custom_command(TARGET ${libname} -- POST_BUILD -- COMMAND codesign --sign - $<TARGET_FILE:${libname}> -- WORKING_DIRECTORY ${COMPILER_RT_LIBRARY_OUTPUT_DIR} -- ) -- endif() - endif() - install(TARGETS ${libname} - ARCHIVE DESTINATION ${COMPILER_RT_LIBRARY_INSTALL_DIR} -2.14.1 - diff --git a/nixpkgs/pkgs/development/compilers/llvm/7/compiler-rt/default.nix b/nixpkgs/pkgs/development/compilers/llvm/7/compiler-rt/default.nix index a644229ff07c..7354397d2bf0 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/7/compiler-rt/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/7/compiler-rt/default.nix @@ -56,7 +56,7 @@ stdenv.mkDerivation { patches = [ # https://github.com/llvm/llvm-project/commit/947f9692440836dcb8d88b74b69dd379d85974ce ../../common/compiler-rt/glibc.patch - ./codesign.patch # Revert compiler-rt commit that makes codesign mandatory + ../../common/compiler-rt/7-12-codesign.patch # Revert compiler-rt commit that makes codesign mandatory ./gnu-install-dirs.patch ../../common/compiler-rt/libsanitizer-no-cyclades-9.patch ] ++ lib.optional (useLLVM) ./crtbegin-and-end.patch diff --git a/nixpkgs/pkgs/development/compilers/llvm/7/default.nix b/nixpkgs/pkgs/development/compilers/llvm/7/default.nix index 5c20086ce846..0607b5ebf42c 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/7/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/7/default.nix @@ -149,7 +149,7 @@ let # doesn’t support like LLVM. Probably we should move to some other # file. - bintools-unwrapped = callPackage ./bintools {}; + bintools-unwrapped = callPackage ../common/bintools.nix { }; bintoolsNoLibc = wrapBintoolsWith { bintools = tools.bintools-unwrapped; diff --git a/nixpkgs/pkgs/development/compilers/llvm/8/bintools/default.nix b/nixpkgs/pkgs/development/compilers/llvm/8/bintools/default.nix deleted file mode 100644 index 38002439c205..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/8/bintools/default.nix +++ /dev/null @@ -1,34 +0,0 @@ -{ lib, runCommand, stdenv, llvm, lld, version }: - -let - prefix = lib.optionalString (stdenv.hostPlatform != stdenv.targetPlatform) "${stdenv.targetPlatform.config}-"; -in runCommand "llvm-binutils-${version}" { - preferLocalBuild = true; - passthru = { - isLLVM = true; - }; -} '' - mkdir -p $out/bin - for prog in ${lld}/bin/*; do - ln -s $prog $out/bin/${prefix}$(basename $prog) - done - for prog in ${llvm}/bin/*; do - ln -sf $prog $out/bin/${prefix}$(basename $prog) - done - - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ar - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}dlltool - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ranlib - ln -s ${llvm}/bin/llvm-cxxfilt $out/bin/${prefix}c++filt - ln -s ${llvm}/bin/llvm-dwp $out/bin/${prefix}dwp - ln -s ${llvm}/bin/llvm-nm $out/bin/${prefix}nm - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}objcopy - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}strip - ln -s ${llvm}/bin/llvm-objdump $out/bin/${prefix}objdump - ln -s ${llvm}/bin/llvm-readobj $out/bin/${prefix}readelf - ln -s ${llvm}/bin/llvm-size $out/bin/${prefix}size - ln -s ${llvm}/bin/llvm-strings $out/bin/${prefix}strings - ln -s ${llvm}/bin/llvm-symbolizer $out/bin/${prefix}addr2line - - ln -s ${lld}/bin/lld $out/bin/${prefix}ld -'' diff --git a/nixpkgs/pkgs/development/compilers/llvm/8/clang/default.nix b/nixpkgs/pkgs/development/compilers/llvm/8/clang/default.nix index 0d0af5ab6aa6..994f9bd967c4 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/8/clang/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/8/clang/default.nix @@ -45,7 +45,7 @@ let ]; patches = [ - ./purity.patch + ../../common/clang/5-8-purity.patch ./xpc.patch # Backport for -static-pie, which the latter touches, and which is nice in # its own right. diff --git a/nixpkgs/pkgs/development/compilers/llvm/8/clang/purity.patch b/nixpkgs/pkgs/development/compilers/llvm/8/clang/purity.patch deleted file mode 100644 index b30d0d0b5d5b..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/8/clang/purity.patch +++ /dev/null @@ -1,30 +0,0 @@ -From 4add81bba40dcec62c4ea4481be8e35ac53e89d8 Mon Sep 17 00:00:00 2001 -From: Will Dietz <w@wdtz.org> -Date: Thu, 18 May 2017 11:56:12 -0500 -Subject: [PATCH] "purity" patch for 5.0 - ---- - lib/Driver/ToolChains/Gnu.cpp | 7 ------- - 1 file changed, 7 deletions(-) - -diff --git a/lib/Driver/ToolChains/Gnu.cpp b/lib/Driver/ToolChains/Gnu.cpp -index fe3c0191bb..c6a482bece 100644 ---- a/lib/Driver/ToolChains/Gnu.cpp -+++ b/lib/Driver/ToolChains/Gnu.cpp -@@ -494,13 +494,6 @@ void tools::gnutools::Linker::ConstructJob(Compilation &C, const JobAction &JA, - if (!Args.hasArg(options::OPT_static)) { - if (Args.hasArg(options::OPT_rdynamic)) - CmdArgs.push_back("-export-dynamic"); -- -- if (!Args.hasArg(options::OPT_shared)) { -- const std::string Loader = -- D.DyldPrefix + ToolChain.getDynamicLinker(Args); -- CmdArgs.push_back("-dynamic-linker"); -- CmdArgs.push_back(Args.MakeArgString(Loader)); -- } - } - - CmdArgs.push_back("-o"); --- -2.11.0 - diff --git a/nixpkgs/pkgs/development/compilers/llvm/8/compiler-rt/codesign.patch b/nixpkgs/pkgs/development/compilers/llvm/8/compiler-rt/codesign.patch deleted file mode 100644 index 3cc12b94b200..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/8/compiler-rt/codesign.patch +++ /dev/null @@ -1,33 +0,0 @@ -From 3dec5f3475a26aeb4678627795c4b67c6b7b4785 Mon Sep 17 00:00:00 2001 -From: Will Dietz <w@wdtz.org> -Date: Tue, 19 Sep 2017 13:13:06 -0500 -Subject: [PATCH] remove codesign use on Apple, disable ios sim testing that - needs it - ---- - cmake/Modules/AddCompilerRT.cmake | 8 ------ - test/asan/CMakeLists.txt | 52 --------------------------------------- - test/tsan/CMakeLists.txt | 47 ----------------------------------- - 3 files changed, 107 deletions(-) - -diff --git a/cmake/Modules/AddCompilerRT.cmake b/cmake/Modules/AddCompilerRT.cmake -index bc5fb9ff7..b64eb4246 100644 ---- a/cmake/Modules/AddCompilerRT.cmake -+++ b/cmake/Modules/AddCompilerRT.cmake -@@ -210,14 +210,6 @@ function(add_compiler_rt_runtime name type) - set_target_properties(${libname} PROPERTIES IMPORT_PREFIX "") - set_target_properties(${libname} PROPERTIES IMPORT_SUFFIX ".lib") - endif() -- if(APPLE) -- # Ad-hoc sign the dylibs -- add_custom_command(TARGET ${libname} -- POST_BUILD -- COMMAND codesign --sign - $<TARGET_FILE:${libname}> -- WORKING_DIRECTORY ${COMPILER_RT_LIBRARY_OUTPUT_DIR} -- ) -- endif() - endif() - install(TARGETS ${libname} - ARCHIVE DESTINATION ${COMPILER_RT_LIBRARY_INSTALL_DIR} -2.14.1 - diff --git a/nixpkgs/pkgs/development/compilers/llvm/8/compiler-rt/default.nix b/nixpkgs/pkgs/development/compilers/llvm/8/compiler-rt/default.nix index 80f70ac64a0f..26cb5aa30617 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/8/compiler-rt/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/8/compiler-rt/default.nix @@ -56,7 +56,7 @@ stdenv.mkDerivation { patches = [ # https://github.com/llvm/llvm-project/commit/947f9692440836dcb8d88b74b69dd379d85974ce ../../common/compiler-rt/glibc.patch - ./codesign.patch # Revert compiler-rt commit that makes codesign mandatory + ../../common/compiler-rt/7-12-codesign.patch # Revert compiler-rt commit that makes codesign mandatory ./gnu-install-dirs.patch ../../common/compiler-rt/libsanitizer-no-cyclades-9.patch ] ++ lib.optional (useLLVM) ./crtbegin-and-end.patch diff --git a/nixpkgs/pkgs/development/compilers/llvm/8/default.nix b/nixpkgs/pkgs/development/compilers/llvm/8/default.nix index c24c84754e32..5beb8afb2ee9 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/8/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/8/default.nix @@ -150,7 +150,7 @@ let # doesn’t support like LLVM. Probably we should move to some other # file. - bintools-unwrapped = callPackage ./bintools {}; + bintools-unwrapped = callPackage ../common/bintools.nix { }; bintoolsNoLibc = wrapBintoolsWith { bintools = tools.bintools-unwrapped; diff --git a/nixpkgs/pkgs/development/compilers/llvm/8/libcxxabi/default.nix b/nixpkgs/pkgs/development/compilers/llvm/8/libcxxabi/default.nix index ebf8a5c702ee..885d85b8c3e7 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/8/libcxxabi/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/8/libcxxabi/default.nix @@ -23,7 +23,7 @@ stdenv.mkDerivation { ''; patches = [ - ./no-threads.patch + ../../common/libcxxabi/no-threads.patch ./gnu-install-dirs.patch ]; diff --git a/nixpkgs/pkgs/development/compilers/llvm/8/libcxxabi/no-threads.patch b/nixpkgs/pkgs/development/compilers/llvm/8/libcxxabi/no-threads.patch deleted file mode 100644 index 787f3e16500e..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/8/libcxxabi/no-threads.patch +++ /dev/null @@ -1,12 +0,0 @@ -diff --git a/CMakeLists.txt b/CMakeLists.txt -index 4138acf..41b4763 100644 ---- a/CMakeLists.txt -+++ b/CMakeLists.txt -@@ -362,6 +362,7 @@ if (NOT LIBCXXABI_ENABLE_THREADS) - " is also set to ON.") - endif() - add_definitions(-D_LIBCXXABI_HAS_NO_THREADS) -+ add_definitions(-D_LIBCPP_HAS_NO_THREADS) - endif() - - if (LIBCXXABI_HAS_EXTERNAL_THREAD_API) diff --git a/nixpkgs/pkgs/development/compilers/llvm/8/libcxxabi/wasm.patch b/nixpkgs/pkgs/development/compilers/llvm/8/libcxxabi/wasm.patch deleted file mode 100644 index 4ebfe46aa813..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/8/libcxxabi/wasm.patch +++ /dev/null @@ -1,16 +0,0 @@ -diff --git a/cmake/modules/HandleLLVMOptions.cmake b/cmake/modules/HandleLLVMOptions.cmake -index 15497d405e0..33f7f18193a 100644 ---- a/cmake/modules/HandleLLVMOptions.cmake -+++ b/cmake/modules/HandleLLVMOptions.cmake -@@ -127,7 +127,10 @@ else(WIN32) - set(LLVM_HAVE_LINK_VERSION_SCRIPT 1) - endif() - else(FUCHSIA OR UNIX) -- MESSAGE(SEND_ERROR "Unable to determine platform") -+ if(${CMAKE_SYSTEM_NAME} MATCHES "Wasi") -+ else() -+ MESSAGE(SEND_ERROR "Unable to determine platform") -+ endif() - endif(FUCHSIA OR UNIX) - endif(WIN32) - diff --git a/nixpkgs/pkgs/development/compilers/llvm/9/bintools/default.nix b/nixpkgs/pkgs/development/compilers/llvm/9/bintools/default.nix deleted file mode 100644 index 38002439c205..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/9/bintools/default.nix +++ /dev/null @@ -1,34 +0,0 @@ -{ lib, runCommand, stdenv, llvm, lld, version }: - -let - prefix = lib.optionalString (stdenv.hostPlatform != stdenv.targetPlatform) "${stdenv.targetPlatform.config}-"; -in runCommand "llvm-binutils-${version}" { - preferLocalBuild = true; - passthru = { - isLLVM = true; - }; -} '' - mkdir -p $out/bin - for prog in ${lld}/bin/*; do - ln -s $prog $out/bin/${prefix}$(basename $prog) - done - for prog in ${llvm}/bin/*; do - ln -sf $prog $out/bin/${prefix}$(basename $prog) - done - - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ar - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}dlltool - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ranlib - ln -s ${llvm}/bin/llvm-cxxfilt $out/bin/${prefix}c++filt - ln -s ${llvm}/bin/llvm-dwp $out/bin/${prefix}dwp - ln -s ${llvm}/bin/llvm-nm $out/bin/${prefix}nm - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}objcopy - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}strip - ln -s ${llvm}/bin/llvm-objdump $out/bin/${prefix}objdump - ln -s ${llvm}/bin/llvm-readobj $out/bin/${prefix}readelf - ln -s ${llvm}/bin/llvm-size $out/bin/${prefix}size - ln -s ${llvm}/bin/llvm-strings $out/bin/${prefix}strings - ln -s ${llvm}/bin/llvm-symbolizer $out/bin/${prefix}addr2line - - ln -s ${lld}/bin/lld $out/bin/${prefix}ld -'' diff --git a/nixpkgs/pkgs/development/compilers/llvm/9/compiler-rt/codesign.patch b/nixpkgs/pkgs/development/compilers/llvm/9/compiler-rt/codesign.patch deleted file mode 100644 index 3cc12b94b200..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/9/compiler-rt/codesign.patch +++ /dev/null @@ -1,33 +0,0 @@ -From 3dec5f3475a26aeb4678627795c4b67c6b7b4785 Mon Sep 17 00:00:00 2001 -From: Will Dietz <w@wdtz.org> -Date: Tue, 19 Sep 2017 13:13:06 -0500 -Subject: [PATCH] remove codesign use on Apple, disable ios sim testing that - needs it - ---- - cmake/Modules/AddCompilerRT.cmake | 8 ------ - test/asan/CMakeLists.txt | 52 --------------------------------------- - test/tsan/CMakeLists.txt | 47 ----------------------------------- - 3 files changed, 107 deletions(-) - -diff --git a/cmake/Modules/AddCompilerRT.cmake b/cmake/Modules/AddCompilerRT.cmake -index bc5fb9ff7..b64eb4246 100644 ---- a/cmake/Modules/AddCompilerRT.cmake -+++ b/cmake/Modules/AddCompilerRT.cmake -@@ -210,14 +210,6 @@ function(add_compiler_rt_runtime name type) - set_target_properties(${libname} PROPERTIES IMPORT_PREFIX "") - set_target_properties(${libname} PROPERTIES IMPORT_SUFFIX ".lib") - endif() -- if(APPLE) -- # Ad-hoc sign the dylibs -- add_custom_command(TARGET ${libname} -- POST_BUILD -- COMMAND codesign --sign - $<TARGET_FILE:${libname}> -- WORKING_DIRECTORY ${COMPILER_RT_LIBRARY_OUTPUT_DIR} -- ) -- endif() - endif() - install(TARGETS ${libname} - ARCHIVE DESTINATION ${COMPILER_RT_LIBRARY_INSTALL_DIR} -2.14.1 - diff --git a/nixpkgs/pkgs/development/compilers/llvm/9/compiler-rt/default.nix b/nixpkgs/pkgs/development/compilers/llvm/9/compiler-rt/default.nix index 0398b126ab58..d03fcbc7f708 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/9/compiler-rt/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/9/compiler-rt/default.nix @@ -56,7 +56,7 @@ stdenv.mkDerivation { patches = [ # https://github.com/llvm/llvm-project/commit/947f9692440836dcb8d88b74b69dd379d85974ce ../../common/compiler-rt/glibc.patch - ./codesign.patch # Revert compiler-rt commit that makes codesign mandatory + ../../common/compiler-rt/7-12-codesign.patch # Revert compiler-rt commit that makes codesign mandatory ./gnu-install-dirs.patch ../../common/compiler-rt/libsanitizer-no-cyclades-9.patch # Fix build on armv6l diff --git a/nixpkgs/pkgs/development/compilers/llvm/9/default.nix b/nixpkgs/pkgs/development/compilers/llvm/9/default.nix index 4ec802a4f289..9f79dc5cce7f 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/9/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/9/default.nix @@ -150,7 +150,7 @@ let # doesn’t support like LLVM. Probably we should move to some other # file. - bintools-unwrapped = callPackage ./bintools {}; + bintools-unwrapped = callPackage ../common/bintools.nix { }; bintoolsNoLibc = wrapBintoolsWith { bintools = tools.bintools-unwrapped; diff --git a/nixpkgs/pkgs/development/compilers/llvm/9/libcxxabi/default.nix b/nixpkgs/pkgs/development/compilers/llvm/9/libcxxabi/default.nix index f19bfb157ce9..24d89b1a2da5 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/9/libcxxabi/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/9/libcxxabi/default.nix @@ -19,11 +19,11 @@ stdenv.mkDerivation { '' + lib.optionalString stdenv.hostPlatform.isMusl '' patch -p1 -d $(ls -d libcxx-*) -i ${../../libcxx-0001-musl-hacks.patch} '' + lib.optionalString stdenv.hostPlatform.isWasm '' - patch -p1 -d $(ls -d llvm-*) -i ${./wasm.patch} + patch -p1 -d $(ls -d llvm-*) -i ${../../common/libcxxabi/wasm.patch} ''; patches = [ - ./no-threads.patch + ../../common/libcxxabi/no-threads.patch ./gnu-install-dirs.patch ]; diff --git a/nixpkgs/pkgs/development/compilers/llvm/9/libcxxabi/no-threads.patch b/nixpkgs/pkgs/development/compilers/llvm/9/libcxxabi/no-threads.patch deleted file mode 100644 index 787f3e16500e..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/9/libcxxabi/no-threads.patch +++ /dev/null @@ -1,12 +0,0 @@ -diff --git a/CMakeLists.txt b/CMakeLists.txt -index 4138acf..41b4763 100644 ---- a/CMakeLists.txt -+++ b/CMakeLists.txt -@@ -362,6 +362,7 @@ if (NOT LIBCXXABI_ENABLE_THREADS) - " is also set to ON.") - endif() - add_definitions(-D_LIBCXXABI_HAS_NO_THREADS) -+ add_definitions(-D_LIBCPP_HAS_NO_THREADS) - endif() - - if (LIBCXXABI_HAS_EXTERNAL_THREAD_API) diff --git a/nixpkgs/pkgs/development/compilers/llvm/9/libcxxabi/wasm.patch b/nixpkgs/pkgs/development/compilers/llvm/9/libcxxabi/wasm.patch deleted file mode 100644 index 4ebfe46aa813..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/9/libcxxabi/wasm.patch +++ /dev/null @@ -1,16 +0,0 @@ -diff --git a/cmake/modules/HandleLLVMOptions.cmake b/cmake/modules/HandleLLVMOptions.cmake -index 15497d405e0..33f7f18193a 100644 ---- a/cmake/modules/HandleLLVMOptions.cmake -+++ b/cmake/modules/HandleLLVMOptions.cmake -@@ -127,7 +127,10 @@ else(WIN32) - set(LLVM_HAVE_LINK_VERSION_SCRIPT 1) - endif() - else(FUCHSIA OR UNIX) -- MESSAGE(SEND_ERROR "Unable to determine platform") -+ if(${CMAKE_SYSTEM_NAME} MATCHES "Wasi") -+ else() -+ MESSAGE(SEND_ERROR "Unable to determine platform") -+ endif() - endif(FUCHSIA OR UNIX) - endif(WIN32) - diff --git a/nixpkgs/pkgs/development/compilers/llvm/common/bintools.nix b/nixpkgs/pkgs/development/compilers/llvm/common/bintools.nix new file mode 100644 index 000000000000..a60060e86891 --- /dev/null +++ b/nixpkgs/pkgs/development/compilers/llvm/common/bintools.nix @@ -0,0 +1,48 @@ +{ lib, runCommand, stdenv, llvm, lld, version, release_version }: + +let + targetPrefix = lib.optionalString (stdenv.hostPlatform != stdenv.targetPlatform) "${stdenv.targetPlatform.config}-"; +in +runCommand "llvm-binutils-${version}" +{ + preferLocalBuild = true; + passthru = { + isLLVM = true; + }; +} + ('' + mkdir -p $out/bin + for prog in ${lld}/bin/*; do + ln -s $prog $out/bin/${targetPrefix}$(basename $prog) + done + for prog in ${llvm}/bin/*; do + ln -sf $prog $out/bin/${targetPrefix}$(basename $prog) + done + + llvmBin="${llvm}/bin" + + ln -s $llvmBin/llvm-ar $out/bin/${targetPrefix}ar + ln -s $llvmBin/llvm-ar $out/bin/${targetPrefix}dlltool + ln -s $llvmBin/llvm-ar $out/bin/${targetPrefix}ranlib + ln -s $llvmBin/llvm-cxxfilt $out/bin/${targetPrefix}c++filt + ln -s $llvmBin/llvm-dwp $out/bin/${targetPrefix}dwp + ln -s $llvmBin/llvm-nm $out/bin/${targetPrefix}nm + ln -s $llvmBin/llvm-objcopy $out/bin/${targetPrefix}objcopy + ln -s $llvmBin/llvm-objcopy $out/bin/${targetPrefix}strip + ln -s $llvmBin/llvm-objdump $out/bin/${targetPrefix}objdump + ln -s $llvmBin/llvm-readobj $out/bin/${targetPrefix}readelf + ln -s $llvmBin/llvm-size $out/bin/${targetPrefix}size + ln -s $llvmBin/llvm-strings $out/bin/${targetPrefix}strings + ln -s $llvmBin/llvm-symbolizer $out/bin/${targetPrefix}addr2line + + if [ -e "$llvmBin/llvm-debuginfod" ]; then + ln -s $llvmBin/llvm-debuginfod $out/bin/${targetPrefix}debuginfod + ln -s $llvmBin/llvm-debuginfod-find $out/bin/${targetPrefix}debuginfod-find + fi + + ln -s ${lld}/bin/lld $out/bin/${targetPrefix}ld + + # Only >=13 show GNU windres compatible in help + '' + lib.optionalString (lib.versionAtLeast release_version "13") '' + ln -s $llvmBin/llvm-rc $out/bin/${targetPrefix}windres + '') diff --git a/nixpkgs/pkgs/development/compilers/llvm/5/clang/purity.patch b/nixpkgs/pkgs/development/compilers/llvm/common/clang/5-8-purity.patch index b30d0d0b5d5b..b30d0d0b5d5b 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/5/clang/purity.patch +++ b/nixpkgs/pkgs/development/compilers/llvm/common/clang/5-8-purity.patch diff --git a/nixpkgs/pkgs/development/compilers/llvm/10/compiler-rt/codesign.patch b/nixpkgs/pkgs/development/compilers/llvm/common/compiler-rt/7-12-codesign.patch index 3cc12b94b200..3cc12b94b200 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/10/compiler-rt/codesign.patch +++ b/nixpkgs/pkgs/development/compilers/llvm/common/compiler-rt/7-12-codesign.patch diff --git a/nixpkgs/pkgs/development/compilers/llvm/10/libcxxabi/no-threads.patch b/nixpkgs/pkgs/development/compilers/llvm/common/libcxxabi/no-threads.patch index 787f3e16500e..787f3e16500e 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/10/libcxxabi/no-threads.patch +++ b/nixpkgs/pkgs/development/compilers/llvm/common/libcxxabi/no-threads.patch diff --git a/nixpkgs/pkgs/development/compilers/llvm/10/libcxxabi/wasm.patch b/nixpkgs/pkgs/development/compilers/llvm/common/libcxxabi/wasm.patch index 4ebfe46aa813..4ebfe46aa813 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/10/libcxxabi/wasm.patch +++ b/nixpkgs/pkgs/development/compilers/llvm/common/libcxxabi/wasm.patch diff --git a/nixpkgs/pkgs/development/compilers/llvm/common/lldb.nix b/nixpkgs/pkgs/development/compilers/llvm/common/lldb.nix index 82ad9c304ea6..1f5d4a1e3385 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/common/lldb.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/common/lldb.nix @@ -45,25 +45,21 @@ stdenv.mkDerivation (rec { outputs = [ "out" "lib" "dev" ]; - sourceRoot = - if lib.versionOlder release_version "13" then null - else "${src.name}/${pname}"; + sourceRoot = lib.optional (lib.versionAtLeast release_version "13") "${src.name}/${pname}"; nativeBuildInputs = [ cmake - ] ++ lib.optionals (lib.versionAtLeast release_version "15") [ - ninja - ] ++ [ python3 which swig lit makeWrapper - ] ++ lib.optionals (lib.versionAtLeast release_version "14") [ lua5_3 ] ++ lib.optionals enableManpages [ python3.pkgs.sphinx python3.pkgs.recommonmark + ] ++ lib.optionals (lib.versionAtLeast release_version "14") [ + ninja ]; buildInputs = [ @@ -87,11 +83,13 @@ stdenv.mkDerivation (rec { # # See here for context: # https://github.com/NixOS/nixpkgs/pull/194634#issuecomment-1272129132 - ++ lib.optional ( + ++ lib.optional + ( stdenv.targetPlatform.isDarwin - && !stdenv.targetPlatform.isAarch64 - && (lib.versionAtLeast release_version "15") - ) ( + && !stdenv.targetPlatform.isAarch64 + && (lib.versionAtLeast release_version "15") + ) + ( runCommand "bsm-audit-session-header" { } '' install -Dm444 \ "${lib.getDev darwin.apple_sdk.sdk}/include/bsm/audit_session.h" \ @@ -104,7 +102,7 @@ stdenv.mkDerivation (rec { cmakeFlags = [ "-DLLDB_INCLUDE_TESTS=${if doCheck then "YES" else "NO"}" "-DLLVM_ENABLE_RTTI=OFF" - "-DClang_DIR=${libclang.dev}/lib/cmake" + "-DClang_DIR=${lib.getDev libclang}/lib/cmake" "-DLLVM_EXTERNAL_LIT=${lit}/bin/lit" ] ++ lib.optionals stdenv.isDarwin [ "-DLLDB_USE_SYSTEM_DEBUGSERVER=ON" @@ -127,28 +125,21 @@ stdenv.mkDerivation (rec { ]; doCheck = false; + doInstallCheck = lib.versionOlder release_version "15"; # TODO: cleanup with mass-rebuild - installCheckPhase = - if ((lib.versions.major release_version) == "14") then '' - if [ ! -e $lib/${python3.sitePackages}/lldb/_lldb*.so ] ; then - echo "ERROR: python files not installed where expected!"; - return 1; - fi - if [ ! -e "$lib/lib/lua/${lua5_3.luaversion}/lldb.so" ] ; then - echo "ERROR: lua files not installed where expected!"; - return 1; - fi - '' else if (((lib.versions.major release_version) == "15") || (lib.versions.major release_version) == "16") then '' - if [ ! -e "$lib/${python3.sitePackages}/lldb/_lldb.so" ] ; then - return 1; - fi - '' else '' - if [ ! -e "$lib/${python3.sitePackages}/lldb/_lldb.so" ] ; then - echo "ERROR: python files not installed where expected!"; - return 1; - fi - ''; + installCheckPhase = '' + if [ ! -e $lib/${python3.sitePackages}/lldb/_lldb*.so ] ; then + echo "ERROR: python files not installed where expected!"; + return 1; + fi + '' # Something lua is built on older versions but this file doesn't exist. + + lib.optionalString (lib.versionAtLeast release_version "14") '' + if [ ! -e "$lib/lib/lua/${lua5_3.luaversion}/lldb.so" ] ; then + echo "ERROR: lua files not installed where expected!"; + return 1; + fi + ''; postInstall = '' wrapProgram $out/bin/lldb --prefix PYTHONPATH : $lib/${python3.sitePackages}/ @@ -157,7 +148,7 @@ stdenv.mkDerivation (rec { # vscode: install -D ../tools/lldb-vscode/package.json $out/share/vscode/extensions/llvm-org.lldb-vscode-0.1.0/package.json mkdir -p $out/share/vscode/extensions/llvm-org.lldb-vscode-0.1.0/bin - ln -s $out/bin/${if (lib.versionOlder release_version "12") then "llvm-vscode" else "lldb-vscode"} $out/share/vscode/extensions/llvm-org.lldb-vscode-0.1.0/bin + ln -s $out/bin/*-vscode $out/share/vscode/extensions/llvm-org.lldb-vscode-0.1.0/bin ''; meta = llvm_meta // { @@ -174,17 +165,15 @@ stdenv.mkDerivation (rec { (lib.versionOlder release_version "11" && stdenv.isDarwin && stdenv.isAarch64) || (((lib.versions.major release_version) == "13") && stdenv.isDarwin); }; -} // lib.optionalAttrs (lib.versionOlder release_version "15") { - doInstallCheck = true; } // lib.optionalAttrs enableManpages { pname = "lldb-manpages"; - buildPhase = - if lib.versionOlder release_version "15" then '' - make ${if (lib.versionOlder release_version "12") then "docs-man" else "docs-lldb-man"} - '' else null; + buildPhase = lib.optionalString (lib.versionOlder release_version "15") '' + make ${if (lib.versionOlder release_version "12") then "docs-man" else "docs-lldb-man"} + ''; + - ninjaFlags = if lib.versionAtLeast release_version "15" then [ "docs-lldb-man" ] else null; + ninjaFlags = lib.optionals (lib.versionAtLeast release_version "15") [ "docs-lldb-man" ]; propagatedBuildInputs = [ ]; diff --git a/nixpkgs/pkgs/development/compilers/llvm/git/bintools/default.nix b/nixpkgs/pkgs/development/compilers/llvm/git/bintools/default.nix deleted file mode 100644 index c7b20dd28e23..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/git/bintools/default.nix +++ /dev/null @@ -1,37 +0,0 @@ -{ lib, runCommand, stdenv, llvm, lld, version }: - -let - prefix = lib.optionalString (stdenv.hostPlatform != stdenv.targetPlatform) "${stdenv.targetPlatform.config}-"; -in runCommand "llvm-binutils-${version}" { - preferLocalBuild = true; - passthru = { - isLLVM = true; - }; -} '' - mkdir -p $out/bin - for prog in ${lld}/bin/*; do - ln -s $prog $out/bin/${prefix}$(basename $prog) - done - for prog in ${llvm}/bin/*; do - ln -sf $prog $out/bin/${prefix}$(basename $prog) - done - - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ar - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}dlltool - ln -s ${llvm}/bin/llvm-ar $out/bin/${prefix}ranlib - ln -s ${llvm}/bin/llvm-cxxfilt $out/bin/${prefix}c++filt - ln -s ${llvm}/bin/llvm-debuginfod $out/bin/${prefix}debuginfod - ln -s ${llvm}/bin/llvm-debuginfod-find $out/bin/${prefix}debuginfod-find - ln -s ${llvm}/bin/llvm-dwp $out/bin/${prefix}dwp - ln -s ${llvm}/bin/llvm-nm $out/bin/${prefix}nm - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}objcopy - ln -s ${llvm}/bin/llvm-objcopy $out/bin/${prefix}strip - ln -s ${llvm}/bin/llvm-objdump $out/bin/${prefix}objdump - ln -s ${llvm}/bin/llvm-rc $out/bin/${prefix}windres - ln -s ${llvm}/bin/llvm-readobj $out/bin/${prefix}readelf - ln -s ${llvm}/bin/llvm-size $out/bin/${prefix}size - ln -s ${llvm}/bin/llvm-strings $out/bin/${prefix}strings - ln -s ${llvm}/bin/llvm-symbolizer $out/bin/${prefix}addr2line - - ln -s ${lld}/bin/lld $out/bin/${prefix}ld -'' diff --git a/nixpkgs/pkgs/development/compilers/llvm/git/default.nix b/nixpkgs/pkgs/development/compilers/llvm/git/default.nix index 04f17d5edab6..930b716881e8 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/git/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/git/default.nix @@ -184,11 +184,28 @@ in let inherit llvm_meta; }; - lldb = callPackage ./lldb { + lldb = callPackage ../common/lldb.nix { + src = callPackage ({ runCommand }: runCommand "lldb-src-${version}" {} '' + mkdir -p "$out" + cp -r ${monorepoSrc}/cmake "$out" + cp -r ${monorepoSrc}/lldb "$out" + '') { }; + patches = + let + resourceDirPatch = callPackage + ({ substituteAll, libclang }: substituteAll + { + src = ./lldb/resource-dir.patch; + clangLibDir = "${libclang.lib}/lib"; + }) + { }; + in + [ + ./lldb/procfs.patch # FIXME: do we need this? + resourceDirPatch + ./lldb/gnu-install-dirs.patch + ]; inherit llvm_meta; - inherit (darwin) libobjc bootstrap_cmds; - inherit (darwin.apple_sdk.libs) xpc; - inherit (darwin.apple_sdk.frameworks) Foundation Carbon Cocoa; }; # Below, is the LLVM bootstrapping logic. It handles building a @@ -198,7 +215,7 @@ in let # doesn’t support like LLVM. Probably we should move to some other # file. - bintools-unwrapped = callPackage ./bintools {}; + bintools-unwrapped = callPackage ../common/bintools.nix { }; bintoolsNoLibc = wrapBintoolsWith { bintools = tools.bintools-unwrapped; diff --git a/nixpkgs/pkgs/development/compilers/llvm/git/libcxxabi/default.nix b/nixpkgs/pkgs/development/compilers/llvm/git/libcxxabi/default.nix index 362de957886d..6987e6b0ca3c 100644 --- a/nixpkgs/pkgs/development/compilers/llvm/git/libcxxabi/default.nix +++ b/nixpkgs/pkgs/development/compilers/llvm/git/libcxxabi/default.nix @@ -29,7 +29,7 @@ stdenv.mkDerivation rec { postUnpack = lib.optionalString stdenv.isDarwin '' export TRIPLE=x86_64-apple-darwin '' + lib.optionalString stdenv.hostPlatform.isWasm '' - patch -p1 -d llvm -i ${./wasm.patch} + patch -p1 -d llvm -i ${../../common/libcxxabi/wasm.patch} ''; prePatch = '' diff --git a/nixpkgs/pkgs/development/compilers/llvm/git/libcxxabi/wasm.patch b/nixpkgs/pkgs/development/compilers/llvm/git/libcxxabi/wasm.patch deleted file mode 100644 index 4ebfe46aa813..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/git/libcxxabi/wasm.patch +++ /dev/null @@ -1,16 +0,0 @@ -diff --git a/cmake/modules/HandleLLVMOptions.cmake b/cmake/modules/HandleLLVMOptions.cmake -index 15497d405e0..33f7f18193a 100644 ---- a/cmake/modules/HandleLLVMOptions.cmake -+++ b/cmake/modules/HandleLLVMOptions.cmake -@@ -127,7 +127,10 @@ else(WIN32) - set(LLVM_HAVE_LINK_VERSION_SCRIPT 1) - endif() - else(FUCHSIA OR UNIX) -- MESSAGE(SEND_ERROR "Unable to determine platform") -+ if(${CMAKE_SYSTEM_NAME} MATCHES "Wasi") -+ else() -+ MESSAGE(SEND_ERROR "Unable to determine platform") -+ endif() - endif(FUCHSIA OR UNIX) - endif(WIN32) - diff --git a/nixpkgs/pkgs/development/compilers/llvm/git/lldb/default.nix b/nixpkgs/pkgs/development/compilers/llvm/git/lldb/default.nix deleted file mode 100644 index a02c5ca4b136..000000000000 --- a/nixpkgs/pkgs/development/compilers/llvm/git/lldb/default.nix +++ /dev/null @@ -1,144 +0,0 @@ -{ lib, stdenv, llvm_meta -, runCommand -, monorepoSrc -, cmake -, ninja -, zlib -, ncurses -, swig -, which -, libedit -, libxml2 -, libllvm -, libclang -, python3 -, version -, libobjc -, xpc -, Foundation -, bootstrap_cmds -, Carbon -, Cocoa -, lit -, makeWrapper -, enableManpages ? false -, lua5_3 -}: - -stdenv.mkDerivation (rec { - pname = "lldb"; - inherit version; - - src = runCommand "${pname}-src-${version}" {} '' - mkdir -p "$out" - cp -r ${monorepoSrc}/cmake "$out" - cp -r ${monorepoSrc}/${pname} "$out" - ''; - - sourceRoot = "${src.name}/${pname}"; - - patches = [ - ./procfs.patch - (runCommand "resource-dir.patch" { - clangLibDir = "${libclang.lib}/lib"; - } '' - substitute '${./resource-dir.patch}' "$out" --subst-var clangLibDir - '') - ./gnu-install-dirs.patch - ]; - - outputs = [ "out" "lib" "dev" ]; - - nativeBuildInputs = [ - cmake ninja python3 which swig lit makeWrapper lua5_3 - ] ++ lib.optionals enableManpages [ - python3.pkgs.sphinx python3.pkgs.recommonmark - ]; - - buildInputs = [ - ncurses - zlib - libedit - libxml2 - libllvm - ] ++ lib.optionals stdenv.isDarwin [ - libobjc - xpc - Foundation - bootstrap_cmds - Carbon - Cocoa - ]; - - hardeningDisable = [ "format" ]; - - cmakeFlags = [ - "-DLLDB_INCLUDE_TESTS=${if doCheck then "YES" else "NO"}" - "-DLLVM_ENABLE_RTTI=OFF" - "-DClang_DIR=${libclang.dev}/lib/cmake" - "-DLLVM_EXTERNAL_LIT=${lit}/bin/lit" - ] ++ lib.optionals stdenv.isDarwin [ - "-DLLDB_USE_SYSTEM_DEBUGSERVER=ON" - ] ++ lib.optionals (!stdenv.isDarwin) [ - "-DLLDB_CODESIGN_IDENTITY=" # codesigning makes nondeterministic - ] ++ lib.optionals enableManpages [ - "-DLLVM_ENABLE_SPHINX=ON" - "-DSPHINX_OUTPUT_MAN=ON" - "-DSPHINX_OUTPUT_HTML=OFF" - ] ++ lib.optionals doCheck [ - "-DLLDB_TEST_C_COMPILER=${stdenv.cc}/bin/${stdenv.cc.targetPrefix}cc" - "-DLLDB_TEST_CXX_COMPILER=${stdenv.cc}/bin/${stdenv.cc.targetPrefix}c++" - ]; - - doCheck = false; - - installCheckPhase = '' - if [ ! -e "$lib/${python3.sitePackages}/lldb/_lldb.so" ] ; then - return 1; - fi - ''; - - postInstall = '' - wrapProgram $out/bin/lldb --prefix PYTHONPATH : $lib/${python3.sitePackages}/ - - # Editor support - # vscode: - install -D ../tools/lldb-vscode/package.json $out/share/vscode/extensions/llvm-org.lldb-vscode-0.1.0/package.json - mkdir -p $out/share/vscode/extensions/llvm-org.lldb-vscode-0.1.0/bin - ln -s $out/bin/lldb-vscode $out/share/vscode/extensions/llvm-org.lldb-vscode-0.1.0/bin - ''; - - meta = llvm_meta // { - homepage = "https://lldb.llvm.org/"; - description = "A next-generation high-performance debugger"; - longDescription = '' - LLDB is a next generation, high-performance debugger. It is built as a set - of reusable components which highly leverage existing libraries in the - larger LLVM Project, such as the Clang expression parser and LLVM - disassembler. - ''; - }; -} // lib.optionalAttrs enableManpages { - pname = "lldb-manpages"; - - ninjaFlags = [ "docs-lldb-man" ]; - - propagatedBuildInputs = []; - - # manually install lldb man page - installPhase = '' - mkdir -p $out/share/man/man1 - install docs/man/lldb.1 -t $out/share/man/man1/ - ''; - - postPatch = null; - postInstall = null; - - outputs = [ "out" ]; - - doCheck = false; - - meta = llvm_meta // { - description = "man pages for LLDB ${version}"; - }; -}) diff --git a/nixpkgs/pkgs/development/compilers/reason/default.nix b/nixpkgs/pkgs/development/compilers/reason/default.nix index 732d33608e35..675c50142a9b 100644 --- a/nixpkgs/pkgs/development/compilers/reason/default.nix +++ b/nixpkgs/pkgs/development/compilers/reason/default.nix @@ -1,15 +1,16 @@ { lib, callPackage, stdenv, makeWrapper, fetchurl, ocaml, findlib, dune_3 , ncurses , fix, menhir, menhirLib, menhirSdk, merlin-extend, ppxlib, utop, cppo, ppx_derivers +, dune-build-info }: stdenv.mkDerivation rec { pname = "ocaml${ocaml.version}-reason"; - version = "3.8.2"; + version = "3.9.0"; src = fetchurl { url = "https://github.com/reasonml/reason/releases/download/${version}/reason-${version}.tbz"; - sha256 = "sha256-etzEXbILje+CrfJxIhH7jthEMoSJdS6O33QoG8HrLvI="; + hash = "sha256-vPAIHs89Bc5o6Ch2obwqSEl8eCJbizEPLPJLM/NWhBY="; }; strictDeps = true; @@ -24,6 +25,7 @@ stdenv.mkDerivation rec { ]; buildInputs = [ + dune-build-info fix menhirSdk ppxlib diff --git a/nixpkgs/pkgs/development/compilers/scryer-prolog/Cargo.lock b/nixpkgs/pkgs/development/compilers/scryer-prolog/Cargo.lock index 05e549112a72..b3f169927332 100644 --- a/nixpkgs/pkgs/development/compilers/scryer-prolog/Cargo.lock +++ b/nixpkgs/pkgs/development/compilers/scryer-prolog/Cargo.lock @@ -3,6 +3,27 @@ version = 3 [[package]] +name = "addr2line" +version = "0.21.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "8a30b2e23b9e17a9f90641c7ab1549cd9b44f296d3ccbf309d2863cfe398a0cb" +dependencies = [ + "gimli", +] + +[[package]] +name = "adler" +version = "1.0.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe" + +[[package]] +name = "android-tzdata" +version = "0.1.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "e999941b234f3131b00bc13c22d06e8c5ff726d1b6318ac7eb276997bbb4fef0" + +[[package]] name = "android_system_properties" version = "0.1.5" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -33,24 +54,24 @@ dependencies = [ [[package]] name = "autocfg" -version = "0.1.8" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "0dde43e75fd43e8a1bf86103336bc699aa8d17ad1be60c76c0bdfd4828e19b78" -dependencies = [ - "autocfg 1.1.0", -] - -[[package]] -name = "autocfg" version = "1.1.0" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa" [[package]] -name = "az" -version = "1.2.1" +name = "backtrace" +version = "0.3.69" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "7b7e4c2464d97fe331d41de9d5db0def0a96f4d823b8b32a2efd503578988973" +checksum = "2089b7e3f35b9dd2d0ed921ead4f6d318c27680d4a5bd167b3ee120edb105837" +dependencies = [ + "addr2line", + "cc", + "cfg-if", + "libc", + "miniz_oxide", + "object", + "rustc-demangle", +] [[package]] name = "base64" @@ -59,6 +80,12 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "3441f0f7b02788e948e47f457ca01f1d7e6d92c693bc132c22b087d3141c03ff" [[package]] +name = "base64" +version = "0.21.3" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "414dcefbc63d77c526a76b3afcf6fbb9b5e2791c19c3aa2297733208750c6e53" + +[[package]] name = "bit-set" version = "0.5.3" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -80,6 +107,24 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a" [[package]] +name = "bitflags" +version = "2.4.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "b4682ae6287fcf752ecaabbfcc7b6f9b72aa33933dc23a554d853aea8eea8635" + +[[package]] +name = "bitvec" +version = "1.0.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "1bc2832c24239b0141d5674bb9174f9d68a8b5b3f2753311927c172ca46f7e9c" +dependencies = [ + "funty", + "radium", + "tap", + "wyz", +] + +[[package]] name = "blake2" version = "0.8.1" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -93,11 +138,11 @@ dependencies = [ [[package]] name = "blake2" -version = "0.10.4" +version = "0.10.6" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "b9cf849ee05b2ee5fba5e36f97ff8ec2533916700fc0758d40d92136a42f3388" +checksum = "46502ad458c9a52b69d4d4d32775c788b7a1b85e8bc9d482d92250fc0e3f8efe" dependencies = [ - "digest 0.10.5", + "digest 0.10.7", ] [[package]] @@ -114,11 +159,11 @@ dependencies = [ [[package]] name = "block-buffer" -version = "0.10.3" +version = "0.10.4" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "69cce20737498f97b993470a6e536b8523f0af7892a4f928cceb1ac5e52ebe7e" +checksum = "3078c7629b62d3f0439517fa394996acacc5cbc91c5a20d8c658e77abd503a71" dependencies = [ - "generic-array 0.14.6", + "generic-array 0.14.7", ] [[package]] @@ -143,9 +188,9 @@ dependencies = [ [[package]] name = "bumpalo" -version = "3.11.1" +version = "3.13.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "572f695136211188308f16ad2ca5c851a712c464060ae6974944458eb83880ba" +checksum = "a3e2c3daef883ecc1b5d58c15adae93470a91d425f3532ba1695849656af3fc1" [[package]] name = "byte-tools" @@ -161,15 +206,18 @@ checksum = "14c189c53d098945499cdfa7ecc63567cf3886b3332b312a5b4585d8d3a6a610" [[package]] name = "bytes" -version = "1.2.1" +version = "1.4.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "ec8a7b6a70fde80372154c65702f00a0f56f3e1c36abbc6c440484be248856db" +checksum = "89b2fd2a0dcf38d7971e2194b6b6eebab45ae01067456a7fd93d5547a61b70be" [[package]] name = "cc" -version = "1.0.76" +version = "1.0.83" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "76a284da2e6fe2092f2353e51713435363112dfd60030e22add80be333fb928f" +checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0" +dependencies = [ + "libc", +] [[package]] name = "cfg-if" @@ -179,13 +227,13 @@ checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd" [[package]] name = "chrono" -version = "0.4.22" +version = "0.4.26" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "bfd4d1b31faaa3a89d7934dbded3111da0d2ef28e3ebccdb4f0179f5929d1ef1" +checksum = "ec837a71355b28f6556dbd569b37b3f363091c0bd4b2e735674521b4c5fd9bc5" dependencies = [ + "android-tzdata", "iana-time-zone", "js-sys", - "num-integer", "num-traits", "time", "wasm-bindgen", @@ -194,9 +242,9 @@ dependencies = [ [[package]] name = "clipboard-win" -version = "4.4.2" +version = "4.5.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "c4ab1b92798304eedc095b53942963240037c0516452cb11aeba709d420b2219" +checksum = "7191c27c2357d9b7ef96baac1773290d4ca63b24205b82a3fd8a0637afcf0362" dependencies = [ "error-code", "str-buf", @@ -204,25 +252,6 @@ dependencies = [ ] [[package]] -name = "cloudabi" -version = "0.0.3" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "ddfc5b9aa5d4507acaf872de71051dfd0e309860e88966e1051e462a077aac4f" -dependencies = [ - "bitflags", -] - -[[package]] -name = "codespan-reporting" -version = "0.11.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "3538270d33cc669650c4b093848450d380def10c331d38c768e34cac80576e6e" -dependencies = [ - "termcolor", - "unicode-width", -] - -[[package]] name = "core-foundation" version = "0.9.3" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -234,9 +263,9 @@ dependencies = [ [[package]] name = "core-foundation-sys" -version = "0.8.3" +version = "0.8.4" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "5827cebf4670468b8772dd191856768aedcb1b0278a04f989f7766351917b9dc" +checksum = "e496a50fda8aacccc86d7529e2c1e0892dbd0f898a6b5645b5561b89c3210efa" [[package]] name = "cpu-time" @@ -250,9 +279,9 @@ dependencies = [ [[package]] name = "cpufeatures" -version = "0.2.5" +version = "0.2.9" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "28d997bd5e24a5928dd43e46dc529867e207907fe0b239c3477d924f7f2ca320" +checksum = "a17b76ff3a4162b0b27f354a0c87015ddad39d35f9c0c36607a3bdd175dde1f1" dependencies = [ "libc", ] @@ -263,7 +292,7 @@ version = "0.20.0" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "c0ebde6a9dd5e331cd6c6f48253254d117642c31653baa475e394657c59c1f7d" dependencies = [ - "bitflags", + "bitflags 1.3.2", "crossterm_winapi", "libc", "mio 0.7.14", @@ -284,13 +313,14 @@ dependencies = [ [[package]] name = "crrl" -version = "0.2.0" +version = "0.6.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "2db40892a506901e4e8281f00e42687df82d1d3448cb0289ae9183a60cb42ec1" +checksum = "b083214486dae00f49a2f21f32fc8bbc333d6048601bc89203a8ab92bfa691f0" dependencies = [ - "blake2 0.10.4", - "rand_core 0.6.4", + "blake2 0.10.6", + "rand_core", "sha2", + "sha3 0.10.8", ] [[package]] @@ -299,7 +329,7 @@ version = "0.1.6" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "1bfb12502f3fc46cca1bb51ac28df9d618d813cdc3d2f25b9fe775a34af26bb3" dependencies = [ - "generic-array 0.14.6", + "generic-array 0.14.7", "typenum", ] @@ -315,56 +345,103 @@ dependencies = [ [[package]] name = "ctrlc" -version = "3.2.3" +version = "3.4.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "1d91974fbbe88ec1df0c24a4f00f99583667a7e2e6272b2b92d294d81e462173" +checksum = "2a011bbe2c35ce9c1f143b7af6f94f29a167beb4cd1d29e6740ce836f723120e" dependencies = [ - "nix 0.25.0", - "winapi", + "nix", + "windows-sys", ] [[package]] -name = "cxx" -version = "1.0.81" +name = "dashmap" +version = "5.5.1" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "97abf9f0eca9e52b7f81b945524e76710e6cb2366aead23b7d4fbf72e281f888" +checksum = "edd72493923899c6f10c641bdbdeddc7183d6396641d99c1a0d1597f37f92e28" dependencies = [ - "cc", - "cxxbridge-flags", - "cxxbridge-macro", - "link-cplusplus", + "cfg-if", + "hashbrown 0.14.0", + "lock_api", + "once_cell", + "parking_lot_core 0.9.8", ] [[package]] -name = "cxx-build" -version = "1.0.81" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "7cc32cc5fea1d894b77d269ddb9f192110069a8a9c1f1d441195fba90553dea3" +name = "dashu" +version = "0.3.1" +source = "git+https://github.com/coasys/dashu.git#f9342190be9062630124e666b001077bb5f02f23" dependencies = [ - "cc", - "codespan-reporting", - "once_cell", - "proc-macro2 1.0.47", - "quote 1.0.21", - "scratch", - "syn 1.0.103", + "dashu-base", + "dashu-float", + "dashu-int", + "dashu-macros", + "dashu-ratio", ] [[package]] -name = "cxxbridge-flags" -version = "1.0.81" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "8ca220e4794c934dc6b1207c3b42856ad4c302f2df1712e9f8d2eec5afaacf1f" +name = "dashu-base" +version = "0.3.1" +source = "git+https://github.com/coasys/dashu.git#f9342190be9062630124e666b001077bb5f02f23" + +[[package]] +name = "dashu-float" +version = "0.3.2" +source = "git+https://github.com/coasys/dashu.git#f9342190be9062630124e666b001077bb5f02f23" +dependencies = [ + "dashu-base", + "dashu-int", + "num-order", + "num-traits", + "static_assertions", +] [[package]] -name = "cxxbridge-macro" -version = "1.0.81" +name = "dashu-int" +version = "0.3.1" +source = "git+https://github.com/coasys/dashu.git#f9342190be9062630124e666b001077bb5f02f23" +dependencies = [ + "cfg-if", + "dashu-base", + "num-modular 0.5.2", + "num-order", + "num-traits", + "static_assertions", +] + +[[package]] +name = "dashu-macros" +version = "0.3.1" +source = "git+https://github.com/coasys/dashu.git#f9342190be9062630124e666b001077bb5f02f23" +dependencies = [ + "dashu-base", + "dashu-float", + "dashu-int", + "dashu-ratio", + "proc-macro2", + "quote", +] + +[[package]] +name = "dashu-ratio" +version = "0.3.2" +source = "git+https://github.com/coasys/dashu.git#f9342190be9062630124e666b001077bb5f02f23" +dependencies = [ + "dashu-base", + "dashu-float", + "dashu-int", + "num-order", + "num-traits", +] + +[[package]] +name = "derive_deref" +version = "1.1.1" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "b846f081361125bfc8dc9d3940c84e1fd83ba54bbca7b17cd29483c828be0704" +checksum = "dcdbcee2d9941369faba772587a565f4f534e42cb8d17e5295871de730163b2b" dependencies = [ - "proc-macro2 1.0.47", - "quote 1.0.21", - "syn 1.0.103", + "proc-macro2", + "quote", + "syn 1.0.109", ] [[package]] @@ -384,13 +461,13 @@ dependencies = [ [[package]] name = "digest" -version = "0.10.5" +version = "0.10.7" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "adfbc57365a37acbd2ebf2b64d7e69bb766e2fea813521ed536f5d0520dcf86c" +checksum = "9ed9a281f7bc9b7576e61468ba615a66a5c8cfdff42420a70aa82701a3b1e292" dependencies = [ - "block-buffer 0.10.3", + "block-buffer 0.10.4", "crypto-common", - "subtle 2.4.1", + "subtle 2.5.0", ] [[package]] @@ -427,19 +504,19 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "fea41bba32d969b513997752735605054bc0dfa92b4c56bf1189f2e174be7a10" [[package]] -name = "ed25519" -version = "1.5.2" +name = "either" +version = "1.9.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "1e9c280362032ea4203659fc489832d0204ef09f247a0506f170dafcac08c369" -dependencies = [ - "signature", -] +checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07" [[package]] -name = "either" -version = "1.8.0" +name = "encoding_rs" +version = "0.8.33" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "90e5c1c8368803113bf0c9584fc495a58b86dc8a29edbf8fe877d21d9507e797" +checksum = "7268b386296a025e474d5140678f75d6de9493ae55a5d709eeb9dd08149945e1" +dependencies = [ + "cfg-if", +] [[package]] name = "endian-type" @@ -449,13 +526,13 @@ checksum = "c34f04666d835ff5d62e058c3995147c06f42fe86ff053337632bca83e42702d" [[package]] name = "errno" -version = "0.2.8" +version = "0.3.2" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "f639046355ee4f37944e44f60642c6f3a7efa3cf6b78c78a0d989a8ce6c396a1" +checksum = "6b30f669a7961ef1631673d2766cc92f52d64f7ef354d4fe0ddfd30ed52f0f4f" dependencies = [ "errno-dragonfly", "libc", - "winapi", + "windows-sys", ] [[package]] @@ -480,22 +557,19 @@ dependencies = [ [[package]] name = "fastrand" -version = "1.8.0" +version = "2.0.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "a7a407cfaa3385c4ae6b23e84623d48c2798d06e3e6a1878f7f59f17b3f86499" -dependencies = [ - "instant", -] +checksum = "6999dc1837253364c2ebb0704ba97994bd874e8f195d665c50b7548f6ea92764" [[package]] name = "fd-lock" -version = "3.0.8" +version = "3.0.13" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "bb21c69b9fea5e15dbc1049e4b77145dd0ba1c84019c488102de0dc4ea4b0a27" +checksum = "ef033ed5e9bad94e55838ca0ca906db0e043f517adda0c8b79c7a8c66c93c1b5" dependencies = [ "cfg-if", "rustix", - "windows-sys 0.42.0", + "windows-sys", ] [[package]] @@ -520,10 +594,19 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b" [[package]] -name = "fuchsia-cprng" -version = "0.1.1" +name = "form_urlencoded" +version = "1.2.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "a62bc1cf6f830c2ec14a513a9fb124d0a213a629668a4186f329db21fe045652" +dependencies = [ + "percent-encoding", +] + +[[package]] +name = "funty" +version = "2.0.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "a06f77d526c1a601b7c4cdd98f54b5eaabffc14d5f2f0296febdc7f357c6d3ba" +checksum = "e6d5a32815ae3f33302d95fdcb2ce17862f8c65363dcfd29360480ba1001fc9c" [[package]] name = "futf" @@ -537,9 +620,9 @@ dependencies = [ [[package]] name = "futures" -version = "0.3.25" +version = "0.3.28" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "38390104763dc37a5145a53c29c63c1290b5d316d6086ec32c293f6736051bb0" +checksum = "23342abe12aba583913b2e62f22225ff9c950774065e4bfb61a19cd9770fec40" dependencies = [ "futures-channel", "futures-core", @@ -552,9 +635,9 @@ dependencies = [ [[package]] name = "futures-channel" -version = "0.3.25" +version = "0.3.28" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "52ba265a92256105f45b719605a571ffe2d1f0fea3807304b522c1d778f79eed" +checksum = "955518d47e09b25bbebc7a18df10b81f0c766eaf4c4f1cccef2fca5f2a4fb5f2" dependencies = [ "futures-core", "futures-sink", @@ -562,15 +645,15 @@ dependencies = [ [[package]] name = "futures-core" -version = "0.3.25" +version = "0.3.28" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "04909a7a7e4633ae6c4a9ab280aeb86da1236243a77b694a49eacd659a4bd3ac" +checksum = "4bca583b7e26f571124fe5b7561d49cb2868d79116cfa0eefce955557c6fee8c" [[package]] name = "futures-executor" -version = "0.3.25" +version = "0.3.28" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "7acc85df6714c176ab5edf386123fafe217be88c0840ec11f199441134a074e2" +checksum = "ccecee823288125bd88b4d7f565c9e58e41858e47ab72e8ea2d64e93624386e0" dependencies = [ "futures-core", "futures-task", @@ -579,38 +662,38 @@ dependencies = [ [[package]] name = "futures-io" -version = "0.3.25" +version = "0.3.28" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "00f5fb52a06bdcadeb54e8d3671f8888a39697dcb0b81b23b55174030427f4eb" +checksum = "4fff74096e71ed47f8e023204cfd0aa1289cd54ae5430a9523be060cdb849964" [[package]] name = "futures-macro" -version = "0.3.25" +version = "0.3.28" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "bdfb8ce053d86b91919aad980c220b1fb8401a9394410e1c289ed7e66b61835d" +checksum = "89ca545a94061b6365f2c7355b4b32bd20df3ff95f02da9329b34ccc3bd6ee72" dependencies = [ - "proc-macro2 1.0.47", - "quote 1.0.21", - "syn 1.0.103", + "proc-macro2", + "quote", + "syn 2.0.29", ] [[package]] name = "futures-sink" -version = "0.3.25" +version = "0.3.28" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "39c15cf1a4aa79df40f1bb462fb39676d0ad9e366c2a33b590d7c66f4f81fcf9" +checksum = "f43be4fe21a13b9781a69afa4985b0f6ee0e1afab2c6f454a8cf30e2b2237b6e" [[package]] name = "futures-task" -version = "0.3.25" +version = "0.3.28" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "2ffb393ac5d9a6eaa9d3fdf37ae2776656b706e200c8e16b1bdb227f5198e6ea" +checksum = "76d3d132be6c0e6aa1534069c705a74a5997a356c0dc2f86a47765e5617c5b65" [[package]] name = "futures-util" -version = "0.3.25" +version = "0.3.28" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "197676987abd2f9cadff84926f410af1c183608d36641465df73ae8211dc65d6" +checksum = "26b01e40b772d54cf6c6d721c1d1abd0647a0106a12ecaa1c186273392a69533" dependencies = [ "futures-channel", "futures-core", @@ -644,9 +727,9 @@ dependencies = [ [[package]] name = "generic-array" -version = "0.14.6" +version = "0.14.7" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "bff49e947297f3312447abdca79f45f4738097cc82b06e72054d2223f601f1b9" +checksum = "85649ca51fd72272d7821adaf274ad91c288277713d9c18820d8499a7ff69e9a" dependencies = [ "typenum", "version_check", @@ -654,16 +737,24 @@ dependencies = [ [[package]] name = "getrandom" -version = "0.2.8" +version = "0.2.10" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "c05aeb6a22b8f62540c194aac980f2115af067bfe15a0734d7277a768d396b31" +checksum = "be4136b2a15dd319360be1c07d9933517ccf0be8f16bf62a3bee4f0d618df427" dependencies = [ "cfg-if", + "js-sys", "libc", "wasi 0.11.0+wasi-snapshot-preview1", + "wasm-bindgen", ] [[package]] +name = "gimli" +version = "0.28.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "6fb8d784f27acf97159b40fc4db5ecd8aa23b9ad5ef69cdd136d3bc80665f0c0" + +[[package]] name = "git-version" version = "0.3.5" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -680,26 +771,16 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "fe69f1cbdb6e28af2bac214e943b99ce8a0a06b447d15d3e61161b0423139f3f" dependencies = [ "proc-macro-hack", - "proc-macro2 1.0.47", - "quote 1.0.21", - "syn 1.0.103", -] - -[[package]] -name = "gmp-mpfr-sys" -version = "1.4.10" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "ea3f42dadb6c75f122e9aa87e757ef11d4282f664c9f2e6476a9c2c8970f9d19" -dependencies = [ - "libc", - "winapi", + "proc-macro2", + "quote", + "syn 1.0.109", ] [[package]] name = "h2" -version = "0.3.15" +version = "0.3.21" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "5f9f29bc9dda355256b2916cf526ab02ce0aeaaaf2bad60d65ef3f12f11dd0f4" +checksum = "91fc23aa11be92976ef4729127f1a74adf36d8436f7816b185d18df956790833" dependencies = [ "bytes", "fnv", @@ -721,6 +802,12 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888" [[package]] +name = "hashbrown" +version = "0.14.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "2c6201b9ff9fd90a5a3bac2e56a830d0caa509576f0e503818ee82c181b3437a" + +[[package]] name = "heck" version = "0.3.3" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -731,11 +818,17 @@ dependencies = [ [[package]] name = "hermit-abi" -version = "0.1.19" +version = "0.3.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "443144c8cdadd93ebf52ddb4056d257f5b52c04d3c804e657d19eb73fc33668b" + +[[package]] +name = "home" +version = "0.5.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "62b467343b94ba476dcb2500d242dadbb39557df889310ac77c5d99100aaac33" +checksum = "5444c27eef6923071f7ebcc33e3444508466a76f7a2b93da00ed6e19f30c1ddb" dependencies = [ - "libc", + "windows-sys", ] [[package]] @@ -751,23 +844,23 @@ dependencies = [ [[package]] name = "html5ever" -version = "0.23.0" +version = "0.26.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "5ce65ac8028cf5a287a7dbf6c4e0a6cf2dcf022ed5b167a81bae66ebf599a8b7" +checksum = "bea68cab48b8459f17cf1c944c67ddc572d272d9f2b274140f223ecb1da4a3b7" dependencies = [ "log", "mac", "markup5ever", - "proc-macro2 0.4.30", - "quote 0.6.13", - "syn 0.15.44", + "proc-macro2", + "quote", + "syn 1.0.109", ] [[package]] name = "http" -version = "0.2.8" +version = "0.2.9" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "75f43d41e26995c17e71ee126451dd3941010b0514a81a9d11f3b341debc2399" +checksum = "bd6effc99afb63425aff9b05836f029929e345a6148a14b7ecd5ab67af944482" dependencies = [ "bytes", "fnv", @@ -786,6 +879,29 @@ dependencies = [ ] [[package]] +name = "http-body" +version = "1.0.0-rc.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "951dfc2e32ac02d67c90c0d65bd27009a635dc9b381a2cc7d284ab01e3a0150d" +dependencies = [ + "bytes", + "http", +] + +[[package]] +name = "http-body-util" +version = "0.1.0-rc.3" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "08ef12f041acdd397010e5fb6433270c147d3b8b2d0a840cd7fff8e531dca5c8" +dependencies = [ + "bytes", + "futures-util", + "http", + "http-body 1.0.0-rc.2", + "pin-project-lite", +] + +[[package]] name = "httparse" version = "1.8.0" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -793,15 +909,15 @@ checksum = "d897f394bad6a705d5f4104762e116a75639e470d80901eed05a860a95cb1904" [[package]] name = "httpdate" -version = "1.0.2" +version = "1.0.3" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "c4a1e36c821dbe04574f602848a19f742f4fb3c98d40449f11bcad18d6b17421" +checksum = "df3b46402a9d5adb4c86a0cf463f42e19994e3ee891101b1841f30a545cb49a9" [[package]] name = "hyper" -version = "0.14.23" +version = "0.14.27" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "034711faac9d2166cb1baf1a2fb0b60b1f277f8492fd72176c17f3515e1abd3c" +checksum = "ffb1cfd654a8219eaef89881fdb3bb3b1cdc5fa75ded05d6933b2b382e395468" dependencies = [ "bytes", "futures-channel", @@ -809,12 +925,12 @@ dependencies = [ "futures-util", "h2", "http", - "http-body", + "http-body 0.4.5", "httparse", "httpdate", "itoa", "pin-project-lite", - "socket2", + "socket2 0.4.9", "tokio", "tower-service", "tracing", @@ -822,13 +938,35 @@ dependencies = [ ] [[package]] +name = "hyper" +version = "1.0.0-rc.3" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "7b75264b2003a3913f118d35c586e535293b3e22e41f074930762929d071e092" +dependencies = [ + "bytes", + "futures-channel", + "futures-core", + "futures-util", + "h2", + "http", + "http-body 1.0.0-rc.2", + "httparse", + "httpdate", + "itoa", + "pin-project-lite", + "tokio", + "tracing", + "want", +] + +[[package]] name = "hyper-tls" version = "0.5.0" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "d6183ddfa99b85da61a140bea0efc93fdf56ceaa041b37d553518030827f9905" dependencies = [ "bytes", - "hyper", + "hyper 0.14.27", "native-tls", "tokio", "tokio-native-tls", @@ -836,36 +974,45 @@ dependencies = [ [[package]] name = "iana-time-zone" -version = "0.1.53" +version = "0.1.57" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "64c122667b287044802d6ce17ee2ddf13207ed924c712de9a66a5814d5b64765" +checksum = "2fad5b825842d2b38bd206f3e81d6957625fd7f0a361e345c30e01a0ae2dd613" dependencies = [ "android_system_properties", "core-foundation-sys", "iana-time-zone-haiku", "js-sys", "wasm-bindgen", - "winapi", + "windows", ] [[package]] name = "iana-time-zone-haiku" -version = "0.1.1" +version = "0.1.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "f31827a206f56af32e590ba56d5d2d085f558508192593743f16b2306495269f" +dependencies = [ + "cc", +] + +[[package]] +name = "idna" +version = "0.4.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "0703ae284fc167426161c2e3f1da3ea71d94b21bedbcc9494e92b28e334e3dca" +checksum = "7d20d6b07bfbc108882d88ed8e37d39636dcc260e15e30c45e6ba089610b917c" dependencies = [ - "cxx", - "cxx-build", + "unicode-bidi", + "unicode-normalization", ] [[package]] name = "indexmap" -version = "1.9.1" +version = "1.9.3" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "10a35a97730320ffe8e2d410b5d3b69279b98d2c14bdb8b70ea89ecf7888d41e" +checksum = "bd070e393353796e801d209ad339e89596eb4c8d430d18ede6a1cced8fafbd99" dependencies = [ - "autocfg 1.1.0", - "hashbrown", + "autocfg", + "hashbrown 0.12.3", ] [[package]] @@ -878,14 +1025,10 @@ dependencies = [ ] [[package]] -name = "io-lifetimes" -version = "1.0.1" +name = "ipnet" +version = "2.8.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "a7d367024b3f3414d8e01f437f704f41a9f64ab36f9067fa73e526ad4c763c87" -dependencies = [ - "libc", - "windows-sys 0.42.0", -] +checksum = "28b29a3cd74f0f4598934efe3aeba42bae0eb4680554128851ebbecb02af14e6" [[package]] name = "itertools" @@ -898,24 +1041,27 @@ dependencies = [ [[package]] name = "itoa" -version = "1.0.4" +version = "1.0.9" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "4217ad341ebadf8d8e724e264f13e593e0648f5b3e94b3896a5df283be015ecc" +checksum = "af150ab688ff2122fcef229be89cb50dd66af9e01a4ff320cc137eecc9bacc38" [[package]] name = "js-sys" -version = "0.3.60" +version = "0.3.64" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "49409df3e3bf0856b916e2ceaca09ee28e6871cf7d9ce97a692cacfdb2a25a47" +checksum = "c5f195fe497f702db0f318b07fdd68edb16955aed830df8363d837542f8f935a" dependencies = [ "wasm-bindgen", ] [[package]] name = "keccak" -version = "0.1.2" +version = "0.1.4" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "f9b7d56ba4a8344d6be9729995e6b06f928af29998cdf79fe390cbf6b1fee838" +checksum = "8f6d5ed8676d904364de097082f4e7d240b571b67989ced0240f08b7f966f940" +dependencies = [ + "cpufeatures", +] [[package]] name = "lazy_static" @@ -940,7 +1086,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "6607c62aa161d23d17a9072cc5da0be67cdfc89d3afb1e8d9c842bebc2525ffe" dependencies = [ "arrayvec", - "bitflags", + "bitflags 1.3.2", "cfg-if", "ryu", "static_assertions", @@ -948,55 +1094,58 @@ dependencies = [ [[package]] name = "libc" -version = "0.2.137" +version = "0.2.147" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "fc7fcc620a3bff7cdd7a365be3376c97191aeaccc2a603e600951e452615bf89" +checksum = "b4668fb0ea861c1df094127ac5f1da3409a82116a4ba74fca2e58ef927159bb3" [[package]] -name = "libsodium-sys" -version = "0.2.7" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "6b779387cd56adfbc02ea4a668e704f729be8d6a6abd2c27ca5ee537849a92fd" +name = "libffi" +version = "3.2.0" +source = "git+https://github.com/coasys/libffi-rs.git?branch=windows-space#f6e9e50efde0aa4e940dd6f709a59bb426875362" dependencies = [ - "cc", "libc", - "pkg-config", - "walkdir", + "libffi-sys", ] [[package]] -name = "link-cplusplus" -version = "1.0.7" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "9272ab7b96c9046fbc5bc56c06c117cb639fe2d509df0c421cad82d2915cf369" +name = "libffi-sys" +version = "2.3.0" +source = "git+https://github.com/coasys/libffi-rs.git?branch=windows-space#f6e9e50efde0aa4e940dd6f709a59bb426875362" dependencies = [ "cc", ] [[package]] +name = "libloading" +version = "0.7.4" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "b67380fd3b2fbe7527a606e18729d21c6f3951633d0500574c4dc22d2d638b9f" +dependencies = [ + "cfg-if", + "winapi", +] + +[[package]] name = "linux-raw-sys" -version = "0.1.2" +version = "0.4.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "bb68f22743a3fb35785f1e7f844ca5a3de2dde5bd0c0ef5b372065814699b121" +checksum = "57bcfdad1b858c2db7c38303a6d2ad4dfaf5eb53dfeb0910128b2c26d6158503" [[package]] name = "lock_api" -version = "0.4.9" +version = "0.4.10" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "435011366fe56583b16cf956f9df0095b405b82d76425bc8981c0e22e60ec4df" +checksum = "c1cc9717a20b1bb222f333e6a92fd32f7d8a18ddc5a3191a11af45dcbf4dcd16" dependencies = [ - "autocfg 1.1.0", + "autocfg", "scopeguard", ] [[package]] name = "log" -version = "0.4.17" +version = "0.4.20" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "abb12e687cfb44aa40f41fc3978ef76448f9b6038cad6aef4259d3c095a2382e" -dependencies = [ - "cfg-if", -] +checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f" [[package]] name = "mac" @@ -1006,22 +1155,31 @@ checksum = "c41e0c4fef86961ac6d6f8a82609f55f31b05e4fce149ac5710e439df7619ba4" [[package]] name = "markup5ever" -version = "0.8.1" +version = "0.11.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "f1af46a727284117e09780d05038b1ce6fc9c76cc6df183c3dae5a8955a25e21" +checksum = "7a2629bb1404f3d34c2e921f21fd34ba00b206124c81f65c50b43b6aaefeb016" dependencies = [ "log", - "phf 0.7.24", + "phf 0.10.1", "phf_codegen", - "serde", - "serde_derive", - "serde_json", "string_cache", "string_cache_codegen", "tendril", ] [[package]] +name = "markup5ever_rcdom" +version = "0.2.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "b9521dd6750f8e80ee6c53d65e2e4656d7de37064f3a7a5d2d11d05df93839c2" +dependencies = [ + "html5ever", + "markup5ever", + "tendril", + "xml5ever", +] + +[[package]] name = "match_cfg" version = "0.1.0" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -1034,12 +1192,18 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "2dffe52ecf27772e601905b7522cb4ef790d2cc203488bbd0e2fe85fcb74566d" [[package]] -name = "memoffset" -version = "0.6.5" +name = "mime" +version = "0.3.17" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "5aa361d4faea93603064a027415f07bd8e1d5c88c9fbf68bf56a285428fd79ce" +checksum = "6877bb514081ee2a7ff5ef9de3281f14a4dd4bceac4c09388074a6b5df8a139a" + +[[package]] +name = "miniz_oxide" +version = "0.7.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7" dependencies = [ - "autocfg 1.1.0", + "adler", ] [[package]] @@ -1057,14 +1221,13 @@ dependencies = [ [[package]] name = "mio" -version = "0.8.5" +version = "0.8.8" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "e5d732bc30207a6423068df043e3d02e0735b155ad7ce1a6f76fe2baa5b158de" +checksum = "927a765cd3fc26206e66b296465fa9d3e5ab003e651c1b3c060e7956d96b19d2" dependencies = [ "libc", - "log", "wasi 0.11.0+wasi-snapshot-preview1", - "windows-sys 0.42.0", + "windows-sys", ] [[package]] @@ -1090,9 +1253,9 @@ name = "modular-bitfield-impl" version = "0.11.2" source = "git+https://github.com/mthom/modular-bitfield#213535c684af277563678179d8496f11b84a283f" dependencies = [ - "proc-macro2 1.0.47", - "quote 1.0.21", - "syn 1.0.103", + "proc-macro2", + "quote", + "syn 1.0.109", ] [[package]] @@ -1130,25 +1293,11 @@ dependencies = [ [[package]] name = "nix" -version = "0.23.1" +version = "0.26.3" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "9f866317acbd3a240710c63f065ffb1e4fd466259045ccb504130b7f668f35c6" +checksum = "abbbc55ad7b13aac85f9401c796dcda1b864e07fcad40ad47792eaa8932ea502" dependencies = [ - "bitflags", - "cc", - "cfg-if", - "libc", - "memoffset", -] - -[[package]] -name = "nix" -version = "0.25.0" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "e322c04a9e3440c327fca7b6c8a63e6890a32fa2ad689db972425f07e0d22abb" -dependencies = [ - "autocfg 1.1.0", - "bitflags", + "bitflags 2.4.0", "cfg-if", "libc", ] @@ -1168,34 +1317,68 @@ version = "0.1.45" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9" dependencies = [ - "autocfg 1.1.0", + "autocfg", + "num-traits", +] + +[[package]] +name = "num-modular" +version = "0.5.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "64a5fe11d4135c3bcdf3a95b18b194afa9608a5f6ff034f5d857bc9a27fb0119" +dependencies = [ + "num-integer", + "num-traits", +] + +[[package]] +name = "num-modular" +version = "0.5.2" +source = "git+https://github.com/coasys/num-modular.git#1ae06248ec69390370def3a229b3bf4c209aa6c7" + +[[package]] +name = "num-order" +version = "1.0.4" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "e81e321057a0370997b13e6638bba6bd7f6f426e1f8e9a2562490a28eb23e1bc" +dependencies = [ + "num-modular 0.5.1", "num-traits", ] [[package]] name = "num-traits" -version = "0.2.15" +version = "0.2.16" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "578ede34cf02f8924ab9447f50c28075b4d3e5b269972345e7e0372b38c6cdcd" +checksum = "f30b0abd723be7e2ffca1272140fac1a2f084c77ec3e123c192b66af1ee9e6c2" dependencies = [ - "autocfg 1.1.0", + "autocfg", ] [[package]] name = "num_cpus" -version = "1.14.0" +version = "1.16.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "f6058e64324c71e02bc2b150e4f3bc8286db6c83092132ffa3f6b1eab0f9def5" +checksum = "4161fcb6d602d4d2081af7c3a45852d875a03dd337a6bfdd6e06407b61342a43" dependencies = [ "hermit-abi", "libc", ] [[package]] +name = "object" +version = "0.32.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "77ac5bbd07aea88c60a577a1ce218075ffd59208b2d7ca97adf9bfc5aeb21ebe" +dependencies = [ + "memchr", +] + +[[package]] name = "once_cell" -version = "1.16.0" +version = "1.18.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "86f0b0d4bf799edbc74508c1e8bf170ff5f41238e5f8225603ca7caaae2b7860" +checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d" [[package]] name = "opaque-debug" @@ -1205,11 +1388,11 @@ checksum = "2839e79665f131bdb5782e51f2c6c9599c133c6098982a54c794358bf432529c" [[package]] name = "openssl" -version = "0.10.42" +version = "0.10.57" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "12fc0523e3bd51a692c8850d075d74dc062ccf251c0110668cbd921917118a13" +checksum = "bac25ee399abb46215765b1cb35bc0212377e58a061560d8b29b024fd0430e7c" dependencies = [ - "bitflags", + "bitflags 2.4.0", "cfg-if", "foreign-types", "libc", @@ -1220,13 +1403,13 @@ dependencies = [ [[package]] name = "openssl-macros" -version = "0.1.0" +version = "0.1.1" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "b501e44f11665960c7e7fcf062c7d96a14ade4aa98116c004b2e37b5be7d736c" +checksum = "a948666b637a0f465e8564c73e89d4dde00d72d4d473cc972f390fc3dcee7d9c" dependencies = [ - "proc-macro2 1.0.47", - "quote 1.0.21", - "syn 1.0.103", + "proc-macro2", + "quote", + "syn 2.0.29", ] [[package]] @@ -1237,11 +1420,10 @@ checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf" [[package]] name = "openssl-sys" -version = "0.9.77" +version = "0.9.92" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "b03b84c3b2d099b81f0953422b4d4ad58761589d0229b5506356afca05a3670a" +checksum = "db7e971c2c2bba161b2d2fdf37080177eff520b3bc044787c7f1f5f9e78d869b" dependencies = [ - "autocfg 1.1.0", "cc", "libc", "pkg-config", @@ -1265,7 +1447,7 @@ checksum = "7d17b78036a60663b797adeaee46f5c9dfebb86948d1255007a1d6be0271ff99" dependencies = [ "instant", "lock_api", - "parking_lot_core 0.8.5", + "parking_lot_core 0.8.6", ] [[package]] @@ -1275,44 +1457,41 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "3742b2c103b9f06bc9fff0a37ff4912935851bee6d36f3c02bcc755bcfec228f" dependencies = [ "lock_api", - "parking_lot_core 0.9.4", + "parking_lot_core 0.9.8", ] [[package]] name = "parking_lot_core" -version = "0.8.5" +version = "0.8.6" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "d76e8e1493bcac0d2766c42737f34458f1c8c50c0d23bcb24ea953affb273216" +checksum = "60a2cfe6f0ad2bfc16aefa463b497d5c7a5ecd44a23efa72aa342d90177356dc" dependencies = [ "cfg-if", "instant", "libc", - "redox_syscall", + "redox_syscall 0.2.16", "smallvec", "winapi", ] [[package]] name = "parking_lot_core" -version = "0.9.4" +version = "0.9.8" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "4dc9e0dc2adc1c69d09143aff38d3d30c5c3f0df0dad82e6d25547af174ebec0" +checksum = "93f00c865fe7cabf650081affecd3871070f26767e7b2070a3ffae14c654b447" dependencies = [ "cfg-if", "libc", - "redox_syscall", + "redox_syscall 0.3.5", "smallvec", - "windows-sys 0.42.0", + "windows-targets", ] [[package]] -name = "phf" -version = "0.7.24" +name = "percent-encoding" +version = "2.3.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "b3da44b85f8e8dfaec21adae67f95d93244b2ecf6ad2a692320598dcc8e6dd18" -dependencies = [ - "phf_shared 0.7.24", -] +checksum = "9b2a4787296e9989611394c33f193f676704af1686e70b8f8033ab5ba9a35a94" [[package]] name = "phf" @@ -1326,23 +1505,22 @@ dependencies = [ ] [[package]] -name = "phf_codegen" -version = "0.7.24" +name = "phf" +version = "0.10.1" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "b03e85129e324ad4166b06b2c7491ae27fe3ec353af72e72cd1654c7225d517e" +checksum = "fabbf1ead8a5bcbc20f5f8b939ee3f5b0f6f281b6ad3468b84656b658b455259" dependencies = [ - "phf_generator 0.7.24", - "phf_shared 0.7.24", + "phf_shared 0.10.0", ] [[package]] -name = "phf_generator" -version = "0.7.24" +name = "phf_codegen" +version = "0.10.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "09364cc93c159b8b06b1f4dd8a4398984503483891b0c26b867cf431fb132662" +checksum = "4fb1c3a8bc4dd4e5cfce29b44ffc14bedd2ee294559a294e2a4d4c9e9a6a13cd" dependencies = [ - "phf_shared 0.7.24", - "rand 0.6.5", + "phf_generator 0.10.0", + "phf_shared 0.10.0", ] [[package]] @@ -1352,7 +1530,17 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "d43f3220d96e0080cc9ea234978ccd80d904eafb17be31bb0f76daaea6493082" dependencies = [ "phf_shared 0.9.0", - "rand 0.8.5", + "rand", +] + +[[package]] +name = "phf_generator" +version = "0.10.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "5d5285893bb5eb82e6aaf5d59ee909a06a16737a8970984dd7746ba9283498d6" +dependencies = [ + "phf_shared 0.10.0", + "rand", ] [[package]] @@ -1364,34 +1552,34 @@ dependencies = [ "phf_generator 0.9.1", "phf_shared 0.9.0", "proc-macro-hack", - "proc-macro2 1.0.47", - "quote 1.0.21", - "syn 1.0.103", + "proc-macro2", + "quote", + "syn 1.0.109", ] [[package]] name = "phf_shared" -version = "0.7.24" +version = "0.9.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "234f71a15de2288bcb7e3b6515828d22af7ec8598ee6d24c3b526fa0a80b67a0" +checksum = "a68318426de33640f02be62b4ae8eb1261be2efbc337b60c54d845bf4484e0d9" dependencies = [ - "siphasher 0.2.3", + "siphasher", ] [[package]] name = "phf_shared" -version = "0.9.0" +version = "0.10.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "a68318426de33640f02be62b4ae8eb1261be2efbc337b60c54d845bf4484e0d9" +checksum = "b6796ad771acdc0123d2a88dc428b5e38ef24456743ddb1744ed628f9815c096" dependencies = [ - "siphasher 0.3.10", + "siphasher", ] [[package]] name = "pin-project-lite" -version = "0.2.9" +version = "0.2.13" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "e0a7ae3ac2f1173085d398531c705756c94a4c56843785df85a60c1a0afac116" +checksum = "8afb450f006bf6385ca15ef45d71d2288452bc3683ce2e2cacc0d18e4be60b58" [[package]] name = "pin-utils" @@ -1401,9 +1589,9 @@ checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184" [[package]] name = "pkg-config" -version = "0.3.26" +version = "0.3.27" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "6ac9a59f73473f1b8d852421e59e64809f025994837ef743615c6d0c5b305160" +checksum = "26072860ba924cbfa98ea39c8c19b4dd6a4a25423dbdf219c1eca91aa0cf6964" [[package]] name = "ppv-lite86" @@ -1419,9 +1607,9 @@ checksum = "925383efa346730478fb4838dbe9137d2a47675ad789c546d150a6e1dd4ab31c" [[package]] name = "predicates" -version = "2.1.2" +version = "2.1.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "ab68289ded120dcbf9d571afcf70163233229052aec9b08ab09532f698d0e1e6" +checksum = "59230a63c37f3e18569bdb90e4a89cbf5bf8b06fea0b84e65ea10cc4df47addd" dependencies = [ "difflib", "itertools", @@ -1430,15 +1618,15 @@ dependencies = [ [[package]] name = "predicates-core" -version = "1.0.4" +version = "1.0.6" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "a6e7125585d872860e9955ca571650b27a4979c5823084168c5ed5bbfb016b56" +checksum = "b794032607612e7abeb4db69adb4e33590fa6cf1149e95fd7cb00e634b92f174" [[package]] name = "predicates-tree" -version = "1.0.6" +version = "1.0.9" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "ad3f7fa8d61e139cbc7c3edfebf3b6678883a53f5ffac65d1259329a93ee43a5" +checksum = "368ba315fb8c5052ab692e68a0eefec6ec57b23a36959c14496f0b0df2c0cecf" dependencies = [ "predicates-core", "termtree", @@ -1446,45 +1634,33 @@ dependencies = [ [[package]] name = "proc-macro-hack" -version = "0.5.19" +version = "0.5.20+deprecated" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "dbf0c48bc1d91375ae5c3cd81e3722dff1abcf81a30960240640d223f59fe0e5" +checksum = "dc375e1527247fe1a97d8b7156678dfe7c1af2fc075c9a4db3690ecd2a148068" [[package]] name = "proc-macro2" -version = "0.4.30" +version = "1.0.66" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "cf3d2011ab5c909338f7887f4fc896d35932e29146c12c8d01da6b22a80ba759" -dependencies = [ - "unicode-xid", -] - -[[package]] -name = "proc-macro2" -version = "1.0.47" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "5ea3d908b0e36316caf9e9e2c4625cdde190a7e6f440d794667ed17a1855e725" +checksum = "18fb31db3f9bddb2ea821cde30a9f70117e3f119938b5ee630b7403aa6e2ead9" dependencies = [ "unicode-ident", ] [[package]] name = "quote" -version = "0.6.13" +version = "1.0.33" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "6ce23b6b870e8f94f81fb0a363d65d86675884b34a09043c81e5562f11c1f8e1" +checksum = "5267fca4496028628a95160fc423a33e8b2e6af8a5302579e322e4b520293cae" dependencies = [ - "proc-macro2 0.4.30", + "proc-macro2", ] [[package]] -name = "quote" -version = "1.0.21" +name = "radium" +version = "0.7.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "bbe448f377a7d6961e30f5955f9b8d106c3f5e449d493ee1b125c1d43c2b5179" -dependencies = [ - "proc-macro2 1.0.47", -] +checksum = "dc33ff2d4973d518d823d61aa239014831e521c75da58e3df4840d3f47749d09" [[package]] name = "radix_trie" @@ -1498,42 +1674,13 @@ dependencies = [ [[package]] name = "rand" -version = "0.6.5" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "6d71dacdc3c88c1fde3885a3be3fbab9f35724e6ce99467f7d9c5026132184ca" -dependencies = [ - "autocfg 0.1.8", - "libc", - "rand_chacha 0.1.1", - "rand_core 0.4.2", - "rand_hc", - "rand_isaac", - "rand_jitter", - "rand_os", - "rand_pcg", - "rand_xorshift", - "winapi", -] - -[[package]] -name = "rand" version = "0.8.5" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404" dependencies = [ "libc", - "rand_chacha 0.3.1", - "rand_core 0.6.4", -] - -[[package]] -name = "rand_chacha" -version = "0.1.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "556d3a1ca6600bfcbab7c7c91ccb085ac7fbbcd70e008a98742e7847f4f7bcef" -dependencies = [ - "autocfg 0.1.8", - "rand_core 0.3.1", + "rand_chacha", + "rand_core", ] [[package]] @@ -1543,26 +1690,11 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88" dependencies = [ "ppv-lite86", - "rand_core 0.6.4", -] - -[[package]] -name = "rand_core" -version = "0.3.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "7a6fdeb83b075e8266dcc8762c22776f6877a63111121f5f8c7411e5be7eed4b" -dependencies = [ - "rand_core 0.4.2", + "rand_core", ] [[package]] name = "rand_core" -version = "0.4.2" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "9c33a3c44ca05fa6f1807d8e6743f3824e8509beca625669633be0acbdf509dc" - -[[package]] -name = "rand_core" version = "0.6.4" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c" @@ -1571,83 +1703,21 @@ dependencies = [ ] [[package]] -name = "rand_hc" -version = "0.1.0" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "7b40677c7be09ae76218dc623efbf7b18e34bced3f38883af07bb75630a21bc4" -dependencies = [ - "rand_core 0.3.1", -] - -[[package]] -name = "rand_isaac" -version = "0.1.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "ded997c9d5f13925be2a6fd7e66bf1872597f759fd9dd93513dd7e92e5a5ee08" -dependencies = [ - "rand_core 0.3.1", -] - -[[package]] -name = "rand_jitter" -version = "0.1.4" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "1166d5c91dc97b88d1decc3285bb0a99ed84b05cfd0bc2341bdf2d43fc41e39b" -dependencies = [ - "libc", - "rand_core 0.4.2", - "winapi", -] - -[[package]] -name = "rand_os" -version = "0.1.3" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "7b75f676a1e053fc562eafbb47838d67c84801e38fc1ba459e8f180deabd5071" -dependencies = [ - "cloudabi", - "fuchsia-cprng", - "libc", - "rand_core 0.4.2", - "rdrand", - "winapi", -] - -[[package]] -name = "rand_pcg" -version = "0.1.2" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "abf9b09b01790cfe0364f52bf32995ea3c39f4d2dd011eac241d2914146d0b44" -dependencies = [ - "autocfg 0.1.8", - "rand_core 0.4.2", -] - -[[package]] -name = "rand_xorshift" -version = "0.1.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "cbf7e9e623549b0e21f6e97cf8ecf247c1a8fd2e8a992ae265314300b2455d5c" -dependencies = [ - "rand_core 0.3.1", -] - -[[package]] -name = "rdrand" -version = "0.4.0" +name = "redox_syscall" +version = "0.2.16" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "678054eb77286b51581ba43620cc911abf02758c91f93f479767aed0f90458b2" +checksum = "fb5a58c1855b4b6819d59012155603f0b22ad30cad752600aadfcb695265519a" dependencies = [ - "rand_core 0.3.1", + "bitflags 1.3.2", ] [[package]] name = "redox_syscall" -version = "0.2.16" +version = "0.3.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "fb5a58c1855b4b6819d59012155603f0b22ad30cad752600aadfcb695265519a" +checksum = "567664f262709473930a4bf9e51bf2ebf3348f2e748ccc50dea20646858f8f29" dependencies = [ - "bitflags", + "bitflags 1.3.2", ] [[package]] @@ -1657,7 +1727,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "b033d837a7cf162d7993aded9304e30a83213c648b6e389db233191f891e5c2b" dependencies = [ "getrandom", - "redox_syscall", + "redox_syscall 0.2.16", "thiserror", ] @@ -1674,12 +1744,40 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "6c230d73fb8d8c1b9c0b3135c5142a8acee3a0558fb8db5cf1cb65f8d7862132" [[package]] -name = "remove_dir_all" -version = "0.5.3" +name = "reqwest" +version = "0.11.20" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "3acd125665422973a33ac9d3dd2df85edad0f4ae9b00dafb1a05e43a9f5ef8e7" +checksum = "3e9ad3fe7488d7e34558a2033d45a0c90b72d97b4f80705666fea71472e2e6a1" dependencies = [ - "winapi", + "base64 0.21.3", + "bytes", + "encoding_rs", + "futures-core", + "futures-util", + "h2", + "http", + "http-body 0.4.5", + "hyper 0.14.27", + "hyper-tls", + "ipnet", + "js-sys", + "log", + "mime", + "native-tls", + "once_cell", + "percent-encoding", + "pin-project-lite", + "serde", + "serde_json", + "serde_urlencoded", + "tokio", + "tokio-native-tls", + "tower-service", + "url", + "wasm-bindgen", + "wasm-bindgen-futures", + "web-sys", + "winreg", ] [[package]] @@ -1698,6 +1796,22 @@ dependencies = [ ] [[package]] +name = "ring-wasi" +version = "0.16.25" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "db1418b2535ed5e71a9fc73d3fede8596792fd7cb4b4a0f8ecf412cfddaaedd4" +dependencies = [ + "cc", + "getrandom", + "libc", + "once_cell", + "spin", + "untrusted", + "web-sys", + "winapi", +] + +[[package]] name = "ripemd160" version = "0.8.0" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -1718,54 +1832,47 @@ dependencies = [ ] [[package]] -name = "rug" -version = "1.17.0" +name = "rustc-demangle" +version = "0.1.23" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "203180f444c95eac53586ed04793ecf6454c5d28f9eca8eead815fc19e136c47" -dependencies = [ - "az", - "gmp-mpfr-sys", - "libc", -] +checksum = "d626bb9dae77e28219937af045c257c28bfd3f69333c512553507f5f9798cb76" [[package]] name = "rustix" -version = "0.36.1" +version = "0.38.9" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "812a2ec2043c4d6bc6482f5be2ab8244613cac2493d128d36c0759e52a626ab3" +checksum = "9bfe0f2582b4931a45d1fa608f8a8722e8b3c7ac54dd6d5f3b3212791fedef49" dependencies = [ - "bitflags", + "bitflags 2.4.0", "errno", - "io-lifetimes", "libc", "linux-raw-sys", - "windows-sys 0.42.0", + "windows-sys", ] [[package]] name = "rustversion" -version = "1.0.9" +version = "1.0.14" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "97477e48b4cf8603ad5f7aaf897467cf42ab4218a38ef76fb14c2d6773a6d6a8" +checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4" [[package]] name = "rustyline" -version = "9.1.2" +version = "12.0.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "db7826789c0e25614b03e5a54a0717a86f9ff6e6e5247f92b369472869320039" +checksum = "994eca4bca05c87e86e15d90fc7a91d1be64b4482b38cb2d27474568fe7c9db9" dependencies = [ - "bitflags", + "bitflags 2.4.0", "cfg-if", "clipboard-win", - "dirs-next", "fd-lock", + "home", "libc", "log", "memchr", - "nix 0.23.1", + "nix", "radix_trie", "scopeguard", - "smallvec", "unicode-segmentation", "unicode-width", "utf8parse", @@ -1774,9 +1881,9 @@ dependencies = [ [[package]] name = "ryu" -version = "1.0.11" +version = "1.0.15" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "4501abdff3ae82a1c1b477a17252eb69cee9e66eb915c1abaa4f44d873df9f09" +checksum = "1ad4cc8da4ef723ed60bced201181d83791ad433213d8c24efffda1eec85d741" [[package]] name = "same-file" @@ -1789,73 +1896,75 @@ dependencies = [ [[package]] name = "schannel" -version = "0.1.20" +version = "0.1.22" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "88d6731146462ea25d9244b2ed5fd1d716d25c52e4d54aa4fb0f3c4e9854dbe2" +checksum = "0c3733bf4cf7ea0880754e19cb5a462007c4a8c1914bff372ccc95b464f1df88" dependencies = [ - "lazy_static", - "windows-sys 0.36.1", + "windows-sys", ] [[package]] name = "scopeguard" -version = "1.1.0" +version = "1.2.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "d29ab0c6d3fc0ee92fe66e2d99f700eab17a8d57d1c1d3b748380fb20baa78cd" - -[[package]] -name = "scratch" -version = "1.0.2" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "9c8132065adcfd6e02db789d9285a0deb2f3fcb04002865ab67d5fb103533898" +checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49" [[package]] name = "scryer-prolog" -version = "0.9.1" +version = "0.9.2" dependencies = [ "assert_cmd", - "base64", + "base64 0.12.3", + "bit-set", + "bitvec", "blake2 0.8.1", + "bytes", "chrono", "cpu-time", "crossterm", "crrl", "ctrlc", + "dashu", + "derive_deref", "dirs-next", "divrem", "futures", "fxhash", + "getrandom", "git-version", "hostname", - "hyper", - "hyper-tls", + "http-body-util", + "hyper 1.0.0-rc.3", "indexmap", "lazy_static", "lexical", "libc", + "libffi", + "libloading", "modular-bitfield", "native-tls", "ordered-float", "phf 0.9.0", "predicates-core", - "proc-macro2 1.0.47", - "quote 1.0.21", + "proc-macro2", + "quote", + "rand", "ref_thread_local", + "reqwest", "ring", + "ring-wasi", "ripemd160", "roxmltree", - "rug", "rustyline", "ryu", "select", "serial_test", - "sha3", + "sha3 0.8.2", "smallvec", - "sodiumoxide", "static_assertions", "strum", "strum_macros", - "syn 1.0.103", + "syn 1.0.109", "to-syn-value", "to-syn-value_derive", "tokio", @@ -1864,11 +1973,11 @@ dependencies = [ [[package]] name = "security-framework" -version = "2.7.0" +version = "2.9.2" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "2bc1bb97804af6631813c55739f771071e0f2ed33ee20b68c86ec505d906356c" +checksum = "05b64fb303737d99b81884b2c63433e9ae28abebe5eb5045dcdd175dc2ecf4de" dependencies = [ - "bitflags", + "bitflags 1.3.2", "core-foundation", "core-foundation-sys", "libc", @@ -1877,9 +1986,9 @@ dependencies = [ [[package]] name = "security-framework-sys" -version = "2.6.1" +version = "2.9.1" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "0160a13a177a45bfb43ce71c01580998474f556ad854dcbca936dd2841a5c556" +checksum = "e932934257d3b408ed8f30db49d85ea163bfe74961f017f405b025af298f0c7a" dependencies = [ "core-foundation-sys", "libc", @@ -1887,36 +1996,40 @@ dependencies = [ [[package]] name = "select" -version = "0.4.3" +version = "0.6.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "ac645958c62108d11f90f8d34e4dc2799c838fc995ed4c2075867a2a8d5be76b" +checksum = "6f9da09dc3f4dfdb6374cbffff7a2cffcec316874d4429899eefdc97b3b94dcd" dependencies = [ "bit-set", "html5ever", + "markup5ever_rcdom", ] [[package]] name = "serde" -version = "1.0.147" +version = "1.0.188" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "d193d69bae983fc11a79df82342761dfbf28a99fc8d203dca4c3c1b590948965" +checksum = "cf9e0fcba69a370eed61bcf2b728575f726b50b55cba78064753d708ddc7549e" +dependencies = [ + "serde_derive", +] [[package]] name = "serde_derive" -version = "1.0.147" +version = "1.0.188" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "4f1d362ca8fc9c3e3a7484440752472d68a6caa98f1ab81d99b5dfe517cec852" +checksum = "4eca7ac642d82aa35b60049a6eccb4be6be75e599bd2e9adb5f875a737654af2" dependencies = [ - "proc-macro2 1.0.47", - "quote 1.0.21", - "syn 1.0.103", + "proc-macro2", + "quote", + "syn 2.0.29", ] [[package]] name = "serde_json" -version = "1.0.87" +version = "1.0.105" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "6ce777b7b150d76b9cf60d28b55f5847135a003f7d7350c6be7a773508ce7d45" +checksum = "693151e1ac27563d6dbcec9dee9fbd5da8539b20fa14ad3752b2e6d363ace360" dependencies = [ "itoa", "ryu", @@ -1924,36 +2037,51 @@ dependencies = [ ] [[package]] +name = "serde_urlencoded" +version = "0.7.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "d3491c14715ca2294c4d6a88f15e84739788c1d030eed8c110436aafdaa2f3fd" +dependencies = [ + "form_urlencoded", + "itoa", + "ryu", + "serde", +] + +[[package]] name = "serial_test" -version = "0.5.1" +version = "2.0.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "e0bccbcf40c8938196944a3da0e133e031a33f4d6b72db3bda3cc556e361905d" +checksum = "0e56dd856803e253c8f298af3f4d7eb0ae5e23a737252cd90bb4f3b435033b2d" dependencies = [ + "dashmap", + "futures", "lazy_static", - "parking_lot 0.11.2", + "log", + "parking_lot 0.12.1", "serial_test_derive", ] [[package]] name = "serial_test_derive" -version = "0.5.1" +version = "2.0.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "b2acd6defeddb41eb60bb468f8825d0cfd0c2a76bc03bfd235b6a1dc4f6a1ad5" +checksum = "91d129178576168c589c9ec973feedf7d3126c01ac2bf08795109aa35b69fb8f" dependencies = [ - "proc-macro2 1.0.47", - "quote 1.0.21", - "syn 1.0.103", + "proc-macro2", + "quote", + "syn 2.0.29", ] [[package]] name = "sha2" -version = "0.10.6" +version = "0.10.7" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "82e6b795fe2e3b1e845bafcb27aa35405c4d47cdfc92af5fc8d3002f76cebdc0" +checksum = "479fb9d862239e610720565ca91403019f2f00410f1864c5aa7479b950a76ed8" dependencies = [ "cfg-if", "cpufeatures", - "digest 0.10.5", + "digest 0.10.7", ] [[package]] @@ -1970,10 +2098,20 @@ dependencies = [ ] [[package]] +name = "sha3" +version = "0.10.8" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "75872d278a8f37ef87fa0ddbda7802605cb18344497949862c0d4dcb291eba60" +dependencies = [ + "digest 0.10.7", + "keccak", +] + +[[package]] name = "signal-hook" -version = "0.3.14" +version = "0.3.17" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "a253b5e89e2698464fc26b545c9edceb338e18a89effeeecfea192c3025be29d" +checksum = "8621587d4798caf8eb44879d42e56b9a93ea5dcd315a6487c357130095b62801" dependencies = [ "libc", "signal-hook-registry", @@ -1992,66 +2130,52 @@ dependencies = [ [[package]] name = "signal-hook-registry" -version = "1.4.0" +version = "1.4.1" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "e51e73328dc4ac0c7ccbda3a494dfa03df1de2f46018127f60c693f2648455b0" +checksum = "d8229b473baa5980ac72ef434c4415e70c4b5e71b423043adb4ba059f89c99a1" dependencies = [ "libc", ] [[package]] -name = "signature" -version = "1.6.4" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "74233d3b3b2f6d4b006dc19dee745e73e2a6bfb6f93607cd3b02bd5b00797d7c" - -[[package]] name = "siphasher" -version = "0.2.3" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "0b8de496cf83d4ed58b6be86c3a275b8602f6ffe98d3024a869e124147a9a3ac" - -[[package]] -name = "siphasher" -version = "0.3.10" +version = "0.3.11" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "7bd3e3206899af3f8b12af284fafc038cc1dc2b41d1b89dd17297221c5d225de" +checksum = "38b58827f4464d87d377d175e90bf58eb00fd8716ff0a62f80356b5e61555d0d" [[package]] name = "slab" -version = "0.4.7" +version = "0.4.9" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "4614a76b2a8be0058caa9dbbaf66d988527d86d003c11a94fbd335d7661edcef" +checksum = "8f92a496fb766b417c996b9c5e57daf2f7ad3b0bebe1ccfca4856390e3d3bb67" dependencies = [ - "autocfg 1.1.0", + "autocfg", ] [[package]] name = "smallvec" -version = "1.10.0" +version = "1.11.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "a507befe795404456341dfab10cef66ead4c041f62b8b11bbb92bffe5d0953e0" +checksum = "62bb4feee49fdd9f707ef802e22365a35de4b7b299de4763d44bfea899442ff9" [[package]] name = "socket2" -version = "0.4.7" +version = "0.4.9" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "02e2d2db9033d13a1567121ddd7a095ee144db4e1ca1b1bda3419bc0da294ebd" +checksum = "64a4a911eed85daf18834cfaa86a79b7d266ff93ff5ba14005426219480ed662" dependencies = [ "libc", "winapi", ] [[package]] -name = "sodiumoxide" -version = "0.2.7" +name = "socket2" +version = "0.5.3" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "e26be3acb6c2d9a7aac28482586a7856436af4cfe7100031d219de2d2ecb0028" +checksum = "2538b18701741680e0322a2302176d3253a35388e2e62f172f64f4f16605f877" dependencies = [ - "ed25519", "libc", - "libsodium-sys", - "serde", + "windows-sys", ] [[package]] @@ -2074,39 +2198,31 @@ checksum = "9e08d8363704e6c71fc928674353e6b7c23dcea9d82d7012c8faf2a3a025f8d0" [[package]] name = "string_cache" -version = "0.7.5" +version = "0.8.7" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "89c058a82f9fd69b1becf8c274f412281038877c553182f1d02eb027045a2d67" +checksum = "f91138e76242f575eb1d3b38b4f1362f10d3a43f47d182a5b359af488a02293b" dependencies = [ - "lazy_static", "new_debug_unreachable", - "phf_shared 0.7.24", + "once_cell", + "parking_lot 0.12.1", + "phf_shared 0.10.0", "precomputed-hash", "serde", - "string_cache_codegen", - "string_cache_shared", ] [[package]] name = "string_cache_codegen" -version = "0.4.4" +version = "0.5.2" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "f0f45ed1b65bf9a4bf2f7b7dc59212d1926e9eaf00fa998988e420fd124467c6" +checksum = "6bb30289b722be4ff74a408c3cc27edeaad656e06cb1fe8fa9231fa59c728988" dependencies = [ - "phf_generator 0.7.24", - "phf_shared 0.7.24", - "proc-macro2 1.0.47", - "quote 1.0.21", - "string_cache_shared", + "phf_generator 0.10.0", + "phf_shared 0.10.0", + "proc-macro2", + "quote", ] [[package]] -name = "string_cache_shared" -version = "0.3.0" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "b1884d1bc09741d466d9b14e6d37ac89d6909cbcac41dd9ae982d4d063bbedfc" - -[[package]] name = "strum" version = "0.23.0" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -2119,10 +2235,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "5bb0dc7ee9c15cea6199cde9a127fa16a4c5819af85395457ad72d68edc85a38" dependencies = [ "heck", - "proc-macro2 1.0.47", - "quote 1.0.21", + "proc-macro2", + "quote", "rustversion", - "syn 1.0.103", + "syn 1.0.109", ] [[package]] @@ -2133,44 +2249,49 @@ checksum = "2d67a5a62ba6e01cb2192ff309324cb4875d0c451d55fe2319433abe7a05a8ee" [[package]] name = "subtle" -version = "2.4.1" +version = "2.5.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "6bdef32e8150c2a081110b42772ffe7d7c9032b606bc226c8260fd97e0976601" +checksum = "81cdd64d312baedb58e21336b31bc043b77e01cc99033ce76ef539f78e965ebc" [[package]] name = "syn" -version = "0.15.44" +version = "1.0.109" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "9ca4b3b69a77cbe1ffc9e198781b7acb0c7365a883670e8f1c1bc66fba79a5c5" +checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237" dependencies = [ - "proc-macro2 0.4.30", - "quote 0.6.13", - "unicode-xid", + "proc-macro2", + "quote", + "unicode-ident", ] [[package]] name = "syn" -version = "1.0.103" +version = "2.0.29" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "a864042229133ada95abf3b54fdc62ef5ccabe9515b64717bcb9a1919e59445d" +checksum = "c324c494eba9d92503e6f1ef2e6df781e78f6a7705a0202d9801b198807d518a" dependencies = [ - "proc-macro2 1.0.47", - "quote 1.0.21", + "proc-macro2", + "quote", "unicode-ident", ] [[package]] +name = "tap" +version = "1.0.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "55937e1799185b12863d447f42597ed69d9928686b8d88a1df17376a097d8369" + +[[package]] name = "tempfile" -version = "3.3.0" +version = "3.8.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "5cdb1ef4eaeeaddc8fbd371e5017057064af0911902ef36b39801f67cc6d79e4" +checksum = "cb94d2f3cc536af71caac6b6fcebf65860b347e7ce0cc9ebe8f70d3e521054ef" dependencies = [ "cfg-if", "fastrand", - "libc", - "redox_syscall", - "remove_dir_all", - "winapi", + "redox_syscall 0.3.5", + "rustix", + "windows-sys", ] [[package]] @@ -2185,45 +2306,36 @@ dependencies = [ ] [[package]] -name = "termcolor" -version = "1.1.3" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "bab24d30b911b2376f3a13cc2cd443142f0c81dda04c118693e35b3835757755" -dependencies = [ - "winapi-util", -] - -[[package]] name = "termtree" -version = "0.4.0" +version = "0.4.1" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "95059e91184749cb66be6dc994f67f182b6d897cb3df74a5bf66b5e709295fd8" +checksum = "3369f5ac52d5eb6ab48c6b4ffdc8efbcad6b89c765749064ba298f2c68a16a76" [[package]] name = "thiserror" -version = "1.0.37" +version = "1.0.47" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "10deb33631e3c9018b9baf9dcbbc4f737320d2b576bac10f6aefa048fa407e3e" +checksum = "97a802ec30afc17eee47b2855fc72e0c4cd62be9b4efe6591edde0ec5bd68d8f" dependencies = [ "thiserror-impl", ] [[package]] name = "thiserror-impl" -version = "1.0.37" +version = "1.0.47" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "982d17546b47146b28f7c22e3d08465f6b8903d0ea13c1660d9d84a6e7adcdbb" +checksum = "6bb623b56e39ab7dcd4b1b98bb6c8f8d907ed255b18de254088016b27a8ee19b" dependencies = [ - "proc-macro2 1.0.47", - "quote 1.0.21", - "syn 1.0.103", + "proc-macro2", + "quote", + "syn 2.0.29", ] [[package]] name = "time" -version = "0.1.44" +version = "0.1.45" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "6db9e6914ab8b1ae1c260a4ae7a49b6c5611b40328a735b21862567685e73255" +checksum = "1b797afad3f312d1c66a56d11d0316f916356d11bd158fbc6ca6389ff6bf805a" dependencies = [ "libc", "wasi 0.10.0+wasi-snapshot-preview1", @@ -2231,12 +2343,27 @@ dependencies = [ ] [[package]] +name = "tinyvec" +version = "1.6.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "87cc5ceb3875bb20c2890005a4e226a4651264a5c75edb2421b52861a0a0cb50" +dependencies = [ + "tinyvec_macros", +] + +[[package]] +name = "tinyvec_macros" +version = "0.1.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20" + +[[package]] name = "to-syn-value" version = "0.1.0" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "45dcb7b4108a4793bdd74aa3714296c6eaf43663edf73fa8625d0d7621e68447" dependencies = [ - "syn 1.0.103", + "syn 1.0.109", "to-syn-value_derive", ] @@ -2246,47 +2373,46 @@ version = "0.1.0" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "cd4fdec6de01b568c1d3721c9d46a352623c536cd55a8a5acfefb63d1fccccbc" dependencies = [ - "proc-macro2 1.0.47", - "quote 1.0.21", - "syn 1.0.103", + "proc-macro2", + "quote", + "syn 1.0.109", ] [[package]] name = "tokio" -version = "1.21.2" +version = "1.32.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "a9e03c497dc955702ba729190dc4aac6f2a0ce97f913e5b1b5912fc5039d9099" +checksum = "17ed6077ed6cd6c74735e21f37eb16dc3935f96878b1fe961074089cc80893f9" dependencies = [ - "autocfg 1.1.0", + "backtrace", "bytes", "libc", - "memchr", - "mio 0.8.5", + "mio 0.8.8", "num_cpus", "parking_lot 0.12.1", "pin-project-lite", "signal-hook-registry", - "socket2", + "socket2 0.5.3", "tokio-macros", - "winapi", + "windows-sys", ] [[package]] name = "tokio-macros" -version = "1.8.0" +version = "2.1.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "9724f9a975fb987ef7a3cd9be0350edcbe130698af5b8f7a631e23d42d052484" +checksum = "630bdcf245f78637c13ec01ffae6187cca34625e8c63150d424b59e55af2675e" dependencies = [ - "proc-macro2 1.0.47", - "quote 1.0.21", - "syn 1.0.103", + "proc-macro2", + "quote", + "syn 2.0.29", ] [[package]] name = "tokio-native-tls" -version = "0.3.0" +version = "0.3.1" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "f7d995660bd2b7f8c1568414c1126076c13fbb725c40112dc0120b78eb9b717b" +checksum = "bbae76ab933c85776efabc971569dd6119c580d8f5d448769dec1764bf796ef2" dependencies = [ "native-tls", "tokio", @@ -2294,9 +2420,9 @@ dependencies = [ [[package]] name = "tokio-util" -version = "0.7.4" +version = "0.7.8" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "0bb2e075f03b3d66d8d8785356224ba688d2906a371015e225beeb65ca92c740" +checksum = "806fe8c2c87eccc8b3267cbae29ed3ab2d0bd37fca70ab622e46aaa9375ddb7d" dependencies = [ "bytes", "futures-core", @@ -2325,36 +2451,51 @@ dependencies = [ [[package]] name = "tracing-core" -version = "0.1.30" +version = "0.1.31" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "24eb03ba0eab1fd845050058ce5e616558e8f8d8fca633e6b163fe25c797213a" +checksum = "0955b8137a1df6f1a2e9a37d8a6656291ff0297c1a97c24e0d8425fe2312f79a" dependencies = [ "once_cell", ] [[package]] name = "try-lock" -version = "0.2.3" +version = "0.2.4" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "59547bce71d9c38b83d9c0e92b6066c4253371f15005def0c30d9657f50c7642" +checksum = "3528ecfd12c466c6f163363caf2d02a71161dd5e1cc6ae7b34207ea2d42d81ed" [[package]] name = "typenum" -version = "1.15.0" +version = "1.16.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "497961ef93d974e23eb6f433eb5fe1b7930b659f06d12dec6fc44a8f554c0bba" + +[[package]] +name = "unicode-bidi" +version = "0.3.13" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "dcf81ac59edc17cc8697ff311e8f5ef2d99fcbd9817b34cec66f90b6c3dfd987" +checksum = "92888ba5573ff080736b3648696b70cafad7d250551175acbaa4e0385b3e1460" [[package]] name = "unicode-ident" -version = "1.0.5" +version = "1.0.11" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "6ceab39d59e4c9499d4e5a8ee0e2735b891bb7308ac83dfb4e80cad195c9f6f3" +checksum = "301abaae475aa91687eb82514b328ab47a211a533026cb25fc3e519b86adfc3c" + +[[package]] +name = "unicode-normalization" +version = "0.1.22" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921" +dependencies = [ + "tinyvec", +] [[package]] name = "unicode-segmentation" -version = "1.10.0" +version = "1.10.1" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "0fdbf052a0783de01e944a6ce7a8cb939e295b1e7be835a1112c3b9a7f047a5a" +checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36" [[package]] name = "unicode-width" @@ -2363,18 +2504,23 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "c0edd1e5b14653f783770bce4a4dabb4a5108a5370a5f5d8cfe8710c361f6c8b" [[package]] -name = "unicode-xid" -version = "0.1.0" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "fc72304796d0818e357ead4e000d19c9c174ab23dc11093ac919054d20a6a7fc" - -[[package]] name = "untrusted" version = "0.7.1" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "a156c684c91ea7d62626509bce3cb4e1d9ed5c4d978f7b4352658f96a4c26b4a" [[package]] +name = "url" +version = "2.4.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "50bff7831e19200a85b17131d085c25d7811bc4e186efdaf54bbd132994a88cb" +dependencies = [ + "form_urlencoded", + "idna", + "percent-encoding", +] + +[[package]] name = "utf-8" version = "0.7.6" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -2382,9 +2528,9 @@ checksum = "09cc8ee72d2a9becf2f2febe0205bbed8fc6615b7cb429ad062dc7b7ddd036a9" [[package]] name = "utf8parse" -version = "0.2.0" +version = "0.2.1" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "936e4b492acfd135421d8dca4b1aa80a7bfc26e702ef3af710e0752684df5372" +checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a" [[package]] name = "vcpkg" @@ -2409,22 +2555,20 @@ dependencies = [ [[package]] name = "walkdir" -version = "2.3.2" +version = "2.3.3" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "808cf2735cd4b6866113f648b791c6adc5714537bc222d9347bb203386ffda56" +checksum = "36df944cda56c7d8d8b7496af378e6b16de9284591917d307c9b4d313c44e698" dependencies = [ "same-file", - "winapi", "winapi-util", ] [[package]] name = "want" -version = "0.3.0" +version = "0.3.1" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "1ce8a968cb1cd110d136ff8b819a556d6fb6d919363c61534f6860c7eb172ba0" +checksum = "bfa7760aed19e106de2c7c0b581b509f2f25d3dacaf737cb82ac61bc6d760b0e" dependencies = [ - "log", "try-lock", ] @@ -2442,9 +2586,9 @@ checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423" [[package]] name = "wasm-bindgen" -version = "0.2.83" +version = "0.2.87" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "eaf9f5aceeec8be17c128b2e93e031fb8a4d469bb9c4ae2d7dc1888b26887268" +checksum = "7706a72ab36d8cb1f80ffbf0e071533974a60d0a308d01a5d0375bf60499a342" dependencies = [ "cfg-if", "wasm-bindgen-macro", @@ -2452,53 +2596,65 @@ dependencies = [ [[package]] name = "wasm-bindgen-backend" -version = "0.2.83" +version = "0.2.87" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "4c8ffb332579b0557b52d268b91feab8df3615f265d5270fec2a8c95b17c1142" +checksum = "5ef2b6d3c510e9625e5fe6f509ab07d66a760f0885d858736483c32ed7809abd" dependencies = [ "bumpalo", "log", "once_cell", - "proc-macro2 1.0.47", - "quote 1.0.21", - "syn 1.0.103", + "proc-macro2", + "quote", + "syn 2.0.29", "wasm-bindgen-shared", ] [[package]] +name = "wasm-bindgen-futures" +version = "0.4.37" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "c02dbc21516f9f1f04f187958890d7e6026df8d16540b7ad9492bc34a67cea03" +dependencies = [ + "cfg-if", + "js-sys", + "wasm-bindgen", + "web-sys", +] + +[[package]] name = "wasm-bindgen-macro" -version = "0.2.83" +version = "0.2.87" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "052be0f94026e6cbc75cdefc9bae13fd6052cdcaf532fa6c45e7ae33a1e6c810" +checksum = "dee495e55982a3bd48105a7b947fd2a9b4a8ae3010041b9e0faab3f9cd028f1d" dependencies = [ - "quote 1.0.21", + "quote", "wasm-bindgen-macro-support", ] [[package]] name = "wasm-bindgen-macro-support" -version = "0.2.83" +version = "0.2.87" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "07bc0c051dc5f23e307b13285f9d75df86bfdf816c5721e573dec1f9b8aa193c" +checksum = "54681b18a46765f095758388f2d0cf16eb8d4169b639ab575a8f5693af210c7b" dependencies = [ - "proc-macro2 1.0.47", - "quote 1.0.21", - "syn 1.0.103", + "proc-macro2", + "quote", + "syn 2.0.29", "wasm-bindgen-backend", "wasm-bindgen-shared", ] [[package]] name = "wasm-bindgen-shared" -version = "0.2.83" +version = "0.2.87" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "1c38c045535d93ec4f0b4defec448e4291638ee608530863b1e2ba115d4fff7f" +checksum = "ca6ad05a4870b2bf5fe995117d3728437bd27d7cd5f06f13c17443ef369775a1" [[package]] name = "web-sys" -version = "0.3.60" +version = "0.3.64" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "bcda906d8be16e728fd5adc5b729afad4e444e106ab28cd1c7256e54fa61510f" +checksum = "9b85cbef8c220a6abc02aefd892dfc0fc23afb1c6a426316ec33253a3877249b" dependencies = [ "js-sys", "wasm-bindgen", @@ -2536,104 +2692,109 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f" [[package]] -name = "windows-sys" -version = "0.36.1" +name = "windows" +version = "0.48.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "ea04155a16a59f9eab786fe12a4a450e75cdb175f9e0d80da1e17db09f55b8d2" +checksum = "e686886bc078bc1b0b600cac0147aadb815089b6e4da64016cbd754b6342700f" dependencies = [ - "windows_aarch64_msvc 0.36.1", - "windows_i686_gnu 0.36.1", - "windows_i686_msvc 0.36.1", - "windows_x86_64_gnu 0.36.1", - "windows_x86_64_msvc 0.36.1", + "windows-targets", ] [[package]] name = "windows-sys" -version = "0.42.0" +version = "0.48.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "5a3e1820f08b8513f676f7ab6c1f99ff312fb97b553d30ff4dd86f9f15728aa7" +checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9" dependencies = [ - "windows_aarch64_gnullvm", - "windows_aarch64_msvc 0.42.0", - "windows_i686_gnu 0.42.0", - "windows_i686_msvc 0.42.0", - "windows_x86_64_gnu 0.42.0", - "windows_x86_64_gnullvm", - "windows_x86_64_msvc 0.42.0", + "windows-targets", ] [[package]] -name = "windows_aarch64_gnullvm" -version = "0.42.0" +name = "windows-targets" +version = "0.48.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "41d2aa71f6f0cbe00ae5167d90ef3cfe66527d6f613ca78ac8024c3ccab9a19e" +checksum = "9a2fa6e2155d7247be68c096456083145c183cbbbc2764150dda45a87197940c" +dependencies = [ + "windows_aarch64_gnullvm", + "windows_aarch64_msvc", + "windows_i686_gnu", + "windows_i686_msvc", + "windows_x86_64_gnu", + "windows_x86_64_gnullvm", + "windows_x86_64_msvc", +] [[package]] -name = "windows_aarch64_msvc" -version = "0.36.1" +name = "windows_aarch64_gnullvm" +version = "0.48.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "9bb8c3fd39ade2d67e9874ac4f3db21f0d710bee00fe7cab16949ec184eeaa47" +checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8" [[package]] name = "windows_aarch64_msvc" -version = "0.42.0" +version = "0.48.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "dd0f252f5a35cac83d6311b2e795981f5ee6e67eb1f9a7f64eb4500fbc4dcdb4" +checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc" [[package]] name = "windows_i686_gnu" -version = "0.36.1" +version = "0.48.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "180e6ccf01daf4c426b846dfc66db1fc518f074baa793aa7d9b9aaeffad6a3b6" - -[[package]] -name = "windows_i686_gnu" -version = "0.42.0" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "fbeae19f6716841636c28d695375df17562ca208b2b7d0dc47635a50ae6c5de7" +checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e" [[package]] name = "windows_i686_msvc" -version = "0.36.1" +version = "0.48.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "e2e7917148b2812d1eeafaeb22a97e4813dfa60a3f8f78ebe204bcc88f12f024" +checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406" [[package]] -name = "windows_i686_msvc" -version = "0.42.0" +name = "windows_x86_64_gnu" +version = "0.48.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "84c12f65daa39dd2babe6e442988fc329d6243fdce47d7d2d155b8d874862246" +checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e" [[package]] -name = "windows_x86_64_gnu" -version = "0.36.1" +name = "windows_x86_64_gnullvm" +version = "0.48.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "4dcd171b8776c41b97521e5da127a2d86ad280114807d0b2ab1e462bc764d9e1" +checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc" [[package]] -name = "windows_x86_64_gnu" -version = "0.42.0" +name = "windows_x86_64_msvc" +version = "0.48.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "bf7b1b21b5362cbc318f686150e5bcea75ecedc74dd157d874d754a2ca44b0ed" +checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538" [[package]] -name = "windows_x86_64_gnullvm" -version = "0.42.0" +name = "winreg" +version = "0.50.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "09d525d2ba30eeb3297665bd434a54297e4170c7f1a44cad4ef58095b4cd2028" +checksum = "524e57b2c537c0f9b1e69f1965311ec12182b4122e45035b1508cd24d2adadb1" +dependencies = [ + "cfg-if", + "windows-sys", +] [[package]] -name = "windows_x86_64_msvc" -version = "0.36.1" +name = "wyz" +version = "0.5.1" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "c811ca4a8c853ef420abd8592ba53ddbbac90410fab6903b3e79972a631f7680" +checksum = "05f360fc0b24296329c78fda852a1e9ae82de9cf7b27dae4b7f62f118f77b9ed" +dependencies = [ + "tap", +] [[package]] -name = "windows_x86_64_msvc" -version = "0.42.0" +name = "xml5ever" +version = "0.17.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "f40009d85759725a34da6d89a94e63d7bdc50a862acf0dbc7c8e488f1edcb6f5" +checksum = "4034e1d05af98b51ad7214527730626f019682d797ba38b51689212118d8e650" +dependencies = [ + "log", + "mac", + "markup5ever", +] [[package]] name = "xmlparser" diff --git a/nixpkgs/pkgs/development/compilers/scryer-prolog/default.nix b/nixpkgs/pkgs/development/compilers/scryer-prolog/default.nix index 3d52511def17..95a846eefc12 100644 --- a/nixpkgs/pkgs/development/compilers/scryer-prolog/default.nix +++ b/nixpkgs/pkgs/development/compilers/scryer-prolog/default.nix @@ -11,19 +11,22 @@ rustPlatform.buildRustPackage rec { pname = "scryer-prolog"; - version = "0.9.1"; + version = "0.9.2"; src = fetchFromGitHub { owner = "mthom"; repo = "scryer-prolog"; rev = "v${version}"; - sha256 = "bDLVOXX9nv6Guu5czRFkviJf7dBiaqt5O8SLUJlcBZo="; + hash = "sha256-68wtRFkJh8OIdauSIyJ29en399TLnaRaRxw+5bkykxk="; }; cargoLock = { lockFile = ./Cargo.lock; outputHashes = { + "dashu-0.3.1" = "sha256-bovPjLs98oj8/e/X/9GIYCzArzGfshjoeHU7IHdnq30="; + "libffi-3.2.0" = "sha256-GcNcXJCfiJp/7X5FXQJ/St0SmsPlCyeM8/s9FR+VE+M="; "modular-bitfield-0.11.2" = "sha256-vcx+xt5owZVWOlKwudAr0EB1zlLLL5pVfWokw034BQI="; + "num-modular-0.5.2" = "sha256-G4Kr3BMbXprC6tbG3mY/fOi2sQzaepOTeC4vDiOKWUM="; }; }; diff --git a/nixpkgs/pkgs/development/compilers/tinygo/default.nix b/nixpkgs/pkgs/development/compilers/tinygo/default.nix index 24fa6a984738..6e59699ea9dc 100644 --- a/nixpkgs/pkgs/development/compilers/tinygo/default.nix +++ b/nixpkgs/pkgs/development/compilers/tinygo/default.nix @@ -49,7 +49,7 @@ buildGoModule rec { fetchSubmodules = true; }; - vendorSha256 = "sha256-ihQd/RAjAQhgQZHbNiWmAD0eOo1MvqAR/OwIOUWtdAM="; + vendorHash = "sha256-ihQd/RAjAQhgQZHbNiWmAD0eOo1MvqAR/OwIOUWtdAM="; patches = [ ./0001-Makefile.patch diff --git a/nixpkgs/pkgs/development/compilers/vala/default.nix b/nixpkgs/pkgs/development/compilers/vala/default.nix index 9ad5d3b4a340..eaccfcc6e811 100644 --- a/nixpkgs/pkgs/development/compilers/vala/default.nix +++ b/nixpkgs/pkgs/development/compilers/vala/default.nix @@ -85,7 +85,7 @@ let homepage = "https://wiki.gnome.org/Projects/Vala"; license = licenses.lgpl21Plus; platforms = platforms.unix; - maintainers = with maintainers; [ antono jtojnar maxeaubrey ] ++ teams.pantheon.members; + maintainers = with maintainers; [ antono jtojnar amaxine ] ++ teams.pantheon.members; }; }); @@ -101,8 +101,8 @@ in rec { }; vala_0_56 = generic { - version = "0.56.9"; - sha256 = "VVeMfE8Ges4CjlQYBq8kD4CEy2/wzFVMqorAjL+Lzi8="; + version = "0.56.13"; + sha256 = "SYgiMDbH4eSHTEdtDei9nL5QDuJe8Zp25WDcC21Wrgc="; }; vala = vala_0_56; diff --git a/nixpkgs/pkgs/development/compilers/yosys/default.nix b/nixpkgs/pkgs/development/compilers/yosys/default.nix index 6ce2cc9f162e..ae7a653d7473 100644 --- a/nixpkgs/pkgs/development/compilers/yosys/default.nix +++ b/nixpkgs/pkgs/development/compilers/yosys/default.nix @@ -71,13 +71,13 @@ let in stdenv.mkDerivation rec { pname = "yosys"; - version = "0.32"; + version = "0.33"; src = fetchFromGitHub { owner = "YosysHQ"; repo = "yosys"; rev = "${pname}-${version}"; - hash = "sha256-ER61pIvXNjV74A9LwxeXDXoQFkVgqjdI9KiYQyOobk8="; + hash = "sha256-3MsWF161pqqeAbmeTlkQY6UpU4pq1WT0XXK9yciwt0M="; }; enableParallelBuilding = true; diff --git a/nixpkgs/pkgs/development/compilers/yosys/fix-clang-build.patch b/nixpkgs/pkgs/development/compilers/yosys/fix-clang-build.patch index f44d60d7e6ec..e81ddefcd9cc 100644 --- a/nixpkgs/pkgs/development/compilers/yosys/fix-clang-build.patch +++ b/nixpkgs/pkgs/development/compilers/yosys/fix-clang-build.patch @@ -1,8 +1,8 @@ diff --git a/Makefile b/Makefile -index 86abc6958..a72f7b792 100644 +index fa95b7b70..4d15ed721 100644 --- a/Makefile +++ b/Makefile -@@ -187,7 +192,7 @@ endif +@@ -215,7 +215,7 @@ ABC_ARCHFLAGS += "-DABC_NO_RLIMIT" endif ifeq ($(CONFIG),clang) @@ -10,4 +10,26 @@ index 86abc6958..a72f7b792 100644 +CXX = clang++ LD = clang++ CXXFLAGS += -std=$(CXXSTD) -Os - ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H" + ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -Wno-c++11-narrowing $(ABC_ARCHFLAGS)" +diff --git a/tests/fmt/run-test.sh b/tests/fmt/run-test.sh +index 914a72347..bc0b129d2 100644 +--- a/tests/fmt/run-test.sh ++++ b/tests/fmt/run-test.sh +@@ -51,7 +51,7 @@ test_cxxrtl () { + local subtest=$1; shift + + ../../yosys -p "read_verilog ${subtest}.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-${subtest}.cc" +- ${CC:-gcc} -std=c++11 -o yosys-${subtest} -I../.. ${subtest}_tb.cc -lstdc++ ++ ${CXX:-gcc} -std=c++11 -o yosys-${subtest} -I../.. ${subtest}_tb.cc -lstdc++ + ./yosys-${subtest} 2>yosys-${subtest}.log + iverilog -o iverilog-${subtest} ${subtest}.v ${subtest}_tb.v + ./iverilog-${subtest} |grep -v '\$finish called' >iverilog-${subtest}.log +@@ -69,7 +69,7 @@ diff iverilog-always_full.log iverilog-always_full-1.log + + ../../yosys -p "read_verilog display_lm.v" >yosys-display_lm.log + ../../yosys -p "read_verilog display_lm.v; write_cxxrtl yosys-display_lm.cc" +-${CC:-gcc} -std=c++11 -o yosys-display_lm_cc -I../.. display_lm_tb.cc -lstdc++ ++${CXX:-gcc} -std=c++11 -o yosys-display_lm_cc -I../.. display_lm_tb.cc -lstdc++ + ./yosys-display_lm_cc >yosys-display_lm_cc.log + for log in yosys-display_lm.log yosys-display_lm_cc.log; do + grep "^%l: \\\\bot\$" "$log" diff --git a/nixpkgs/pkgs/development/haskell-modules/configuration-common.nix b/nixpkgs/pkgs/development/haskell-modules/configuration-common.nix index 9951c38a54bb..c5aef8b2b131 100644 --- a/nixpkgs/pkgs/development/haskell-modules/configuration-common.nix +++ b/nixpkgs/pkgs/development/haskell-modules/configuration-common.nix @@ -116,10 +116,6 @@ self: super: { # There will probably be a new revision soon. hls-brittany-plugin = assert super.hls-brittany-plugin.version == "1.1.0.0"; doJailbreak super.hls-brittany-plugin; - hls-hlint-plugin = super.hls-hlint-plugin.override { - apply-refact = self.apply-refact_0_11_0_0; - }; - # For -f-auto see cabal.project in haskell-language-server. ghc-lib-parser-ex = addBuildDepend self.ghc-lib-parser (disableCabalFlag "auto" super.ghc-lib-parser-ex); @@ -205,6 +201,11 @@ self: super: { }) ] super.aeson); + # aeson 2.2.0.0 requires th-abstraction >= 0.5 & < 0.6 + aeson_2_2_0_0 = super.aeson_2_2_0_0.overrideScope (hfinal: hprev: { + th-abstraction = hfinal.th-abstraction_0_5_0_0; + }); + # 2023-06-28: Test error: https://hydra.nixos.org/build/225565149 orbits = dontCheck super.orbits; @@ -237,8 +238,7 @@ self: super: { # Arion's test suite needs a Nixpkgs, which is cumbersome to do from Nixpkgs # itself. For instance, pkgs.path has dirty sources and puts a huge .git in the # store. Testing is done upstream. - # 2023-07-27: Allow base-4.17 - arion-compose = dontCheck (assert super.arion-compose.version == "0.2.0.0"; doJailbreak super.arion-compose); + arion-compose = dontCheck super.arion-compose; # 2023-07-17: Outdated base bound https://github.com/srid/lvar/issues/5 lvar = doJailbreak super.lvar; @@ -253,6 +253,10 @@ self: super: { # https://github.com/glguy/config-value/commit/c5558c8258598fab686c259bff510cc1b19a0c50#commitcomment-119514821 config-value = doJailbreak super.config-value; + # path-io bound is adjusted in 0.6.1 release + # https://github.com/tek/hix/commit/019426f6a3db256e4c96558ffe6fa2114e2f19a0 + hix = doJailbreak super.hix; + # waiting for release: https://github.com/jwiegley/c2hsc/issues/41 c2hsc = appendPatch (fetchpatch { url = "https://github.com/jwiegley/c2hsc/commit/490ecab202e0de7fc995eedf744ad3cb408b53cc.patch"; @@ -312,7 +316,12 @@ self: super: { # Overriding the version pandoc dependency uses as the latest release has version bounds # defined as >= 3.1 && < 3.2, can be removed once pandoc gets bumped by Stackage. - patat = super.patat.override { pandoc = self.pandoc_3_1_6; }; + patat = super.patat.override { pandoc = self.pandoc_3_1_6_1; }; + + # http2 also overridden in all-packages.nix for mailctl. + # twain is currently only used by mailctl, so the .overrideScope shouldn't + # negatively affect any other packages, at least currently... + twain = super.twain.overrideScope (self: _: { http2 = self.http2_3_0_3; }); # The latest release on hackage has an upper bound on containers which # breaks the build, though it works with the version of containers present @@ -338,7 +347,7 @@ self: super: { name = "git-annex-${super.git-annex.version}-src"; url = "git://git-annex.branchable.com/"; rev = "refs/tags/" + super.git-annex.version; - sha256 = "1i14mv8z9sr5sckckwiba4cypgs3iwk19pyrl9xzcrzz426dxrba"; + sha256 = "0fg3q7apdijnlgyb0yps1znjjd2nv3016r9cyxyw209sqn3whnx5"; # delete android and Android directories which cause issues on # darwin (case insensitive directory). Since we don't need them # during the build process, we can delete it to prevent a hash @@ -856,9 +865,6 @@ self: super: { elm-server = markBroken super.elm-server; elm-yesod = markBroken super.elm-yesod; - # Tests failure with GHC >= 9.0.1, fixed in 1.6.24.4 - yesod-core = assert super.yesod-core.version == "1.6.24.3"; dontCheck super.yesod-core; - # https://github.com/Euterpea/Euterpea2/issues/40 Euterpea = doJailbreak super.Euterpea; @@ -896,6 +902,22 @@ self: super: { # It does not support aeson 2.0 descriptive = super.descriptive.override { aeson = self.aeson_1_5_6_0; }; + # Apply compatibility patches until a new release arrives + # https://github.com/phadej/spdx/issues/33 + spdx = appendPatches [ + (fetchpatch { + name = "spdx-ghc-9.4.patch"; + url = "https://github.com/phadej/spdx/pull/30/commits/545dc69f433225c837375fba4cbbdb7f9cc7b09b.patch"; + sha256 = "0p2h8dxkjy2v0dx7h6v62clmx5n5j3c4zh4myh926fijympi1glz"; + }) + (fetchpatch { + name = "spdx-ghc-9.6.patch"; + url = "https://github.com/phadej/spdx/pull/32/commits/b51f665e9960614274ff6a9ac658802c1a785687.patch"; + sha256 = "01vf1h0djr84yxsjfhym715ncx0w5q4l02k3dkbmg40pnc62ql4h"; + excludes = [ ".github/**" ]; + }) + ] super.spdx; + # 2022-03-19: Testsuite is failing: https://github.com/puffnfresh/haskell-jwt/issues/2 jwt = dontCheck super.jwt; @@ -935,25 +957,6 @@ self: super: { # https://github.com/basvandijk/concurrent-extra/issues/12 concurrent-extra = dontCheck super.concurrent-extra; - bloomfilter = appendPatches [ - # https://github.com/bos/bloomfilter/issues/7 - ./patches/bloomfilter-fix-on-32bit.patch - # Fix build with GHC >= 9.2 by using stock unsafeShift* functions - # https://github.com/bos/bloomfilter/pull/20 - (pkgs.fetchpatch { - name = "bloomfilter-ghc-9.2-shift.patch"; - url = "https://github.com/bos/bloomfilter/pull/20/commits/fb79b39c44404fd791a3bed973e9d844fb084f1e.patch"; - sha256 = "0clmr5iar4mhp8nbgh1c1rh4fl7dy0g2kbqqh0af8aqmhjpqzrq3"; - }) - ] (overrideCabal (drv: { - # Make sure GHC 9.2 patch applies correctly - revision = null; - editedCabalFile = null; - prePatch = drv.prePatch or "" + '' - "${pkgs.buildPackages.dos2unix}/bin/dos2unix" *.cabal - ''; - }) super.bloomfilter); - # https://github.com/pxqr/base32-bytestring/issues/4 base32-bytestring = dontCheck super.base32-bytestring; @@ -1161,9 +1164,11 @@ self: super: { github-backup = doJailbreak super.github-backup; # dontCheck: https://github.com/haskell-servant/servant-auth/issues/113 - # doJailbreak: waiting on revision 1 to hit hackage - servant-auth-client = doJailbreak (dontCheck super.servant-auth-client); + servant-auth-client = dontCheck super.servant-auth-client; + # Allow lens-aeson >= 1.2 https://github.com/haskell-servant/servant/issues/1703 servant-auth-server = doJailbreak super.servant-auth-server; + # Allow hspec >= 2.10 https://github.com/haskell-servant/servant/issues/1704 + servant-foreign = doJailbreak super.servant-foreign; # Generate cli completions for dhall. dhall = self.generateOptparseApplicativeCompletions [ "dhall" ] super.dhall; @@ -1918,27 +1923,23 @@ self: super: { inherit (let pandoc-cli-overlay = self: super: { # pandoc-cli requires pandoc >= 3.1 - pandoc = self.pandoc_3_1_6; + pandoc = self.pandoc_3_1_6_1; # pandoc depends on crypton-connection, which requires tls >= 1.7 - tls = self.tls_1_7_0; + tls = self.tls_1_7_1; crypton-connection = unmarkBroken super.crypton-connection; # pandoc depends on http-client-tls, which only starts depending # on crypton-connection in http-client-tls-0.3.6.2. - http-client-tls = self.http-client-tls_0_3_6_2; - - # pandoc and skylighting are developed in tandem - skylighting-core = self.skylighting-core_0_13_4_1; - skylighting = self.skylighting_0_13_4_1; + http-client-tls = self.http-client-tls_0_3_6_3; }; in { pandoc-cli = super.pandoc-cli.overrideScope pandoc-cli-overlay; - pandoc_3_1_6 = doDistribute (super.pandoc_3_1_6.overrideScope pandoc-cli-overlay); + pandoc_3_1_6_1 = doDistribute (super.pandoc_3_1_6_1.overrideScope pandoc-cli-overlay); pandoc-lua-engine = super.pandoc-lua-engine.overrideScope pandoc-cli-overlay; }) pandoc-cli - pandoc_3_1_6 + pandoc_3_1_6_1 pandoc-lua-engine ; @@ -2539,13 +2540,6 @@ self: super: { }) super.polynomial); - # Unreleased bound relaxing patch allowing scotty 0.12 - taffybar = appendPatch (pkgs.fetchpatch { - name = "taffybar-allow-scotty-0.12.patch"; - url = "https://github.com/taffybar/taffybar/commit/2e428ba550fc51067526a0350b91185acef72d19.patch"; - sha256 = "1lpcz671mk5cwqffjfi9ncc0d67bmwgzypy3i37a2fhfmxd0y3nl"; - }) ((p: assert p.version == "4.0.0"; p) super.taffybar); - # Tests likely broke because of https://github.com/nick8325/quickcheck/issues/359, # but fft is not on GitHub, so no issue reported. fft = dontCheck super.fft; @@ -2562,12 +2556,6 @@ self: super: { # has been resolved. lucid-htmx = doJailbreak super.lucid-htmx; - # Needs lsp >= 2.1 - futhark = super.futhark.overrideScope (fself: _: { - lsp = fself.lsp_2_1_0_0; - lsp-types = fself.lsp-types_2_0_1_0; - }); - # Too strict bounds on hspec # https://github.com/klapaucius/vector-hashtables/issues/11 vector-hashtables = doJailbreak super.vector-hashtables; @@ -2777,12 +2765,7 @@ self: super: { # Tests fail due to the newly-build fourmolu not being in PATH # https://github.com/fourmolu/fourmolu/issues/231 - fourmolu_0_13_1_0 = dontCheck (super.fourmolu_0_13_1_0.overrideScope (lself: lsuper: { - Cabal-syntax = lself.Cabal-syntax_3_10_1_0; - ghc-lib-parser = lself.ghc-lib-parser_9_6_2_20230523; - parsec = lself.parsec_3_1_16_1; - text = lself.text_2_0_2; - })); + fourmolu_0_13_1_0 = dontCheck super.fourmolu_0_13_1_0; # Merged upstream, but never released. Allows both intel and aarch64 darwin to build. # https://github.com/vincenthz/hs-gauge/pull/106 diff --git a/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-8.10.x.nix b/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-8.10.x.nix index 4bf89ec4ea31..d452618667b2 100644 --- a/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-8.10.x.nix +++ b/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-8.10.x.nix @@ -103,7 +103,6 @@ self: super: { # These aren't included in hackage-packages.nix because hackage2nix is configured for GHC 9.2, under which these plugins aren't supported. # See https://github.com/NixOS/nixpkgs/pull/205902 for why we use `self.<package>.scope` additionalDeps = with self.haskell-language-server.scope; [ - hls-brittany-plugin hls-haddock-comments-plugin (unmarkBroken hls-splice-plugin) hls-tactics-plugin @@ -112,17 +111,21 @@ self: super: { Cabal = lself.Cabal_3_6_3_0; aeson = lself.aeson_1_5_6_0; lens-aeson = doJailbreak lself.lens-aeson_1_1_3; - lsp-types = doJailbreak lsuper.lsp-types; # Checks require aeson >= 2.0 + lsp-types = dontCheck (doJailbreak lsuper.lsp-types); # Checks require aeson >= 2.0 hls-overloaded-record-dot-plugin = null; })); - ghc-lib-parser = doDistribute self.ghc-lib-parser_9_2_7_20230228; + ghc-lib-parser = doDistribute self.ghc-lib-parser_9_2_8_20230729; ghc-lib-parser-ex = doDistribute self.ghc-lib-parser-ex_9_2_1_1; - ghc-lib = doDistribute self.ghc-lib_9_2_7_20230228; + ghc-lib = doDistribute self.ghc-lib_9_2_8_20230729; mod = super.mod_0_1_2_2; path-io = doJailbreak super.path-io; + hls-cabal-plugin = super.hls-cabal-plugin.override { + Cabal-syntax = self.Cabal-syntax_3_8_1_0; + }; + ormolu = self.ormolu_0_5_0_1; fourmolu = dontCheck self.fourmolu_0_9_0_0; hlint = self.hlint_3_4_1; @@ -134,15 +137,6 @@ self: super: { parser-combinators prettyprinter refinery retrie syb unagi-chan unordered-containers ]) super.hls-tactics-plugin); - hls-brittany-plugin = unmarkBroken (addBuildDepends (with self.hls-brittany-plugin.scope; [ - brittany czipwith extra ghc-exactprint ghcide hls-plugin-api hls-test-utils lens lsp-types - ]) (super.hls-brittany-plugin.overrideScope (lself: lsuper: { - brittany = doJailbreak (unmarkBroken lself.brittany_0_13_1_2); - aeson = lself.aeson_1_5_6_0; - multistate = unmarkBroken (dontCheck lsuper.multistate); - lsp-types = doJailbreak lsuper.lsp-types; # Checks require aeson >= 2.0 - }))); - # This package is marked as unbuildable on GHC 9.2, so hackage2nix doesn't include any dependencies. # See https://github.com/NixOS/nixpkgs/pull/205902 for why we use `self.<package>.scope` hls-haddock-comments-plugin = unmarkBroken (addBuildDepends (with self.hls-haddock-comments-plugin.scope; [ diff --git a/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-9.0.x.nix b/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-9.0.x.nix index 0e53c1935966..7c2d3828866d 100644 --- a/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-9.0.x.nix +++ b/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-9.0.x.nix @@ -68,6 +68,10 @@ self: super: { tuple = addBuildDepend self.base-orphans super.tuple; vector-th-unbox = doJailbreak super.vector-th-unbox; + hls-cabal-plugin = super.hls-cabal-plugin.override { + Cabal-syntax = self.Cabal-syntax_3_8_1_0; + }; + ormolu = self.ormolu_0_5_2_0.override { Cabal-syntax = self.Cabal-syntax_3_8_1_0; }; diff --git a/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-9.2.x.nix b/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-9.2.x.nix index 2214a2055f5d..29e7aab2491a 100644 --- a/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-9.2.x.nix +++ b/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-9.2.x.nix @@ -63,6 +63,10 @@ self: super: { algebraic-graphs = dontCheck self.algebraic-graphs_0_6_1; }; + hls-cabal-plugin = super.hls-cabal-plugin.override { + Cabal-syntax = self.Cabal-syntax_3_8_1_0; + }; + ormolu = self.ormolu_0_5_2_0.override { Cabal-syntax = self.Cabal-syntax_3_8_1_0; }; diff --git a/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-9.6.x.nix b/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-9.6.x.nix index d2fcb916020c..182a259df31c 100644 --- a/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-9.6.x.nix +++ b/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-9.6.x.nix @@ -68,20 +68,27 @@ self: super: { doctest = doDistribute super.doctest_0_22_0; http-api-data = doDistribute self.http-api-data_0_6; # allows base >= 4.18 some = doDistribute self.some_1_0_5; - th-abstraction = doDistribute self.th-abstraction_0_5_0_0; + th-abstraction = doDistribute self.th-abstraction_0_6_0_0; th-desugar = doDistribute self.th-desugar_1_15; semigroupoids = doDistribute self.semigroupoids_6_0_0_1; bifunctors = doDistribute self.bifunctors_5_6_1; base-compat = doDistribute self.base-compat_0_13_0; base-compat-batteries = doDistribute self.base-compat-batteries_0_13_0; + # Because we bumped the version of th-abstraction above.^ + aeson = doJailbreak super.aeson; + free = doJailbreak super.free; + + # Requires filepath >= 1.4.100.0 <=> GHC >= 9.6 + file-io = unmarkBroken super.file-io; + # Too strict upper bound on template-haskell # https://github.com/mokus0/th-extras/pull/21 th-extras = doJailbreak super.th-extras; ghc-lib = doDistribute self.ghc-lib_9_6_2_20230523; ghc-lib-parser = doDistribute self.ghc-lib-parser_9_6_2_20230523; - ghc-lib-parser-ex = doDistribute self.ghc-lib-parser-ex_9_6_0_0; + ghc-lib-parser-ex = doDistribute self.ghc-lib-parser-ex_9_6_0_1; # v0.1.6 forbids base >= 4.18 singleton-bool = doDistribute super.singleton-bool_0_1_7; @@ -164,23 +171,14 @@ self: super: { # 2023-04-03: plugins disabled for hls 1.10.0.0 based on # - haskell-language-server = - let - # TODO: HLS-2.0.0.0 added support for the foumolu plugin for ghc-9.6. - # However, putting together all the overrides to get the latest - # version of fourmolu compiling together with ghc-9.6 and HLS is a - # little annoying, so currently fourmolu has been disabled. We should - # try to enable this at some point in the future. - hlsWithFlags = disableCabalFlag "fourmolu" super.haskell-language-server; - in - hlsWithFlags.override { - hls-ormolu-plugin = null; + haskell-language-server = super.haskell-language-server.override { hls-floskell-plugin = null; - hls-fourmolu-plugin = null; - hls-hlint-plugin = null; - hls-stylish-haskell-plugin = null; }; + fourmolu = super.fourmolu_0_13_1_0; + ormolu = super.ormolu_0_7_1_0; + stylish-haskell = super.stylish-haskell_0_14_5_0; + # Newer version of servant required for GHC 9.6 servant = self.servant_0_20; servant-server = self.servant-server_0_20; @@ -216,6 +214,8 @@ self: super: { HUnit Diff data-default extra fail free ghc-paths ordered-containers silently syb ]) super.ghc-exactprint_1_7_0_1); + hlint = super.hlint_3_6_1; + inherit (pkgs.lib.mapAttrs (_: doJailbreak ) super) hls-cabal-plugin algebraic-graphs diff --git a/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix/broken.yaml b/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix/broken.yaml index c4783feb5362..acf19176b95e 100644 --- a/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix/broken.yaml +++ b/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix/broken.yaml @@ -1,6515 +1,6161 @@ broken-packages: # These packages don't compile. - - 2captcha - - 3d-graphics-examples - - 3dmodels - - AAI - - aasam - - abacate - - abcnotation - - abeson - - abides - - abnf - - AbortT-transformers - - abt - - AC-BuildPlatform - - accelerate - - accentuateus - - access-time - - accuerr - - AC-EasyRaster-GTK - - ace # test failure in job https://hydra.nixos.org/build/230967016 at 2023-08-16 - - AC-HalfInteger - - achille - - acid-state-dist - - acid-state-tls - - ac-machine - - ACME - - acme-all-monad - - acme-comonad - - acme-dont - - acme-flipping-tables - - acme-hq9plus - - acme-http - - acme-inator - - acme-io - - acme-kitchen-sink - - acme-left-pad - - acme-memorandom - - acme-miscorder - - acme-mutable-package - - acme-now - - acme-numbersystem - - acme-operators - - acme-schoenfinkel - - acme-strfry - - acme-stringly-typed - - acme-this - - acme-zalgo - - acme-zero - - AC-MiniTest - - acousticbrainz-client - - AC-Terminal - - ActionKid - - activehs-base - - activitypub - - activitystreams-aeson - - AC-VanillaArray - - AC-Vector - - Adaptive - - Adaptive-Blaisorblade - - adaptive-containers - - adaptive-tuple - - adb - - addy - - adjunction - - adobe-swatch-exchange - - adp-multi - - adtrees - - AERN-Basics - - aeson-applicative - - aeson-bson - - aeson-commit # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230970158 at 2023-08-16 - - aeson-compat # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230955731 at 2023-08-16 - - aeson-decode - - aeson-default - - aeson-dependent-sum # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230951615 at 2023-08-16 - - aeson-deriving - - aeson-diff-generic - - aeson-filthy - - aeson-flat - - aeson-flatten - - aeson-flowtyped - - aeson-gadt-th - - aeson-injector - - aeson-json-ast - - aeson-lens - - aeson-match-qq - - aeson-modern-tojson - - aeson-options - - aeson-parsec-picky - - aeson-prefix - - aeson-schema - - aeson-single-field # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230952090 at 2023-08-16 - - aeson-smart - - aeson-streams - - aeson-t - - aeson-toolkit - - aeson-utils - - aeson-via # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230971255 at 2023-08-16 - - aeson-with - - affection - - affine-invariant-ensemble-mcmc - - Agata - - Agda-executable - - agda-language-server - - agda-snippets - - agda-unused - - AGI - - ag-pictgen # failure in compileBuildDriverPhase in job https://hydra.nixos.org/build/225557198 at 2023-06-28 - - AhoCorasick - - aig - - airbrake - - air-extra - - airship - - airtable-api - - air-th - - aivika-gpss - - ajhc - - AlanDeniseEricLauren - - alerta - - alerts # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230970661 at 2023-08-16 - - alex-prelude - - alfred - - alfred-margaret - - alga - - algebra-dag - - algebraic-classes - - algebraic-prelude - - algorithmic-composition-additional - - algorithmic-composition-complex - - AlgorithmW - - algo-s - - align-affine # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230969907 at 2023-08-16 - - align-text - - ally-invest - - alpaca-netcode - - alphachar - - alpino-tools - - alsa - - alsa-midi - - altcomposition - - alternative-extra - - alternative-io - - altfloat - - alure - - amazon-emailer - - amazonka-core - - amazonka-iam-policy - - amazon-products - - AMI - - amqp-conduit - - analyze - - analyze-client - - anansi-pandoc - - android - - android-activity - - android-lint-summary - - angel - - angle - - animalcase - - Animas - - animascii - - animate - - anki-tools - - annotated-fix - - anonymous-sums - - ansigraph - - ansi-pretty - - antfarm - - antigate - - antimirov - - antiquoter - - antisplice - - antlr-haskell - - anydbm - - Aoide - - aop-prelude - - aosd - - apache-md5 - - apart - - apecs-physics # failure in compileBuildDriverPhase in job https://hydra.nixos.org/build/230961455 at 2023-08-16 - - api-builder - - api-rpc-factom - - apns-http2 - - appc - - appendful-persistent # failure building library in job https://hydra.nixos.org/build/230949704 at 2023-08-16 - - app-lens - - AppleScript - - applicative-fail - - applicative-parsec - - applicative-quoters - - applicative-splice - - apply-unordered - - approveapi - - approx - - ApproxFun-hs - - arbb-vm - - arb-fft - - arbor-lru-cache - - arbor-monad-counter - - arbor-monad-logger - - arbor-monad-metric - - arbor-postgres - - arch-hs - - archiver - - archlinux - - archnews - - arena - - argo # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230957050 at 2023-08-16 - - argon2 - - argparser - - arguedit - - arion - - armada - - armor - - arpa - - arpack - - arrayfire - - array-list - - array-primops - - ArrayRef - - arrowapply-utils - - arrow-improve - - arrow-list - - arrowp - - arrowp-qq - - ArrowVHDL - - artery - - artifact - - asap - - ascii85-conduit - - ascii-caseless - - asciidiagram # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230955130 at 2023-08-16 - - ascii-flatten - - ascii-string - - ascii-vector-avc - - asif - - asil # failure in compileBuildDriverPhase in job https://hydra.nixos.org/build/225556674 at 2023-06-28 - - asn1-ber-syntax - - asn1-codec - - asn1-data - - AspectAG - - assert - - assert4hs - - assert4hs-core - - assertions - - asset-map - - assoc-list # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230968246 at 2023-08-16 - - assoc-listlike # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230957051 at 2023-08-16 - - assumpta - - ast-monad - - astrds - - astro # failure in job https://hydra.nixos.org/build/225575437 at 2023-06-28 - - async-combinators - - async-dejafu - - asynchronous-exceptions - - async-manager - - async-timer - - aterm - - atlassian-connect-descriptor - - atndapi - - atom - - atomic-modify # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230969549 at 2023-08-16 - - atomic-primops-vector - - atomo - - atp-haskell - - ats-format # failure building executable 'atsfmt' in job https://hydra.nixos.org/build/230948414 at 2023-08-16 - - ats-pkg - - ats-setup - - ats-storable - - attempt - - attic-schedule - - AttoBencode - - atto-lisp - - attomail - - attoparsec-aeson # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230964825 at 2023-08-16 - - attoparsec-csv - - attoparsec-text - - attoparsec-trans - - attoparsec-varword - - attosplit - - Attrac - - augeas - - augur - - aur - - aur-api - - Aurochs - - authenticate-ldap - - authinfo-hs - - auto - - autom - - autonix-deps - - autopack - - avatar-generator - - aviation-units - - avl-static - - avro-piper - - avr-shake - - avwx - - awesome-prelude - - awesomium-raw - - aws-cloudfront-signer - - aws-ec2 - - aws-ec2-knownhosts - - aws-general - - aws-lambda-haskell-runtime - - aws-lambda-runtime - - aws-larpi - - aws-performance-tests - - aws-route53 - - aws-sdk-text-converter - - axel - - azubi - - azure-acs - - azure-email - - azurify - - b9 - - babl # wants pkg-config dependency `babl`, but pkgs.babl's pkg-config file is called babl-0.1.pc - - backprop - - backstop - - backtracking-exceptions - - backward-state - - bag - - Baggins - - bake - - Bang - - banwords - - barbies-th # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230949387 at 2023-08-16 - - barchart - - barcodes-code128 - - barecheck - - barrie - - barrier - - barrier-monad - - base16-lens - - base32-lens - - base58address - - base62 - - base64-bytes # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230952298 at 2023-08-16 - - base64-conduit - - base64-lens - - base-compat-migrate - - based # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230967441 at 2023-08-16 - - base-encoding - - base-feature-macros - - base-generics - - base-io-access - - basement-cd # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230963879 at 2023-08-16 - - basen - - basex-client - - basic-sop - - baskell - - battlenet - - battleplace - - bazel-coverage-report-renderer - - BCMtools - - bdd - - bdelta - - bdo - - beam - - beamable - - beam-mysql - - beam-newtype-field - - bech32 - - bed-and-breakfast - - Befunge93 - - bench-graph # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230958515 at 2023-08-16 - - BenchmarkHistory - - bench-show # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230951256 at 2023-08-16 - - bencodex - - bencoding-lens - - berkeleydb - - BerkeleyDBXML - - berp - - bert - - besout - - bet - - betacode - - betris # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230971705 at 2023-08-16 - - bgmax - - bgzf - - bibdb - - bidi-icu - - bidirectional - - bidirectional-instances - - bidispec - - bidispec-extras - - BiGUL - - billeksah-services - - binary-bits - - binary-communicator - - binary-derive - - binary-ext - - binary-indexed-tree - - binary-parsers - - binary-protocol - - binary-strict - - binary-tree - - binary-typed - - BinderAnn - - binding-core - - bindings-apr - - bindings-bfd - - bindings-cctools - - bindings-common - - bindings-dc1394 - - bindings-eskit - - bindings-EsounD - - bindings-fann - - bindings-fluidsynth - - bindings-friso - - bindings-gsl - - bindings-hamlib - - bindings-hdf5 - - bindings-K8055 - - bindings-libftdi - - bindings-libg15 - - bindings-libpci - - bindings-librrd - - bindings-libstemmer - - bindings-libusb - - bindings-libv4l2 - - bindings-monetdb-mapi - - bindings-mpdecimal - - bindings-sc3 - - bindings-sipc - - bindings-wlc - - bind-marshal - - binembed - - binrep # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/231689637 at 2023-08-16 - - binsm - - bio - - BiobaseNewick - - biocore - - biohazard - - bio-sequence - - birds-of-paradise - - biscuit-haskell - - bisect-binary - - bishbosh - - bitcoind-rpc # dependency missing in job https://hydra.nixos.org/build/217579845 at 2023-04-29 - - bitcoin-hs - - bitcoin-keys - - bitcoin-rpc - - bitcoin-script - - bitfield # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230967290 at 2023-08-16 - - bits-atomic - - bits-conduit - - bitset - - bits-extras - - bitspeak - - bit-stream - - bitstream - - BitStringRandomMonad - - BitSyntax - - bitx-bitcoin - - bizzlelude # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230953184 at 2023-08-16 - - bizzlelude-js - - bkr - - blagda - - blakesum - - blas - - blaze-html-hexpat - - blaze-html-truncate - - blaze-json - - blaze-shields - - blazeT - - blaze-textual-native - - blindpass - - bliplib - - blockchain - - blockhash - - Blogdown - - BlogLiterately - - bloomfilter-redis - - blosum - - blubber-server - - bludigon - - Blueprint - - bluetileutils - - blunk-hask-tests - - bogocopy - - bolt - - boltzmann-brain - - bookhound - - bookkeeping # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230958716 at 2023-08-16 - - boolean-like - - boolean-normal-forms - - boolexpr - - boombox - - boring-window-switcher - - borsh - - bot - - botpp - - bottom - - bounded-array # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230957201 at 2023-08-16 - - bound-simple # failure building library in job https://hydra.nixos.org/build/230950474 at 2023-08-16 - - box - - box-tuples # failure building library in job https://hydra.nixos.org/build/230956723 at 2023-08-16 - - bpath - - BPS - - braid - - brain-bleep - - brassica - - Bravo - - brians-brain - - brick-dropdownmenu - - brick-filetree - - brick-list-search # failure in job https://hydra.nixos.org/build/211236614 at 2023-03-13 - - brick-list-skip # failure in job https://hydra.nixos.org/build/215850872 at 2023-04-17 - - brick-panes # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230961711 at 2023-08-16 - - bricks-internal - - brick-tabular-list - - brillig - - brittany - - broadcast-chan-tests - - broccoli - - brok - - broker-haskell - - bronyradiogermany-common - - brotli-conduit - - browscap - - bsd-sysctl - - bson-generic - - bson-generics - - bson-mapping - - bsparse - - btree-concurrent # dependency missing in job https://hydra.nixos.org/build/211250233 at 2023-03-13 - - btrfs - - buffer - - buffer-builder-aeson - - BufferedSocket - - buffet - - buffon - - buffon-machines - - bugzilla - - build - - buildable - - buildbox - - builder - - bullet - - bumper - - bunz - - burst-detection - - bus-pirate - - buster - - Buster - - butter - - buttplug-hs-core - - bv-little - - byline - - by-other-names - - bytearray-parsing - - bytestring-aeson-orphans - - bytestring-arbitrary - - bytestring-class - - bytestring-conversion # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230970130 at 2023-08-16 - - bytestring-csv - - bytestring-delta - - bytestring-handle - - bytestringparser - - bytestringparser-temporary - - bytestring-plain - - bytestringreadp - - bytestring-rematch - - bytestring-show - - bytestring-substring - - bytestring-time - - bytestring-typenats - - bzlib - - bzlib-conduit-jappie - - c10k - - c2ats - - cab - - cabal2doap - - cabal2ebuild - - cabal2ghci - - cabal2json # dependency missing in job https://hydra.nixos.org/build/225572535 at 2023-06-28 - - cabal-audit - - cabal-auto-expose - - cabal-bundle-clib - - cabal-constraints - - cabal-db - - cabal-debian # failure building library in job https://hydra.nixos.org/build/230959173 at 2023-08-16 - - cabal-dependency-licenses - - cabal-dev - - cabal-dir - - cabal-edit - - cabal-file-th - - cabal-ghc-dynflags - - cabal-ghci - - cabalgraph - - cabal-graphdeps - - cabal-helper - - cabal-hoogle # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230965454 at 2023-08-16 - - Cabal-ide-backend - - cabal-info - - cabal-install-bundle - - cabal-install-ghc72 - - cabal-install-ghc74 - - cabalish - - cabal-lenses - - cabal-meta - - cabal-mon - - cabal-nirvana - - cabal-plan-bounds - - cabal-plan # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230949515 at 2023-08-16 - - cabal-progdeps - - cabalQuery - - CabalSearch - - cabal-setup - - cabal-sort - - cabal-src - - cabal-test-quickcheck - - cabal-toolkit - - cabal-upload - - cabalvchk - - cabin - - cabocha - - cached - - cache-polysemy - - caching - - cacophony - - cafeteria-prelude - - cairo-core - - cake3 - - cal3d - - caledon - - calenderweek - - call-alloy - - cal-layout - - call-haskell-from-anything - - call-plantuml - - canon - - canonical-filepath - - canteven-listen-http - - canteven-log - - canteven-parsedate - - cantor - - capataz - - ca-patterns # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230959692 at 2023-08-16 - - capped-list - - capri - - caps - - caramia - - carbonara - - carettah - - CarneadesDSL - - carte - - Cartesian - - casa-abbreviations-and-acronyms - - casadi-bindings-internal - - Cascade - - cascading - - case-insensitive-match # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230953665 at 2023-08-16 - - caseof - - cas-hashable - - casr-logbook - - casr-logbook-types - - cassandra-cql - - Cassava - - cassava-conduit - - cassava-records - - cassava-streams # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230968263 at 2023-08-16 - - cassette - - castagnoli # failure in job https://hydra.nixos.org/build/219826672 at 2023-05-19 - - castle - - catamorphism - - Catana - - catch-fd - - category-printf - - category-traced - - catnplus - - cautious-file - - cautious-gen - - cayene-lpp - - cayley-client - - cblrepo - - cbor-tool # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230947427 at 2023-08-16 - - CCA - - ccast - - CC-delcont-cxe - - CC-delcont-exc - - CC-delcont-ref - - CC-delcont-ref-tf - - cci - - ccnx - - cdp - - c-dsl - - cedict - - cef - - cef3-raw - - cellrenderer-cairo - - cereal-derive - - cereal-ieee754 - - cereal-plus - - cf - - cfenv - - cfn-flip - - cg - - cgen - - cgi - - cgroup-rts-threads - - chakra - - chalkboard - - chalmers-lava2000 - - changelogged # failure building library in job https://hydra.nixos.org/build/230967974 at 2023-08-16 - - ChannelT - - character-cases - - charter - - chart-histogram - - Chart-simple - - chaselev-deque - - chatty-text - - chatwork - - cheapskate # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230951147 at 2023-08-16 - - check-cfg-ambiguity # failure in job https://hydra.nixos.org/build/225575902 at 2023-06-28 - - checked - - Checked - - checkmate - - chez-grater - - chiphunk - - Chitra - - choose - - chorale - - chp - - ChristmasTree - - chronograph - - chr-parse - - chunky - - church - - church-maybe - - churros # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230954366 at 2023-08-16 - - cicero-api # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230967916 at 2023-08-16 - - cielo - - cil - - cimple # failure building library in job https://hydra.nixos.org/build/230963662 at 2023-08-16 - - cinvoke - - c-io - - cio - - cipher-aes128 - - cipher-blowfish - - cipher-des - - circle - - circlehs - - circular-enum # dependency missing in job https://hydra.nixos.org/build/225566485 at 2023-06-28 - - citeproc-hs - - cjk - - cj-token - - cl3-hmatrix-interface - - cl3-linear-interface - - clang-compilation-database - - clang-pure - - clanki - - clarifai - - CLASE - - clash-prelude # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230967667 at 2023-08-16 - - Clash-Royale-Hack-Cheats - - ClassLaws - - classy-influxdb-simple - - classy-parallel - - classyplate - - ClassyPrelude - - clckwrks-plugin-bugs - - clckwrks-plugin-mailinglist - - clckwrks-theme-clckwrks - - clckwrks-theme-geo-bootstrap - - cld2 - - Clean - - clean-unions - - cleff # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230963671 at 2023-08-16 - - clerk # failure building library in job https://hydra.nixos.org/build/214864491 at 2023-04-07 - - clevercss - - clexer - - CLI - - cli-builder - - cli-extras # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230949753 at 2023-08-16 - - clif - - clifm - - cli-git - - clingo - - cli-nix - - clippard - - clipper - - clisparkline - - clit - - cloben # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230955543 at 2023-08-16 - - clocked - - clock-extras - - clogparse - - clone-all - - closed-classes # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230969665 at 2023-08-16 - - closed-intervals # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230959515 at 2023-08-16 - - closure - - cloudfront-signer - - clplug # failure in job https://hydra.nixos.org/build/211239834 at 2023-03-13 - - clr-host # failure building library in job https://hydra.nixos.org/build/230958504 at 2023-08-16 - - clr-inline - - clr-typed - - cluss - - clustering - - cmark-highlight - - cmark-patterns - - cmark-sections - - cmath - - cmd-item - - cmdlib - - cmdtheline - - cmf - - cmonad - - c-mosquitto - - cmph - - CMQ - - cmt - - coalpit - - cobot-tools - - code-builder - - codec-beam - - codecov-haskell - - codeforces-cli - - codepad - - codex - - codo-notation - - coercible-utils - - coin - - coinbase-pro - - colchis - - collada-output - - collapse-util - - collate - - collections - - collections-api - - co-log-concurrent - - co-log-json - - co-log-sys - - colonnade - - colorless - - coltrane - - com - - comark-syntax - - combinat-compat - - combinat-diagrams - - combinat # failure building library in job https://hydra.nixos.org/build/230947031 at 2023-08-16 - - combinatorial-problems - - combinator-interactive - - combobuffer - - comic - - Command - - commander - - commander-cli - - Commando - - commodities - - compact - - Compactable - - compactable # dependency missing in job https://hydra.nixos.org/build/225560369 at 2023-06-28 - - compact-list - - compact-map - - compact-sequences - - compact-string - - compact-string-fix - - comparse - - compdata - - compendium-client - - competition - - compilation - - complex-generic - - composable-associations-aeson - - compose-trans - - composite-aeson-path - - composite-aeson-refined - - composite-base # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230966274 at 2023-08-16 - - composite-cassava - - composition-tree - - compressed - - compression - - computational-geometry - - computations - - ConClusion # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230952663 at 2023-08-16 - - concrete-relaxng-parser - - concrete-typerep - - concurrency-benchmarks - - concurrent-buffer - - Concurrent-Cache - - Concurrential - - concurrent-st - - concurrent-state - - concurrent-supply - - concurrent-utilities - - conditional-restriction-parser - - condorcet - - conductive-base - - conduit-audio-lame - - conduit-audio-samplerate - - conduit-connection - - conduit-find - - conduit-iconv - - conduit-network-stream - - conduit-resumablesink - - conduit-tokenize-attoparsec - - conduit-vfs - - conf - - conferer-dhall - - conferer # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230960587 at 2023-08-16 - - conferer-hspec - - conferer-provider-json - - conferer-snap - - conferer-source-json - - confide - - ConfigFileTH - - config-parser - - Configurable - - configuration - - configurator-pg # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230962366 at 2023-08-16 - - config-value-getopt - - confsolve - - congruence-relation - - conjure - - conkin - - conlogger - - connection-pool # failure building library in job https://hydra.nixos.org/build/230958887 at 2023-08-16 - - connections - - connection-string - - Conscript - - consistent - - console-program - - constable - - const # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230972319 at 2023-08-16 - - const-math-ghc-plugin - - constrained - - constrained-categories - - constrained-dynamic - - constrained-monads - - ConstraintKinds - - constraints-deriving - - constraints-emerge - - constr-eq - - construct # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230959867 at 2023-08-16 - - constructive-algebra - - consul-haskell - - Consumer - - containers-benchmark - - containers-unicode-symbols - - containers-verified - - ContArrow - - ContextAlgebra - - context-stack - - contiguous-checked - - contiguous-fft - - continue - - continued-fractions # failure in job https://hydra.nixos.org/build/225575101 at 2023-06-28 - - contracheck-applicative - - Contract - - contra-tracers - - control-dsl - - control-iso - - control-monad-failure - - control-monad-failure-mtl - - Control-Monad-ST2 - - contstuff-monads-tf - - contstuff-transformers - - convert-annotation - - copilot-c99 # test failure in job https://hydra.nixos.org/build/230951365 at 2023-08-16 - - copr - - coquina - - COrdering - - core - - corebot-bliki - - core-compiler - - CoreDump - - CoreErlang - - core-haskell - - corenlp-parser - - core-warn - - Coroutine - - coroutine-object - - CouchDB - - couchdb-conduit - - couch-hs - - counter - - country-codes # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230969077 at 2023-08-16 - - courier - - court - - coverage - - cparsing - - cpio-conduit - - cplusplus-th - - cpuid - - cpuperf - - cpython - - cql-io - - cqrs-core - - cr - - crack - - Craft3e - - craftwerk - - crawlchain - - crc16 - - crc32c - - crdt - - crdt-event-fold - - creatur # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230950704 at 2023-08-16 - - credential-store - - crem # test failure in job https://hydra.nixos.org/build/214604824 at 2023-04-07 - - critbit - - criterion-cmp - - criterion-compare - - criterion-plus - - criterion-to-html - - criu-rpc-types - - crjdt-haskell - - crockford # failure in compileBuildDriverPhase in job https://hydra.nixos.org/build/230965833 at 2023-08-16 - - crocodile - - cronus - - cruncher-types - - crunghc - - crypto-cipher-benchmarks - - cryptocompare - - cryptoconditions - - cryptoids-types - - crypto-keys-ssh - - crypto-multihash - - crypton-connection # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230956968 at 2023-08-16 - - crypto-numbers - - crypto-pubkey-openssh - - crypto-random-effect - - crypto-simple - - cryptsy-api - - csa - - cse-ghc-plugin - - csg - - csound-expression-dynamic - - CSPM-Frontend - - cspretty - - css - - css-easings - - css-selectors - - css-simple # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230951038 at 2023-08-16 - - C-structs - - csv-nptools - - csv-sip - - ctemplate - - ctkl - - cuboid - - cuckoo-filter - - cuckoo # test failure in job https://hydra.nixos.org/build/225558690 at 2023-06-28 - - curl-aeson - - curl-runnings - - curly-expander - - currencies # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230946919 at 2023-08-16 - - currency-convert - - curry-base - - CurryDB - - curryer-rpc # dependency missing in job https://hydra.nixos.org/build/214772339 at 2023-04-07 - - curry-frontend - - curryrs - - cursedcsv # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230967021 at 2023-08-16 - - curves - - custom-prelude - - cut-the-crap - - CV - - d3js - - dag - - DAG-Tournament - - dahdit - - damnpacket - - danibot - - dao - - Dao - - darcs2dot - - darcs-buildpackage - - darcs-cabalized - - darcs-graph - - darcs-monitor - - darkplaces-rcon - - darkplaces-text - - data-accessor-monadLib - - data-accessor-monads-fd - - data-accessor-monads-tf - - data-accessor-template - - data-ascii - - data-aviary - - data-base - - database-migrate - - database-study - - data-check - - data-combinator-gen - - data-concurrent-queue - - data-construction - - data-constructors - - data-default-instances-new-base - - data-default-instances-text # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230949390 at 2023-08-16 - - data-dispersal - - data-diverse - - datadog - - data-easy - - data-embed - - data-emoticons - - data-filepath - - data-filter # failure building library in job https://hydra.nixos.org/build/230970830 at 2023-08-16 - - data-fin - - data-fin-simple - - data-flagset - - data-forced # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230946495 at 2023-08-16 - - data-index - - DataIndex - - data-ivar - - data-kiln - - data-lens-fd - - data-lens-ixset - - data-lens-template - - datalog - - data-map-multikey - - data-named - - data-nat - - data-object - - datapacker - - data-pdf-fieldreader - - data-pprint # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230972007 at 2023-08-16 - - data-quotientref - - data-reify-cse - - data-repr - - data-rev - - datarobot - - data-rope - - Data-Rope - - data-r-tree - - data-size - - data-spacepart - - data-standards - - data-store - - data-stringmap - - DataTreeView - - data-type - - data-util - - data-validation - - data-variant - - data-vector-growable # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230964148 at 2023-08-16 - - dates - - datetime - - datetime-sb - - dawdle - - dawg - - dawg-ord # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230969059 at 2023-08-16 - - daytripper # failure in job https://hydra.nixos.org/build/225578117 at 2023-06-28 - - dbcleaner - - dbf - - DBlimited - - dbm - - dbmigrations - - dbmonitor - - d-bus - - DBus - - dbus-core - - dbus-qq - - dclabel - - dclabel-eci11 - - dcpu16 - - ddc-base - - ddc-code - - dead-code-detection - - Deadpan-DDP - - dead-simple-json - - dear-imgui # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230968725 at 2023-08-16 - - debugger-hs # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230968226 at 2023-08-16 - - debug-me - - debug-trace-file # test failure in job https://hydra.nixos.org/build/230951658 at 2023-08-16 - - debug-tracy - - decepticons - - decision-diagrams - - DecisionTree - - decoder-conduit - - deepcontrol - - DeepDarkFantasy - - deepl - - deepseq-bounded - - deepseq-instances - - deepseq-magic - - deepseq-th - - definitive-base - - deiko-config # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230964942 at 2023-08-16 - - deka - - Delta-Lambda - - delude - - demarcate - - denominate - - dense - - dense-int-set - - dependent-hashmap - - dependent-monoidal-map # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230972604 at 2023-08-16 - - dep-t-dynamic - - dep-t # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230956455 at 2023-08-16 - - deptrack-core - - dep-t-value - - derangement - - derivation-trees - - derive - - derive-enumerable - - derive-gadt - - deriveJsonNoPrefix - - derive-lifted-instances - - derive-monoid - - derive-trie - - deriving-openapi3 - - deriving-trans - - derp-lib - - describe - - descriptive - - desktop-portal # test failure in job https://hydra.nixos.org/build/217585702 at 2023-04-29 - - deterministic-game-engine - - detrospector - - deunicode - - devil - - df1-html - - Dflow - - dfsbuild - - dgim - - dgs - - dhall-check - - dhall-csv - - dhall-fly - - dhall-lsp-server # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230971652 at 2023-08-16 - - dhall-text - - dhall-to-cabal - - dhcp-lease-parser - - dhrun - - dia-base - - diagnose # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230962878 at 2023-08-16 - - diagrams-boolean - - diagrams-builder - - diagrams-pdf - - diagrams-qrcode - - diagrams-rubiks-cube - - diagrams-tikz - - dialogflow-fulfillment - - dialogue - - dib - - dice2tex - - dicom - - dictionaries - - dictparser - - diet - - diffcabal - - differential - - DifferentialEvolution - - diff-gestalt - - diffmap - - difftodo - - digestive-bootstrap - - digestive-foundation-lucid - - digestive-functors-aeson - - digestive-functors-happstack - - digestive-functors-heist # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230948900 at 2023-08-16 - - digestive-functors-lucid # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230969836 at 2023-08-16 - - digestive-functors-snap - - digest-pure - - DigitalOcean - - digitalocean-kzs - - digraph - - dijkstra-simple - - DimensionalHash - - diophantine - - diplomacy - - direct-binary-files - - directed-cubical - - direct-fastcgi - - direct-http - - directory-ospath-streaming # dependency missing in job https://hydra.nixos.org/build/219209527 at 2023-05-10 - - direct-plugins - - direm - - disco # failure building library in job https://hydra.nixos.org/build/219207076 at 2023-05-10 - - discord-haskell # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230971973 at 2023-08-16 - - discordian-calendar - - discord-register - - discord-types - - discrete - - DiscussionSupportSystem - - Dish - - disjoint-containers - - disjoint-set - - disjoint-set-stateful - - diskhash - - Dist - - distance - - distributed-closure - - distribution - - dist-upload - - ditto-lucid # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230947345 at 2023-08-16 - - djembe - - djinn-ghc - - djinn-th - - dmcc - - dmenu - - dnscache - - dns-patterns # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230959482 at 2023-08-16 - - dnsrbl - - dnssd - - dobutok - - dobutokO - - doccheck - - docidx - - docker-build-cacher - - dockercook - - docker # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230961470 at 2023-08-16 - - dockerfile-creator - - docopt - - docrecords - - doctest-discover-configurator - - doctest-prop - - docusign-example - - docvim - - DOH - - doi - - domaindriven-core # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230948092 at 2023-08-16 - - domain-optics - - dom-events # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230958378 at 2023-08-16 - - dom-parser - - domplate - - dom-selector - - do-notation-dsl - - dormouse-uri - - do-spaces - - dotfs - - dot-linker - - dotparse - - doublezip - - doublify-toolkit - - downloader - - dozenal - - dozens - - dph-base - - dpkg - - DPM - - dpor - - dragen - - drawille - - dr-cabal - - drClickOn - - dresdner-verkehrsbetriebe - - DrIFT - - DrIFT-cabalized - - drifter-postgresql - - drmaa - - drone - - dropbox - - dsc - - ds-kanren - - dsmc - - dson - - dson-parsec - - dstring - - dsv # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230953340 at 2023-08-16 - - DTC - - dtd-text - - dtw - - dual-game # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230959616 at 2023-08-16 - - dualizer - - duckling - - duet - - dumb-cas - - dump-core - - dunai-core - - Dung - - duplo - - dura - - duration - - dvault - - dvdread - - dvi-processing - - dwarf - - dwarfadt - - dyckword - - dyna-brick - - dynamic-graphs - - dynamic-mvector - - dynamic-object - - dynamic-pipeline - - DynamicTimeWarp - - dyna-processing - - dynloader - - DysFRP - - dywapitchtrack - - dzen-dhall - - dzen-utils - - each - - eager-sockets - - earclipper - - earcut - - early - - easy-api - - easy-args - - easy-bitcoin - - easyjson - - easyplot - - easytest - - ebeats - - ebnf-bff - - eccrypto - - ecma262 - - ecta-plugin - - ecu - - eddie - - ede - - edenmodules - - edf # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230965074 at 2023-08-16 - - edis - - edit - - edit-lenses - - editline - - effectful-st - - effect-handlers - - effective-aspects - - effect-stack - - effet - - effin - - eflint - - egison-pattern-src - - ehaskell - - ehs - - eibd-client-simple - - eigen - - Eight-Ball-Pool-Hack-Cheats - - eio - - EitherT - - either-unwrap - - ejdb2-binding - - ekg-bosun - - ekg-elastic - - ekg-elasticsearch - - ekg-json - - ekg-log - - ekg-push - - ekg-rrd - - ekg-statsd - - elevator - - eliminators - - elision - - elm-export-persistent - - elm-street - - elm-websocket - - elocrypt - - ema-generics # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230957258 at 2023-08-16 - - emailaddress - - email-header - - email-postmark - - embed-config - - embla - - emgm - - Emping - - Empty - - empty-monad - - enchant - - encode-string - - encoding - - encoding-io - - encryptable - - endo - - engine-io - - entwine - - EnumContainers - - enumerator - - EnumMap - - enummapmap - - enummaps - - enummapset-th - - enum-subset-generate - - enum-text - - enum-utf8 - - envelope - - env-extra # test failure in job https://hydra.nixos.org/build/230961939 at 2023-08-16 - - env-parser - - envstatus - - envy-extensible # failure building library in job https://hydra.nixos.org/build/230971634 at 2023-08-16 - - epanet-haskell - - epass - - epic - - epi-sim - - epoll - - epubname - - Eq - - EqualitySolver - - equational-reasoning-induction - - equeue - - erd - - erlang - - erlang-ffi - - eros - - error-context - - error-continuations - - error-list - - error-loc - - error-util - - ersaconcat - - ersatz - - ert - - escape-artist - - escoger - - esqueleto-pgcrypto - - ess - - essence-of-live-coding - - EstProgress - - Etage - - etc - - etcd - - ethereum-rlp - - eurofxref - - evdev-streamly - - eve-cli - - eved - - event - - event-driven - - eventsource-api - - eventsourced - - eventstore - - evoke # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230955694 at 2023-08-16 - - ewe # failure building executable 'ewe' in job https://hydra.nixos.org/build/225555839 at 2023-06-28 - - exact-cover - - exact-real-positional - - except-exceptions - - exceptional - - exceptionfree-readfile - - exception-monads-fd - - exception-via - - exchangerates - - execs - - executor - - exh - - exhaustive - - exherbo-cabal - - exif - - exigo-schema - - exinst - - exists - - exitcode - - exon # dependency missing in job https://hydra.nixos.org/build/210848638 at 2023-02-28 - - exp-cache - - exp-extended - - explain - - explicit-constraint-lens - - explicit-determinant - - explicit-iomodes - - exploring-interpreters # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230967612 at 2023-08-16 - - exposed-containers - - expression-parser - - expressions - - expresso - - extcore - - extended-categories - - extended-containers - - extensible-data - - extensible-effects-concurrent - - extensible-skeleton - - extensioneer # failure building executable 'extensioneer' in job https://hydra.nixos.org/build/230953750 at 2023-08-16 - - external-sort - - extism - - extractelf - - extralife # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230950860 at 2023-08-16 - - ez3 - - ez-couch - - Facebook-Password-Hacker-Online-Latest-Version - - faceted - - factory # test failure in job https://hydra.nixos.org/build/214600338 at 2023-04-07 - - facts - - Facts # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230949709 at 2023-08-16 - - fadno-braids - - fadno-xml - - failable-list - - failure-detector - - fake - - fake-type - - faktory - - f-algebra-gen - - falling-turnip - - fastbayes - - fast-combinatorics - - fast-downward - - fastedit - - fastly - - fast-nats - - fastparser # failure building library in job https://hydra.nixos.org/build/211240748 at 2023-03-13 - - fastpbkdf2 - - FastPush - - fast-tagsoup-utf8-only - - FastxPipe - - fathead-util - - fay - - fbmessenger-api - - fb-persistent - - fca - - fcache - - fcf-composite - - fcf-graphs - - fcg - - fclabels-monadlib - - fcm-client - - fdo-trash - - feather - - feature-flipper - - fedora-packages - - feed-cli - - feed-collect - - feed-crawl - - fei-cocoapi - - feldspar-language - - fenfire - - fernet - - FerryCore - - festival - - Feval - - fez-conf - - ffeed - - ffmpeg-light # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230963762 at 2023-08-16 - - ffunctor - - fgl-extras-decompositions - - fib - - fibon - - fields - - fieldwise - - fig - - filecache # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230961614 at 2023-08-16 - - file-collection - - file-command-qq - - filediff - - file-embed-poly - - file-io - - file-location - - FileManip - - FileManipCompat - - fileneglect - - Files - - FileSystem - - filesystem-conduit - - filesystem-trees - - file-templates - - fillit - - final-pretty-printer - - Finance-Quote-Yahoo - - find-conduit - - find-source-files - - fingertree-psqueue - - fingertree-tf - - finite - - FiniteCategories - - finite-fields - - firefly-example - - first-and-last - - first-class-instances - - FirstPrelude # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230952406 at 2023-08-16 - - fit - - fitsio - - fits-parse - - fixed-point - - fixedprec - - fixed-precision - - fixed-storable-array - - fixed-timestep - - fixed-vector-hetero # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230959989 at 2023-08-16 - - fixed-width - - fixer - - fixfile - - fixie - - fix-imports # dependency missing in job https://hydra.nixos.org/build/215306368 at 2023-04-11 - - fix-symbols-gitit - - fizzbuzz - - fizzbuzz-as-a-service - - flaccuraterip - - flamethrower - - flamingra - - flat-maybe - - flat-mcmc # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230951840 at 2023-08-16 - - flay - - flexible-time - - flickr - - flink-statefulfun - - float-binstring - - floating-bits - - flock - - flowdock - - flowdock-api - - flowdock-rest - - flow-er - - flowlocks-framework - - flp - - fltkhs - - fluffy-parser - - fluid-idl - - fluidsynth - - flux-monoid - - fmark - - FModExRaw - - fn-extra - - fold-debounce-conduit # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230972387 at 2023-08-16 - - foldl-incremental - - foldl-statistics - - foldl-transduce # test failure in job https://hydra.nixos.org/build/230962135 at 2023-08-16 - - folds-common - - follow - - fontconfig-pure # test failure in job https://hydra.nixos.org/build/230970811 at 2023-08-16 - - font-opengl-basic4x6 - - forbidden-fruit - - fordo - - forecast-io - - foreign - - foreign-var - - forest - - forest-fire - - forex2ledger - - for-free - - forger - - ForkableT - - forma # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230967499 at 2023-08-16 - - formal - - formattable - - forml - - formura - - Fortnite-Hack-Cheats-Free-V-Bucks-Generator - - fortran-src-extras - - fortytwo # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230950284 at 2023-08-16 - - foscam-filename - - fpe - - fp-ieee # test failure in job https://hydra.nixos.org/build/225561952 at 2023-06-28 - - FPretty - - fptest - - fquery - - Fractaler - - fractals - - fraction - - frag - - franchise - - fraxl - - freddy - - free-concurrent - - f-ree-hack-cheats-free-v-bucks-generator - - free-http - - freenect # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230969157 at 2023-08-16 - - free-operational - - freer-effects - - freer-simple-catching - - freer-simple # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230959714 at 2023-08-16 - - freer-simple-http - - freer-simple-profiling - - freer-simple-random - - freer-simple-time - - freesect - - freesound - - free-theorems - - FreeTypeGL - - freetype-simple - - free-v-bucks-generator-no-survey - - free-v-bucks-generator-ps4-no-survey - - freq - - fresh - - fresnel - - friday-devil - - friday-scale-dct - - friday # test failure in job https://hydra.nixos.org/build/225561573 at 2023-06-28 - - friendly # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230966475 at 2023-08-16 - - frown - - frp-arduino - - frpnow - - fs-events - - fsh-csv - - fsmActions - - FSM # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230963381 at 2023-08-16 - - fsnotify-conduit # failure building library in job https://hydra.nixos.org/build/230972081 at 2023-08-16 - - fst - - fsutils - - fswait - - fswatch - - fswatcher # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230959599 at 2023-08-16 - - ft-generator - - FTGL-bytestring - - ftp-client - - ftp-conduit - - ftphs - - full-sessions - - funbot-client - - funcons-lambda-cbv-mp # failure building executable 'lambda-cbv' in job https://hydra.nixos.org/build/217559083 at 2023-04-29 - - funcons-values # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230971643 at 2023-08-16 - - functional-arrow - - function-instances-algebra - - functor-combinators - - functor-friends - - functor-infix - - functorm - - functor-products - - functor-utils - - Fungi - - funpat - - funspection - - fused-effects-exceptions - - fused-effects-mwc-random - - fused-effects-resumable - - fused-effects-th # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230961770 at 2023-08-16 - - fusion - - futhask - - futun - - future - - futures # failure building library in job https://hydra.nixos.org/build/230952892 at 2023-08-16 - - fuzzyfind - - fuzzyset # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230967540 at 2023-08-16 - - fuzzy-timings - - fwgl - - fxpak - - g4ip - - galois-field - - gameclock - - game-probability - - gamgee - - Gamgine - - gamma - - Ganymede - - garepinoh - - gargoyle # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230955806 at 2023-08-16 - - gargoyle-postgresql-nix - - gas - - gather - - gc-monitoring-wai - - gconf - - gdiff-th - - gegl - - gelatin - - gemcap - - gemini-server - - gemstone - - gencheck - - gender - - genders - - general-allocate - - general-prelude - - GeneralTicTacToe - - generator - - generators - - generic-aeson # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230955043 at 2023-08-16 - - generic-binary - - generic-church - - generic-enum - - generic-enumeration - - generic-labels - - generic-lens-labels - - generic-lucid-scaffold - - generic-match - - generic-maybe - - generic-override - - generic-persistence # failure in job https://hydra.nixos.org/build/215303659 at 2023-04-11 - - generic-pretty - - generic-pretty-instances - - generic-records - - genericserialize - - generic-server - - generics-mrsop - - generic-storable - - generic-tree - - generic-xml - - generic-xmlpickler - - genetics - - genifunctors - - gen-imports - - geniplate - - geniplate-mirror - - gen-passwd - - genprog - - gentlemark - - geocode-google - - GeocoderOpenCage - - geodetic-types - - GeoIp - - geojson-types - - geom2d - - GeomPredicates-SSE - - geo-resolver - - geos - - gerrit # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230950005 at 2023-08-16 - - Get - - getflag - - gev-lib # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230946300 at 2023-08-16 - - GGg - - ggtsTC - - ghc-api-compat - - ghc-bignum-orphans # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230952175 at 2023-08-16 - - ghc-clippy-plugin - - ghc-core-smallstep - - ghc-corroborate # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230966063 at 2023-08-16 - - ghc-datasize - - ghc-definitions-th # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230968119 at 2023-08-16 - - ghc-dump-core # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230962483 at 2023-08-16 - - ghc-dump-tree - - ghc-dup - - ghc-events-analyze - - ghc-events-parallel - - ghcflags - - ghc-gc-hook # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230962629 at 2023-08-16 - - ghc-generic-instances - - ghc-hotswap - - ghci-diagrams - - ghci-haskeline - - ghci-history-parser - - ghci-lib - - ghci-ng - - ghcjs-base-stub - - ghcjs-dom-jsffi - - ghcjs-promise - - ghcjs-xhr - - ghc-justdoit - - ghclive - - ghc-man-completion - - ghc-mtl - - ghc-parmake - - ghc-pkg-autofix - - ghc-pkg-lib - - ghc-plugin-non-empty - - ghc-plugs-out - - ghc-proofs - - ghc-simple - - ghc-source-gen - - ghc-srcspan-plugin - - ghc-syb - - ghc-syb-utils - - ghc-tags-core - - ghc-time-alloc-prof - - ghc-usage - - gh-labeler - - gi-adwaita - - giak - - gi-cogl - - Gifcurry - - gi-gio-hs-list-model - - gi-graphene - - gi-gsttag - - gi-gtk-declarative - - gi-gtk-layer-shell - - gi-gtksheet - - gi-gtksource # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230968384 at 2023-08-16 - - gi-handy - - gi-ibus # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230971732 at 2023-08-16 - - gingersnap - - ginsu - - gipeda - - giphy-api - - gist - - GiST - - git - - git-all - - git-brunch # failure building executable 'git-brunch' in job https://hydra.nixos.org/build/230966224 at 2023-08-16 - - git-checklist - - git-cuk - - git-date - - github-backup - - github-data - - github-post-receive - - github-tools - - github-utils - - github-webhook-handler - - githud - - gitHUD # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230965338 at 2023-08-16 - - gitignore - - git-jump - - gitlab-api - - gitlab-haskell - - gitlib-cmdline - - gitlib-utils - - git-repair - - gitter - - git-vogue - - gi-webkitwebprocessextension # failure in compileBuildDriverPhase in job https://hydra.nixos.org/build/215220491 at 2023-04-07 - - glade - - glapp - - glaze - - Gleam - - GLFW - - GLFW-b-demo - - gli - - glicko - - glider-nlp - - GLMatrix - - global-variables - - glob-posix - - GlomeTrace - - gloss-banana - - gloss-export - - gloss-game - - glsl # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230960137 at 2023-08-16 - - gltf-codec - - glue - - g-npm - - goa - - goal-core - - goatee - - gochan - - godot-haskell - - godot-megaparsec # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230948877 at 2023-08-16 - - gofer-prelude - - gogol-core - - gooey - - google-cloud - - GoogleCodeJam - - google-html5-slide - - google-oauth2 - - google-oauth2-easy - - google-oauth2-jwt - - googlepolyline - - google-search - - google-server-api - - google-static-maps - - google-translate - - gopherbot - - gopro-plus - - gore-and-ash - - gothic - - GotoT-transformers - - gotta-go-fast - - gotyno-hs # failure building library in job https://hydra.nixos.org/build/230953887 at 2023-08-16 - - gpah - - GPipe - - GPipe-Core - - gpmf - - gpx-conduit - - graceful - - grafana - - Grafos - - grakn - - grammatical-parsers - - Graph500 - - Graphalyze - - graphbuilder - - graphene - - graphics-drawingcombinators - - graphics-formats-collada - - graph-matchings - - graphmod-plugin - - graphql-api - - graphql-spice - - graphql-utils - - graphql-w-persistent - - graph-rewriting - - graph-serialize - - graphted - - graphula-core - - graph-utils - - graql - - grasp - - gray-code - - graylog - - greencard - - greg-client - - gremlin-haskell - - Grempa - - greplicate - - greskell-core # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230954493 at 2023-08-16 - - gridfs - - grid-proto # failure building library in job https://hydra.nixos.org/build/219248049 at 2023-05-10 - - grids - - grm - - GroteTrap - - groundhog - - grouped-list # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230962501 at 2023-08-16 - - groups-generic - - group-theory - - group-with - - growler - - grow-vector - - grpc-api-etcd - - gsl-random - - gstreamer - - GTALib - - gtk2hs-cast-th - - gtk2hs-hello - - gtk2hs-rpn - - gtk3-helpers - - gtkglext - - gtk-helpers - - gtksourceview2 - - gtksourceview3 - - gtk-toy - - guardian # transitive dependency uses doctest, but doctest doesn't build with newer Cabal version - - guess-combinator - - gulcii - - gw - - gyah-bin - - gym-http-api - - h2048 - - h2c - - haar - - HABQT - - hack2-contrib - - hack2-handler-warp - - hackage2hwn - - hackage-api - - hackage-diff - - hackage-mirror - - hackage-processing - - hackage-proxy - - hackager - - hackage-server - - hackage-whatsnew - - hackernews - - hack-frontend-happstack - - hack-handler-cgi - - HackMail - - hackport - - hactor - - hactors - - haddock-api - - haddock-leksah - - haddock-test - - hadoop-formats - - hadoop-rpc - - hadoop-streaming - - hafar - - haggle # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230967144 at 2023-08-16 - - Haggressive - - HaGL # test failure in job https://hydra.nixos.org/build/225563740 at 2023-06-28 - - hahp - - haiji - - hail - - hailgun - - hairy - - hakaru - - hakismet - - hakka - - hako - - hakyll-agda - - hakyll-blaze-templates - - hakyll-contrib - - hakyll-contrib-csv - - hakyll-contrib-elm - - hakyll-contrib-i18n - - hakyll-contrib-links - - hakyll-convert # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230951676 at 2023-08-16 - - hakyll-dhall - - hakyll-dir-list - - hakyll-R - - hakyll-series - - hakyll-shortcode - - hakyll-typescript - - HaLeX - - hal # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230966637 at 2023-08-16 - - halfs - - half-space - - halide-haskell # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230951821 at 2023-08-16 - - halipeto - - halive - - halma - - halves - - ham - - HaMinitel - - hampp - - hamsql - - hamtmap - - hanabi-dealer - - handa-gdata - - handle-like - - HandlerSocketClient - - handsy - - Hangman - - HangmanAscii - - hannahci - - hans - - hanspell - - haphviz - - hapistrano - - happindicator - - happindicator3 - - happlets - - happraise - - happstack - - happstack-clientsession # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230955472 at 2023-08-16 - - happstack-hamlet - - happstack-heist - - happstack-hstringtemplate - - happstack-lite # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230969664 at 2023-08-16 - - happstack-monad-peel - - happstack-server-tls-cryptonite - - happstack-util - - happstack-yui - - HAppS-Util - - happybara - - happybara-webkit-server - - happy-hour - - HappyTree - - hapstone - - HaPy - - haquery - - harchive - - hardware-edsl - - harfbuzz-pure - - HARM - - HarmTrace-Base - - haroonga - - harpy - - harvest-api - - has - - hasbolt - - HasCacBDD - - hascar - - hascard - - hascas - - hash - - hashable-extras - - hashable-generics - - hashabler - - hashed-storage - - hashring - - hashtables-plus - - hasim - - hask - - haskades - - haskanoid - - haskarrow - - haskbot-core - - haskeline-class - - haskelisp - - haskell2010 - - haskell2020 - - haskell98 - - haskell98libraries - - haskell-abci - - haskell-admin-core - - HaskellAnalysisProgram - - haskell-awk - - haskell-bcrypt - - haskell-bitmex-rest - - haskell-brainfuck - - haskell-cnc - - haskell-compression - - haskell-conll - - haskell-course-preludes - - haskelldb - - haskelldb-wx - - haskell-disque - - HaskellForMaths - - haskell-formatter - - haskell-generate - - haskell-go-checkers - - haskell-holes-th - - haskell-igraph - - haskell-import-graph - - haskell-in-space - - haskell-kubernetes - - HaskellLM - - haskell-lsp-types - - haskell-ml - - haskell-mpfr - - haskell-names - - haskell-neo4j-client - - HaskellNN - - Haskelloids - - haskell-openflow - - haskell-overridez - - haskell-packages - - haskell-player - - haskell-plot - - haskell-postal - - haskell-postgis - - haskell-read-editor - - haskell-rules - - haskellscrabble - - haskellscript - - haskell-snake - - haskell-spacegoo - - haskell-src-exts-prisms - - haskell-src-exts-qq - - haskell-src-exts-sc - - haskell-src-match - - haskell-src-meta-mwotton - - haskell-stack-trace-plugin - - haskell-to-elm - - HaskellTorrent - - HaskellTutorials - - haskell-type-exts - - haskell-typescript - - haskell-tyrant - - haskelzinc - - haskeme - - haskey - - haskey-btree # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230947127 at 2023-08-16 - - haskheap - - haskhol-core - - haskintex # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230947126 at 2023-08-16 - - haskmon - - haskoin - - haskoin-util - - haskoin-wallet - - haskore - - haskore-vintage - - HaskRel - - hasktorch-codegen - - hasktorch-ffi-th - - hasktorch-signatures-partial - - hasktorch-signatures-support - - haskus-utils-variant - - haskyapi - - hasmin - - haspara - - hasparql-client - - hasql-backend - - hasql-class - - hasql-cursor-transaction - - hasql-explain-tests - - hasql-generic - - hasql-interpolate - - hasql-resource-pool - - hasql-simple - - hasql-streams-core - - hasql-transaction-io # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230949199 at 2023-08-16 - - hasql-url - - hastache - - haste - - haste-prim - - hasura-ekg-core # failure building library in job https://hydra.nixos.org/build/230950264 at 2023-08-16 - - hat - - hatex-guide - - hats - - hatt - - haveibeenpwned - - haven - - haverer - - hax - - haxl - - haxparse - - haxr-th - - hayland - - hayoo-cli - - hb3sum - - hBDD-CMUBDD - - hBDD-CUDD - - hbeanstalk - - hbeat - - hblas - - hblock - - h-booru - - hburg - - hcad - - HCard - - hcc - - hcg-minus - - hchesslib - - hcltest - - hcoap - - hcobs - - hcom - - hcron - - hCsound - - hdaemonize-buildfix - - hdbc-aeson - - HDBC-mysql - - hdbc-postgresql-hstore - - HDBC-postgresql-hstore - - hdevtools - - hdf5 - - hDFA - - hdis86 - - hdiscount - - hdm - - hdo - - hdph-closure - - hdr-histogram - - HDRUtils - - headergen - - headroom - - heap-console - - heapsort - - heartbeat-streams # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230948671 at 2023-08-16 - - heart-core - - heatitup-complete # failure building executable 'heatitup-complete' in job https://hydra.nixos.org/build/230969611 at 2023-08-16 - - hebrew-time - - heckle - - heddit - - hedgehog-checkers - - hedgehog-gen - - hedgehog-generic - - hedgehog-golden - - hedgehog-lens # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230947380 at 2023-08-16 - - hedgehog-servant - - hedis-config - - hedis-namespace - - hedis-simple - - hedis-tags - - hedra - - heidi - - hein - - heist-aeson - - heist-async - - heist-emanote - - heist-extra # failure building library in job https://hydra.nixos.org/build/230953957 at 2023-08-16 - - helisp - - helix - - helm - - help-esb - - hemkay - - HERA - - herbalizer - - HerbiePlugin - - heredocs - - her-lexer-parsec - - Hermes - - herms - - heroku-persistent # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230959576 at 2023-08-16 - - hetero-dict - - heterogeneous-list-literals - - hetris - - heukarya - - HExcel - - hexchat - - hexif - - hexmino - - hexml-lens - - hexpat-lens - - hexpat-pickle-generic - - hexpat-streamparser - - hexpr - - hexpress - - hexquote - - hexstring - - hext - - hextra - - heyefi - - heyting-algebras - - hF2 - - hfann - - HFitUI - - hfmt - - hfoil # failure building library in job https://hydra.nixos.org/build/213500290 at 2023-03-26 - - hfov - - HFrequencyQueue - - hfusion - - HGamer3D - - HGamer3D-Data - - hg-buildpackage - - hgdbmi - - HGE2D - - hgearman - - hGelf - - hgeometric - - hgeometry-ipe - - hgis - - hgom - - hgopher - - h-gpgme - - HGraphStorage - - hgreet # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230970023 at 2023-08-16 - - hgrep - - hgrev - - hgrib - - hharp - - HHDL - - hhp - - hhwloc - - hi - - hi3status - - hichi - - hid - - hidden-char - - hid-examples - - hieraclus - - hierarchical-clustering # failure building library in job https://hydra.nixos.org/build/230953344 at 2023-08-16 - - hierarchical-exceptions - - hierarchy - - hiernotify - - hifi # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230961852 at 2023-08-16 - - higgledy - - higher-leveldb - - higherorder - - highjson - - highlight-versions - - highWaterMark - - hills - - himg - - hindley-milner - - hindley-milner-type-check - - hinquire - - hinstaller - - hinter - - hinterface - - hint-server - - hipchat-hs - - hipe - - Hipmunk - - hipsql-api - - hircules - - Hish - - hissmetrics - - historian - - hist-pl-types - - hit-on - - HJavaScript - - hjcase - - hjs - - hjsonpointer - - hjson-query - - hjugement-protocol - - HJVM - - hkd-delta - - hkd-lens - - hkd-records - - hkt - - hlbfgsb - - hleap - - hledger-chart - - hledger-diff - - hledger-flow # failure building library in job https://hydra.nixos.org/build/230963320 at 2023-08-16 - - hledger-iadd - - hledger-irr - - hledger-makeitso # failure building library in job https://hydra.nixos.org/build/230946385 at 2023-08-16 - - hledger-vty - - hlibBladeRF - - hlibev - - hlibfam - - HList # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230950021 at 2023-08-16 - - hlivy - - hlogger - - HLogger - - hlongurl - - hls-brittany-plugin - - hls-haddock-comments-plugin - - hls-selection-range-plugin - - hls-stan-plugin - - hls-tactics-plugin - - hlwm - - hly - - hmarkup - - hmatrix-banded - - hmatrix-mmap - - hmatrix-nipals - - hmatrix-sparse - - hmatrix-static - - hmatrix-svdlibc - - hmatrix-syntax - - hmatrix-tests - - hmenu - - hmk - - hmm - - HMM - - hmm-hmatrix - - HMock # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230971948 at 2023-08-16 - - hMollom - - hmp3 - - Hmpf - - hmumps - - hnetcdf - - hnn - - hnock # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230960174 at 2023-08-16 - - hnop - - hoauth - - hoauth2-providers - - hoauth2-tutorial - - hobbes - - hobbits - - hocilib - - hocker - - hocon - - hodatime - - HODE - - hoe - - hofix-mtl - - hog - - hogg - - hoggl - - hois - - hold-em - - hole - - holmes - - homeomorphic - - homoiconic - - homplexity - - honi - - hoobuddy - - hood2 - - hoodle-types - - hood-off - - hoogle-index - - hooks-dir - - hoop - - hoopl - - hopencc - - hopencl - - HOpenCV - - hopenpgp-tools - - hopfield - - hoppy-generator - - hops - - hoq - - horizon - - horizon-spec # dependency missing in job https://hydra.nixos.org/build/213500294 at 2023-03-26 - - horname - - hosc-json - - hosts-server - - hothasktags - - hourglass-fuzzy-parsing - - houseman - - hp2any-core - - hpack-convert - - hpack-dhall - - hpapi - - hpasteit - - HPath - - hpath-directory # failure building library in job https://hydra.nixos.org/build/214497909 at 2023-04-07 - - hpc-coveralls - - hpg - - HPi - - hpio - - hplaylist - - hpodder - - hpqtypes - - hprox # dependency missing in job https://hydra.nixos.org/build/221844808 at 2023-05-30 - - hps-kmeans - - hPushover - - hpygments - - hpylos - - hpyrg - - hquantlib-time - - hquery - - hR - - hreq-core - - hRESP - - h-reversi - - hricket - - Hricket - - HROOT-core - - hruby - - hs2bf - - hs2ps - - hsay - - hsbc - - hsbencher - - hs-brotli - - hsc3 - - hsc3-rw - - hsc3-sf - - hscaffold - - hscamwire - - hs-carbon-examples - - hscd - - hscdio - - hschema-aeson - - hscim - - hsclock - - hScraper - - hscuid - - hs-di - - hsdif - - hsdip - - hsdns-cache - - hs-dotnet - - hs-duktape - - hsebaysdk - - hsenv - - HSet - - hs-excelx - - hsfcsh - - HSFFIG - - hsfilt - - hs-fltk - - hsforce - - hs-gizapp - - hsgnutls - - hsgnutls-yj - - hsgsom - - HsHaruPDF - - HsHyperEstraier - - hsI2C - - hSimpleDB - - hsimport - - hsini - - hsinspect - - hs-java - - hs-json-rpc - - HsJudy - - hskeleton - - hslackbuilder - - hslibsvm - - hslinks - - hslogger-reader - - hslogger-template - - hs-logo - - hslua-examples - - hsluv-haskell - - hsmagick - - hsmodetweaks - - Hsmtlib - - hsmtpclient - - hsnock - - hs-nombre-generator - - hsns - - hsnsq - - hsntp - - hs-openmoji-data - - hsoptions - - hsoz - - hsparql - - hs-pattrans - - hsp-cgi - - hspear - - hspec2 - - hspec-api # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230957655 at 2023-08-16 - - hspec-expectations-match - - hspec-experimental - - hspec-jenkins - - hspec-junit-formatter - - hspec-monad-control - - hspec-need-env # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230962402 at 2023-08-16 - - hspec-slow - - hspec-snap - - hspec-structured-formatter - - hspec-tables - - hspec-webdriver - - HsPerl5 - - hs-pgms - - hspkcs11 - - hs-pkg-config - - hspread - - hspresent - - hspretty - - hsql - - hs-re - - hsrelp - - hs-rqlite - - hs-rs-notify - - hs-scrape - - hsseccomp - - hsshellscript # failure building library in job https://hydra.nixos.org/build/230964557 at 2023-08-16 - - hs-snowtify - - hsSqlite3 - - hssqlppp - - HsSVN - - hs-tags - - hstats - - hstatsd - - hs-term-emulator - - hstest - - hstidy - - HsTools - - hs-twitter - - hs-twitterarchiver - - hstyle - - hsudoku - - hs-vcard - - hs-watchman - - hsx - - hsXenCtrl - - hsyscall - - hsyslog-udp - - hszephyr - - hTalos - - htdp-image - - hTensor - - htestu - - HTicTacToe - - htiled - - htlset - - html-parse # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230962751 at 2023-08-16 - - html-rules - - html-tokenizer - - htoml - - htoml-megaparsec - - hts - - htsn - - htssets - - http2-client-exe - - http2-client # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230949635 at 2023-08-16 - - http2-grpc-types - - http3 # dependency missing in job https://hydra.nixos.org/build/214603147 at 2023-04-07 - - http-attoparsec - - http-client-lens - - http-client-request-modifiers - - http-client-session - - http-client-streams - - http-conduit-browser - - http-conduit-downloader - - http-directory - - http-dispatch - - http-encodings - - http-grammar - - http-kinder - - http-kit - - http-listen - - http-monad - - http-pony - - http-pony-serve-wai - - http-proxy - - http-querystring - - http-response-decoder - - http-rfc7807 - - http-server - - http-shed - - httpstan # dependency missing in job https://hydra.nixos.org/build/221916023 at 2023-05-31 - - http-wget - - htune - - htvm - - htzaar - - huck - - HueAPI - - huff - - huffman - - HulkImport - - hum - - human-parse - - human-text - - humble-prelude - - hums - - hunch - - HUnit-Diff - - HUnit-Plus - - hunit-rematch - - hup - - hurl - - hurriyet - - husky - - hutton - - huttons-razor - - hVOIDP - - hwall-auth-iitk - - hw-ci-assist - - hw-dsv # failure building library in job https://hydra.nixos.org/build/230955653 at 2023-08-16 - - hw-dump - - hweblib - - hwhile - - hw-json-demo - - hw-json-lens - - hw-json-simd - - hworker - - hw-playground-linear - - hw-prim-bits - - hw-simd-cli - - hwsl2 - - hw-streams # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230953855 at 2023-08-16 - - hw-tar - - hw-xml # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230966951 at 2023-08-16 - - hx - - hxmppc - - HXQ - - hxt-pickle-utils - - hyakko - - hydra # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230947287 at 2023-08-16 - - hydra-hs - - hydrogen - - hydrogen-multimap - - hylide - - hylolib - - hyper - - hyperdrive - - hyperfunctions - - hyperion - - hyperloglogplus - - hyperscript - - hypertypes - - hypher - - hyraxAbif - - hzulip - - i18n - - I1M - - i3blocks-hs-contrib - - i3ipc - - iap-verifier - - iban - - ib-api - - ical - - icepeak - - icfpc2020-galaxy - - IcoGrid - - iconv-typed - - ideas - - ide-backend-common - - idempotent - - identifiers - - idiii - - idna2008 - - IDynamic - - ieee-utils - - iexcloud - - ifcxt - - if-instance - - IFS - - ig - - ige - - ignore - - igraph - - ihaskell-charts - - ihaskell-diagrams - - ihaskell-gnuplot - - ihaskell-graphviz # failure building library in job https://hydra.nixos.org/build/230959018 at 2023-08-16 - - ihaskell-parsec - - ihaskell-plot - - ihaskell-widgets - - ihp-hsx # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230971837 at 2023-08-16 - - ilist # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230948898 at 2023-08-16 - - illuminate - - imagemagick - - imagepaste - - image-type - - imapget - - imgur - - imj-prelude - - imm - - immortal-worker - - imperative-edsl - - imperative-edsl-vhdl - - ImperativeHaskell - - impl - - implicit-logging - - implicit-params - - imports - - impossible - - imprint - - impure-containers - - inchworm - - indentation-core - - index-core - - indexed-containers - - indexed-do-notation - - indextype - - indices - - infernal - - inferno-types - - infernu # failure building library in job https://hydra.nixos.org/build/230972899 at 2023-08-16 - - infer-upstream - - inf-interval - - infix - - inflections # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230960295 at 2023-08-16 - - inflist - - informative - - inilist - - ini-qq - - initialize - - inj-base - - inject-function - - injections - - inline-c-objc # failure building test suite 'tests' in job https://hydra.nixos.org/build/221844966 at 2023-05-30 - - inline-r # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/231676486 at 2023-08-16 - - in-other-words-plugin - - inserts - - instana-haskell-trace-sdk - - instance-map - - instant-generics - - instapaper-sender - - instinct - - intcode # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230963480 at 2023-08-16 - - integer-pure - - integer-simple - - intensional-datatys - - interact - - interactive-plot - - interleavableGen - - interleavableIO - - interlude-l - - internetmarke - - intero - - interp - - interpol - - interpolatedstring-qq2 - - interpolator - - interruptible - - interval - - interval-algebra - - interval-patterns - - interval-tree-clock - - IntFormats - - int-interval-map - - int-like # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230957175 at 2023-08-16 - - int-multimap - - intrinsic-superclasses - - intro - - introduction - - inventory - - invertible - - invertible-syntax - - involutive-semigroups - - io-capture - - io-choice - - ioctl - - IOR - - io-reactive - - iostring - - iothread - - iotransaction - - ip2location - - ip2proxy - - ipa - - ipfs - - ipopt-hs - - ip-quoter - - iptables-helpers - - IPv6DB - - Irc - - ircbot - - irc-core # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230962123 at 2023-08-16 - - irc-dcc - - irc-fun-types - - ireal - - iri - - iridium - - iris - - iron-mq - - irt - - isdicom - - IsNull - - iso8601-duration - - isobmff - - isotope - - itcli - - itemfield - - iteratee - - iterative-forward-search - - iterIO - - iterm-show - - it-has - - ivory - - ixdopp - - ixmonad - - ixset-typed # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230967299 at 2023-08-16 - - ixshader - - j - - jack-bindings - - jackminimix - - JackMiniMix - - jacobi-roots - - jaeger-flamegraph - - jail - - jalla - - jammittools - - jarfind - - jarify - - jaskell # test failure in job https://hydra.nixos.org/build/230959845 at 2023-08-16 - - jason - - java-adt - - javascript-bridge - - javav - - Javav - - jbi - - jcdecaux-vls - - Jdh - - jdi - - jenga - - jenkinsPlugins2nix - - jet-stream - - Jikka - - jinquantities - - jmacro-rpc - - jml-web-service - - jni - - jobqueue - - join-api - - joinlist - - jonathanscard - - jordan # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230965587 at 2023-08-16 - - jort - - joy-rewrite - - jpeg - - jsaddle-clib - - jsaddle-warp - - jsaddle-wkwebview - - js-good-parts - - json2 - - json-alt - - json-api - - json-api-lib - - json-assertions - - json-ast-quickcheck - - JSONb - - json-builder - - json-bytes-builder - - json-encoder - - jsonextfilter - - json-extra - - json-fu - - json-litobj - - jsonlogic-aeson - - jsonnet - - json-pointer - - json-pointy - - json-python - - json-qq - - jsonresume - - json-rpc-generic - - json-rpc-server - - json-rpc # test failure in job https://hydra.nixos.org/build/214602304 at 2023-04-07 - - jsonrpc-tinyclient - - json-schema - - jsonschema-gen - - jsonsql - - json-syntax - - json-tools - - json-tracer - - jsontsv - - jsonxlsx - - jsop - - judge - - judy - - juicy-gcode - - JuicyPixels-blp - - JuicyPixels-blurhash - - JuicyPixels-canvas - - JuicyPixels-util - - jukebox # failure building library in job https://hydra.nixos.org/build/230961139 at 2023-08-16 - - JunkDB - - jupyter - - justified-containers - - JustParse - - jvm-binary - - jvm-parser - - JYU-Utils - - k8s-wrapper # test failure in job https://hydra.nixos.org/build/211254982 at 2023-03-13 - - kademlia - - kafka-client # dependency missing in job https://hydra.nixos.org/build/211238496 at 2023-03-13 - - kafka-client-sync - - kalman - - Kalman - - kangaroo - - kanji # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230967903 at 2023-08-16 - - karabiner-config - - karps - - katip-datadog - - katip-elasticsearch - - katip-kafka - - katip-logzio - - katip-raven - - katip-scalyr-scribe - - katip-syslog - - katt - - katydid - - kawaii - - Kawaii-Parser - - kawhi - - kdesrc-build-extra - - kd-tree - - keccak - - keenser - - keera-hails-reactivevalues - - keid-render-basic # failure in job https://hydra.nixos.org/build/225578420 at 2023-06-28 - - keid-ui-dearimgui - - keiretsu - - kempe - - kesha - - Ketchup - - kewar - - keycloak-hs - - keyed - - keyed-vals - - khph - - kickass-torrents-dump-parser - - kickchan - - kind-generics-deriving - - kind-integer # failure building library in job https://hydra.nixos.org/build/214501345 at 2023-04-07 - - kleene-list - - kmn-programming - - kmonad - - kmp-dfa - - knots - - koellner-phonetic - - koneko - - Konf - - konnakol - - kontra-config - - koofr-client - - korea-holidays - - kraken - - krapsh - - Kriens - - kriti-lang - - krpc - - KSP - - ktx - - kubernetes-client-core - - kubernetes-webhook-haskell - - kudzu # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230947047 at 2023-08-16 - - kuifje - - kure - - KyotoCabinet - - l10n # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230958884 at 2023-08-16 - - labeled-graph - - lagrangian - - lambda2js - - lambdaBase - - lambdabot-utils - - lambdabot-xmpp - - lambda-bridge - - lambda-calculator - - lambda-canvas - - lambdacms-core - - lambda-cube - - lambdacube-core - - lambdacube-engine - - lambdacube-ir - - LambdaDesigner - - Lambdajudge - - LambdaNet - - lambda-sampler - - lambdatex - - lambda-toolbox - - lambdatwit - - Lambdaya - - lame - - lame-tester - - language-asn - - language-c-comments - - language-c-inline - - language-conf - - language-csharp - - language-dart - - language-dockerfile - - language-elm - - language-fortran - - language-gcl - - language-gemini - - language-go - - language-guess - - language-hcl - - language-java-classfile - - language-js - - language-lua2 - - language-lua-qq - - language-mixal - - language-objc - - language-ocaml - - language-openscad - - language-pig - - language-rust - - language-sally # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230953286 at 2023-08-16 - - language-sh - - language-sqlite - - language-sygus - - language-typescript - - language-webidl - - laop - - LargeCardinalHierarchy - - large-generics # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230948096 at 2023-08-16 - - Lastik - - latest-npm-version - - latex-formulae-image - - latex-svg-image - - LATS - - launchdarkly-server-sdk - - launchpad-control - - lawful-classes-hedgehog - - lawless-concurrent-machines - - layers - - layout - - layout-bootstrap - - layout-rules - - lazify - - lazyarray - - lazyboy - - lazy-priority-queue - - lazyset - - LazyVault - - l-bfgs-b - - LC3 - - lcs - - ldif - - ld-intervals - - leaf - - leapseconds - - learn - - Learning - - learn-physics-examples # dependency missing in job https://hydra.nixos.org/build/213500293 at 2023-03-26 - - leetify - - lendingclub - - lens-datetime - - lenses - - lens-filesystem - - lens-labels - - lens-prelude - - lens-process - - lensref - - lens-simple - - lens-tell - - lens-text-encoding - - lens-th-rewrite - - lens-time - - lens-toml-parser # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230971016 at 2023-08-16 - - lens-tutorial - - lens-typelevel - - lens-xml - - lenz-template - - less-arbitrary - - Level0 - - level-monad - - levmar - - lfst - - lhc - - lhs2TeX-hl - - lhslatex - - libarchive # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230954627 at 2023-08-16 - - LibClang - - libexpect - - libGenI - - libhbb - - libinfluxdb - - libjenkins - - libjwt-typed # failure building library in job https://hydra.nixos.org/build/230959244 at 2023-08-16 - - libltdl - - libmdbx # failure in job https://hydra.nixos.org/build/230971264 at 2023-08-16 - - liboath-hs - - liboleg - - libpafe - - libphonenumber # dependency missing in job https://hydra.nixos.org/build/214497968 at 2023-04-07 - - libpq - - librandomorg - - libsecp256k1 - - libssh2 # failure in compileBuildDriverPhase in job https://hydra.nixos.org/build/223222399 at 2023-06-07 - - libsystemd-daemon - - libtagc - - libtelnet # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230948008 at 2023-08-16 - - libxls - - libxlsxwriter-hs - - libxslt - - libyaml-streamly # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230965706 at 2023-08-16 - - libzfs - - licensor - - lie - - life-sync - - lifetimes - - lifted-protolude - - lifter - - ligature - - light - - lilypond - - Limit - - limp-cbc - - linda - - linden - - linear-algebra-cblas - - linear-maps - - linear-opengl - - linearscan - - linear-socket - - linear-vect - - line-bot-sdk - - line-drawing - - line-indexed-cursor # test failure in job https://hydra.nixos.org/build/230971466 at 2023-08-16 - - linenoise # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230954880 at 2023-08-16 - - lines-of-action - - lingo - - linguistic-ordinals # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230962191 at 2023-08-16 - - linkedhashmap - - linked-list-with-iterator - - linklater - - linnet - - linode - - linode-v4 - - linux-blkid - - linux-cgroup - - linux-evdev - - linux-kmod - - linux-perf - - linux-xattr - - linx-gateway - - lipsum-gen - - liquid - - liquid-fixpoint # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230948295 at 2023-08-16 - - liquidhaskell-cabal - - Liquorice - - list-fusion-probe - - listlike-instances - - list-mux - - list-prompt - - list-t-http-client - - list-t-libcurl - - list-tries - - list-t-text - - list-zip-def - - list-zipper - - liszt - - lit - - literals - - LiterateMarkdown - - little-earley # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230949904 at 2023-08-16 - - ll-picosat - - llsd - - llvm-base - - llvm-extra # dependency missing in job https://hydra.nixos.org/build/217586045 at 2023-04-29 - - llvm-general-pure - - llvm-hs - - llvm-ht - - llvm-party # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230967625 at 2023-08-16 - - llvm-pretty - - llvm-tf # failure building library in job https://hydra.nixos.org/build/230970343 at 2023-08-16 - - lmdb-high-level # failure building library in job https://hydra.nixos.org/build/230954528 at 2023-08-16 - - lmdb-simple - - lmonad - - lnurl - - load-balancing - - load-font - - local-address - - located - - located-monad-logger - - loch - - loc-test # failure in haddockPhase in job https://hydra.nixos.org/build/230967699 at 2023-08-16 - - log2json - - log-base - - log-effect - - logentries - - logger - - logging-effect-extra-file - - logging-effect-extra-handler - - Logic - - logicst - - logict-sequence - - logplex-parse - - log-warper - - lojbanParser - - lojbanXiragan - - lol-calculus - - longboi - - long-double # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230964106 at 2023-08-16 - - looksee # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230959202 at 2023-08-16 - - lookup-tables - - loopbreaker - - loop-dsl - - looper # failure building test suite 'looper-test' in job https://hydra.nixos.org/build/225553593 at 2023-06-28 - - loops - - loop-while - - loopy - - lord - - lorem - - loris - - loshadka - - louis - - lowgl - - lp-diagrams-svg - - LRU - - lrucaching - - lscabal - - L-seed - - lsfrom - - ltiv1p1 - - ltk - - LTS - - lua-bc - - luautils - - lucid2-htmx - - lucid-alpine # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230948075 at 2023-08-16 - - lucid-aria - - lucid-hyperscript - - luhn # failure in compileBuildDriverPhase in job https://hydra.nixos.org/build/230960533 at 2023-08-16 - - luis-client - - luka - - luminance - - lushtags - - luthor - - lvmlib - - lvmrun - - lxd-client - - lxd-client-config # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230967232 at 2023-08-16 - - lye - - lz4-bytes # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230958626 at 2023-08-16 - - lz4-frame-conduit - - lzip - - lzlib - - lzma-streams - - lzo - - maam - - mac - - macaroon-shop - - machinecell - - machines-attoparsec - - machines-binary - - machines-bytestring - - machines-encoding - - machines-io - - machines-zlib - - macho - - maclight - - macos-corelibs - - macrm - - madlang - - mage - - magic-tyfams - - magma - - mailchimp - - mailchimp-subscribe - - makedo - - makefile - - make-hard-links - - make-monofoldable-foldable - - mallard - - mandulia - - mangopay - - mapalgebra - - map-exts - - Mapping - - mappy - - map-reduce-folds - - MapWith - - markdown-kate - - marked-pretty - - markov-realization - - mars - - marshal-contt # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230964051 at 2023-08-16 - - marvin-interpolate - - MASMGen - - massiv-persist - - massiv-scheduler - - massiv-serialise - - master-plan - - matcher # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230947893 at 2023-08-16 - - mathflow - - math-grads - - math-interpolate - - math-metric - - math-programming # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230964799 at 2023-08-16 - - matrix-as-xyz - - matrix-lens - - matrix-market - - matrix-sized - - matroid - - maude - - maxent-learner-hw - - maybench - - MaybeT - - MaybeT-monads-tf - - MaybeT-transformers - - MazesOfMonad - - MBot - - mbox-tools - - mbtiles # failure building library in job https://hydra.nixos.org/build/230947737 at 2023-08-16 - - mbug - - mcl - - mcm - - mcmaster-gloss-examples - - mcmc-synthesis - - mcpi - - md5 # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230966189 at 2023-08-16 - - mdapi - - mdcat - - mdp - - mealstrom - - mealy # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230952277 at 2023-08-16 - - MeanShift - - Measure - - mecab - - mech - - Mecha - - mechs - - Mechs - - mediabus - - mediawiki - - medium-sdk-haskell - - meep - - megalisp - - megastore # failure in job https://hydra.nixos.org/build/211239200 at 2023-03-13 - - melf - - mellon-core - - melody - - membrain - - memcached - - memcached-binary - - memcache-haskell - - meminfo - - memoization-utils - - memo-ptr - - memorable-bits - - memorypool - - memo-sqlite - - menoh - - menshen - - mercury-api - - mergeful-persistent - - mergeless-persistent - - messagepack-rpc - - messente - - metadata - - MetaHDBC - - metaheuristics - - meta-misc - - meta-par - - method - - metric - - metricsd-client - - mezzo - - mezzolens - - mgeneric - - MHask - - mi - - miconix-test - - microbase - - microformats2-parser - - microgroove - - microlens-each - - microlens-process # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230957561 at 2023-08-16 - - micrologger - - micro-recursion-schemes - - microsoft-translator - - mida - - midi-simple - - midisurface # dependency missing in job https://hydra.nixos.org/build/217805409 at 2023-04-29 - - midi-utils - - mighttpd2 # failure in job https://hydra.nixos.org/build/225576224 at 2023-06-28 - - mighty-metropolis # test failure in job https://hydra.nixos.org/build/214599789 at 2023-04-07 - - migrant-postgresql-simple - - mikmod - - mikrokosmos - - miku - - milena - - mime-directory - - MiniAgda - - miniforth - - minilens - - minilight - - minions - - miniplex - - ministg - - minizinc-process - - minst-idx - - mios - - MIP - - mirror-tweet - - mismi-p # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230949261 at 2023-08-16 - - mismi-s3-core - - miso-action-logger - - miso-examples - - mit-3qvpPyAi6mH - - mix-arrows - - mixpanel-client - - mkcabal - - mltool - - ml-w - - mm2 - - mmsyn2 - - mmsyn4 - - mmsyn7l - - mmsyn7ukr-array - - mmsyn7ukr-common - - mmtf - - mmtl - - mmzk-typeid # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230951832 at 2023-08-16 - - Mobile-Legends-Hack-Cheats - - mockazo - - mock-httpd - - modbus-tcp - - model - - modelicaparser - - modular-prelude - - module-management - - modulespection - - moe - - Moe - - MoeDict - - moesocks - - mohws - - mollie-api-haskell - - monadacme - - monad-atom - - monad-atom-simple - - monad-branch - - MonadCatchIO-mtl - - MonadCatchIO-transformers-foreign - - monad-choice - - MonadCompose - - monad-control-aligned - - monadcryptorandom - - monad-fork - - monadic-bang - - monadiccp - - monadic-recursion-schemes - - monad-introspect - - Monadius - - monad-levels - - monad-lgbt - - monadLib-compose - - monadloc-pp - - monad-log - - monadlog - - monad-logger-prefix # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230955752 at 2023-08-16 - - monad-logger-syslog - - monad-lrs - - monad-mersenne-random - - monad-metrics # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230947569 at 2023-08-16 - - monad-mock - - monad-open - - monad-parallel-progressbar - - monad-param - - monad-persist - - monadplus - - monad-ran - - MonadRandomLazy - - monad-recorder - - monad-skeleton # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230956155 at 2023-08-16 - - MonadStack - - monad-statevar - - monad-ste - - monad-stlike-io - - monad-task - - monad-throw-exit - - monad-time-effectful # failure building library in job https://hydra.nixos.org/build/225552858 at 2023-06-28 - - monad-timing - - monad-tree - - monad-tx - - monad-unify - - monad-wrap - - Monatron - - mondo - - money - - mongodb-queue - - monitor - - monocypher # failure in compileBuildDriverPhase in job https://hydra.nixos.org/build/212811942 at 2023-03-22 - - mono-foldable - - monoid - - monoid-absorbing - - monoidal-functors # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230956652 at 2023-08-16 - - monoid-owns - - monoidplus - - monoids - - monoid-statistics - - monomer-flatpak-example # failure in job https://hydra.nixos.org/build/215851035 at 2023-04-17 - - monopati - - monus - - monzo - - moo-nad - - morfette - - morfeusz - - morpheus-graphql-cli - - morphisms-functors - - morphisms-objects - - morte - - mortred - - mosaico-lib # dependency missing in job https://hydra.nixos.org/build/212818174 at 2023-03-22 - - motor-reflection - - mount - - movie-monad - - mpppc - - mpris - - mpvguihs - - mqtt - - mqtt-hs - - mrifk - - mrm - - ms - - ms-auth # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230947549 at 2023-08-16 - - ms-azure-api # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230969734 at 2023-08-16 - - msgpack - - msgpack-types - - ms-graph-api # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230953084 at 2023-08-16 - - msh - - mssql-simple - - MTGBuilder - - mtgoxapi - - mtl-c - - mtl-evil-instances - - mtl-extras - - mtl-tf - - mtlx - - mtp - - mtsl - - MuCheck - - mud - - mudbath - - mulang - - multext-east-msd - - multiaddr - - multiarg - - multihash - - multi-instance # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230954844 at 2023-08-16 - - multilinear - - multipass - - multipath - - multiplate-simplified - - multipool - - multirec - - Munkres # failure building library in job https://hydra.nixos.org/build/230964280 at 2023-08-16 - - Munkres-simple - - muon - - murmur - - mu-schema - - musicScroll - - music-util - - musicxml - - mustache2hs - - mustache-haskell - - mutable - - mvc - - mxnet - - mxnet-nnvm - - myanimelist-export - - myo - - my-package-testing - - MyPrimes - - mysnapsession - - mysql-effect - - mysql-simple-quasi - - mystem - - my-test-docs - - mywork - - myxine-client - - mzv - - n2o-protocols - - NaCl - - nagios-plugin-ekg - - named-binary-tag - - named-lock - - named-servant - - named-sop - - namelist - - nanoAgda - - nano-cryptr - - nanocurses - - nano-hmac - - NanoID # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230948998 at 2023-08-16 - - nano-md5 - - nanomsg - - nanomsg-haskell - - nanoparsec - - nanopass - - NanoProlog - - nanovg-simple - - nanq - - naperian - - Naperian - - naqsha - - narc - - nationstates - - nats-client - - nat-sized-numbers - - natural - - NaturalSort - - naver-translate - - nbt - - ncurses - - neat - - needle - - neet - - nehe-tuts - - neil - - neither - - neither-data - - neko-lib - - neko-obfs - - Neks - - neptune-backend - - nero - - nest - - NestedFunctor - - nestedmap - - nested-sequence - - netclock - - netease-fm - - netrium - - NetSNMP - - netspec - - net-spider - - netwire-input-javascript - - netwire-vinylglfw-examples - - network-address - - network-api-support - - network-arbitrary - - network-attoparsec - - network-bitcoin - - network-builder - - network-bytestring - - network-carbon - - network-dbus - - networked-game - - network-house - - network-manager-tui - - network-messagepack-rpc-websocket - - network-metrics - - network-msg - - network-msgpack-rpc - - network-packet-linux - - network-server - - network-service - - network-simple-sockaddr - - network-simple-wss - - network-socket-options - - network-transport-amqp - - network-transport-inmemory - - network-voicetext - - network-wai-router - - neural-network-blashs - - neural-network-hmatrix - - newbase60 - - newhope - - newline # dependency missing in job https://hydra.nixos.org/build/211250825 at 2023-03-13 - - newports - - newsletter - - newt - - newtype-deriving - - newtype-th - - next-ref - - nextstep-plist - - NGrams - - ngrams-loader - - ngx-export-log - - niagra - - nibblestring - - nice-html - - nicovideo-translator - - nist-beacon - - nitro - - nix-delegate - - nixdu - - nix-eval - - nix-freeze-tree - - nixfromnpm - - nixpkgs-update - - nix-serve-ng # failure building executable 'nix-serve' in job https://hydra.nixos.org/build/231635876 at 2023-08-16 - - nix-tools - - nlp-scores - - nm - - NMap - - nme - - nn - - nntp - - noether - - nofib-analyse - - nofib-analyze - - noise - - noli - - NonEmpty - - non-empty-containers - - nonempty-lift - - non-empty-zipper - - nonlinear-optimization # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230950369 at 2023-08-16 - - noodle - - normalization-insensitive # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230969473 at 2023-08-16 - - no-role-annots - - notcpp - - notmuch-haskell - - not-prelude # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230947663 at 2023-08-16 - - NoTrace - - notzero - - np-linear - - nptools - - nri-prelude - - ntp-control - - ntrip-client - - n-tuple - - nuha # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230959017 at 2023-08-16 - - nullary - - null-canvas - - nullpipe - - NumberSieves - - NumberTheory - - number-wall # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230947084 at 2023-08-16 - - numeric-qq - - numeric-ranges - - numhask-free - - numhask-histogram - - numhask-prelude - - numhask-space # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230958208 at 2023-08-16 - - numtype - - numtype-tf - - Nutri - - nvfetcher # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/231635785 at 2023-08-16 - - nvim-hs-ghcid - - NXT - - NXTDSL - - nyan-interpolation-core - - nylas - - nyx-game - - oanda-rest-api - - oasis-xrd - - oauthenticated - - obd - - Object - - ObjectIO - - ocaml-export - - Octree - - OddWord - - oden-go-packages - - oeis2 - - OGDF - - OGL - - ogma-language-c - - ogma-language-cocospec - - ogma-language-smv - - ogmarkup - - ohloh-hs - - oi - - okapi - - old-version - - om-actor - - omaketex - - ombra - - om-doh - - omega - - Omega - - om-elm - - om-fail - - om-http-logging - - omnifmt - - om-plugin-imports - - om-show - - om-time - - on-a-horse - - onama - - ONC-RPC # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230952342 at 2023-08-16 - - on-demand-ssh-tunnel - - oneormore - - onpartitions - - onu-course - - op - - opaleye-classy - - opaleye-sqlite - - opaleye-trans - - open-adt - - OpenAFP - - openai-hs # failure building library in job https://hydra.nixos.org/build/215850908 at 2023-04-17 - - openapi3 - - openapi3-code-generator - - openapi-petstore - - openapi-typed - - opencc - - opench-meteo - - OpenCL - - OpenCLRaw - - OpenCLWrappers - - opencog-atomspace - - opencv - - opencv-raw - - opendatatable - - openexchangerates - - openflow - - opengles - - OpenGLRaw21 - - open-haddock - - openid-connect - - open-pandoc - - open-signals - - opensoundcontrol-ht - - openssh-protocol - - opentelemetry-extra - - opentelemetry-http-client - - opentheory-char - - opentracing # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230951073 at 2023-08-16 - - opentype - - open-typerep - - OpenVGRaw - - openweathermap - - Operads - - operate-do - - operational-extra - - opn - - optima - - optimization - - optional - - options-time - - optparse-applicative-simple - - optparse-helper - - optstream - - orc - - orchestrate - - OrchestrateDB - - ordered - - order-statistics - - Ordinary - - ordrea - - oref - - organize-imports - - orgmode - - orgmode-parse - - org-parser # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230971946 at 2023-08-16 - - origami - - orion-hs - - orizentic - - OrPatterns - - ory-hydra-client # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230971097 at 2023-08-16 - - ory-kratos # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230968815 at 2023-08-16 - - osc - - oscpacking - - oset - - Oslo-Vectize - - OSM - - osm-conduit - - oso2pdf - - osx-ar - - ot - - OTP - - ottparse-pretty - - overeasy - - overload - - overloaded - - overloaded-records - - overture - - owoify-hs - - pack - - package-description-remote - - package-version # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230960762 at 2023-08-16 - - package-vt - - packdeps - - packed - - packed-dawg - - packed-multikey-map - - packedstring - - packer-messagepack - - packman - - packstream - - packunused - - pacman-memcache - - padic - - pads-haskell - - pagarme - - pagerduty - - pagerduty-hs - - pagure-hook-receiver - - PandocAgda - - pandoc-citeproc - - pandoc-columns # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230956006 at 2023-08-16 - - pandoc-csv2table # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230968874 at 2023-08-16 - - pandoc-filter-graphviz - - pandoc-filter-indent - - pandoc-include - - pandoc-include-plus # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230962699 at 2023-08-16 - - pandoc-lens - - pandoc-linear-table # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230968966 at 2023-08-16 - - pandoc-link-context # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230972013 at 2023-08-16 - - pandoc-logic-proof # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230961625 at 2023-08-16 - - pandoc-markdown-ghci-filter - - pandoc-placetable - - pandoc-plantuml-diagrams - - pandoc-pyplot - - pandoc-select-code # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230956087 at 2023-08-16 - - pandoc-unlit - - pandoc-utils - - pandora - - panfiguration - - pang-a-lambda - - pangraph - - pan-os-syslog - - panpipe - - pansite - - pantry-tmp - - papa-base-export - - papa-include - - papa-lens-export - - papa-lens-implement - - papa-prelude - - papa-prelude-core - - papa-prelude-lens - - papa-prelude-semigroupoids - - papa-prelude-semigroups - - papa-semigroupoids-implement - - paphragen - - papillon - - pappy - - paragon - - Parallel-Arrows-Definition - - parallel-tasks - - parameterized-data - - parameterized-utils - - paranoia - - parco - - parcom-lib - - par-dual - - pareto - - paripari - - parochial - - PArrows - - Parry - - parse - - parsec2 - - parsec3 - - parsec-free - - parsec-parsers - - parsec-pratt - - parseerror-eq - - parse-gcstats - - parsely - - parser241 - - parser-combinators-tests - - parsergen - - parser-helper - - parsers-megaparsec - - parser-unbiased-choice-monad-embedding - - parsimony - - parsix # failure building library in job https://hydra.nixos.org/build/230966036 at 2023-08-16 - - parsnip - - partage - - partial-lens - - partial-records - - partly - - passage - - passman - - passman-core - - PasswordGenerator - - passwords - - pasta - - pasta-curves - - pastis - - pasty - - patches-vector - - Pathfinder - - pathfindingcore - - path-formatting # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230969881 at 2023-08-16 - - PathTree - - patrol - - patronscraper - - pattern-trie - - paynow-zw - - paypal-adaptive-hoops - - paypal-api - - paypal-rest-client - - pb - - pbc4hs - - PBKDF2 - - pb-next - - pcd-loader - - pcf-font-embed - - pcgen - - PCLT - - pcre2 - - pcre-light-extra - - pdfinfo - - pdf-slave-template - - pdf-toolbox-viewer - - pdftotext - - pdynload - - PeanoWitnesses - - pecoff - - pedestrian-dag - - peg - - peggy - - pencil - - penntreebank-megaparsec - - percent-encoder - - perceptron - - peregrin - - perf - - PerfectHash - - perfect-vector-shuffle - - perhaps - - periodic - - periodic-common - - periodic-polynomials - - permutation - - permute - - persist2er - - Persistence - - persistent-cereal - - persistent-database-url - - persistent-equivalence - - persistent-generic - - persistent-mongoDB - - persistent-odbc - - persistent-postgresql-streaming # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230967419 at 2023-08-16 - - persistent-ratelimit - - persistent-stm - - persistent-template-classy - - persistent-zookeeper - - persist # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230970536 at 2023-08-16 - - persist-state - - persona - - pesca - - pez - - pgdl - - pg-extras - - pgf2 - - pg-harness - - pg-harness-server - - pg-recorder - - pgsql-simple - - pg-store - - pgstream - - pg-transact - - pgvector # failure in job https://hydra.nixos.org/build/215851675 at 2023-04-17 - - phasechange - - phaser - - phoityne - - phoityne-vscode # failure building executable 'phoityne-vscode' in job https://hydra.nixos.org/build/230958609 at 2023-08-16 - - phone-metadata - - phone-numbers - - phone-push - - phonetic-languages-constaints - - phonetic-languages-constraints-array - - phonetic-languages-filters-array - - phonetic-languages-permutations-array - - phonetic-languages-phonetics-basics - - phonetic-languages-plus - - phonetic-languages-simplified-properties-array-old - - phonetic-languages-ukrainian-array - - phonetic-languages-vector - - phraskell - - Phsu - - phybin - - pia-forward - - pi-calculus - - picedit - - pickle - - picologic - - picoparsec - - pictikz - - pier-core - - piet - - pi-forall - - pig - - pi-hoole - - pi-lcd - - pinboard - - pinch-gen - - pinchot - - pine - - Pipe - - pipes-async - - pipes-bgzf - - pipes-break # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230950050 at 2023-08-16 - - pipes-brotli - - pipes-category - - pipes-cereal - - pipes-core - - pipes-errors - - pipes-interleave - - pipes-io - - pipes-lines # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230965615 at 2023-08-16 - - pipes-lzma # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230954108 at 2023-08-16 - - pipes-network-ws # failure building library in job https://hydra.nixos.org/build/214504366 at 2023-04-07 - - pipes-protolude - - pipes-rt - - pipes-s3 - - pipes-shell - - pipes-sqlite-simple - - pipes-transduce - - pipes-vector - - pipes-zeromq4 - - Piso - - pit - - pivotal-tracker - - pixela - - pixelated-avatar-generator - - pixel-printer - - pixiv - - pkcs10 - - pkcs7 - - pkggraph - - plailude - - plan-applicative - - planar-graph - - plan-b - - planb-token-introspection - - planet-mitchell-test - - plankton - - plat - - platinum-parsing - - PlayingCards - - playlists - - plist - - plist-buddy - - plivo - - ploterific - - plot-gtk - - plot-gtk3 - - plot-gtk-ui - - plot-lab - - plots - - plow-log - - plugins - - plugins-multistage - - plumbers - - plur - - plural - - plzwrk - - pngload-fixed - - pocket - - podenv - - pointedalternative - - pointfree-fancy - - pointful - - pointless-haskell - - poke - - pokemon-go-protobuf-types - - poker-base - - poker-eval - - pokitdok - - polar-configfile - - polar-shader - - policeman - - polling-cache - - Pollutocracy - - poly-cont - - poly-control - - polydata-core - - polynom - - polynomial - - polysemy-check - - polysemy-keyed-state - - polysemy-kvstore # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230971431 at 2023-08-16 - - polysemy-kvstore-jsonfile - - polysemy-managed # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230972262 at 2023-08-16 - - polysemy-methodology-co-log - - polysemy-mocks - - polysemy-path - - polysemy-readline - - polysemy-req - - polysemy-resume - - polysemy-several # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230969795 at 2023-08-16 - - polysemy-socket - - polysemy-video - - polysemy-vinyl - - polysemy-zoo # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230962140 at 2023-08-16 - - poly # test failure in job https://hydra.nixos.org/build/225574715 at 2023-06-28 - - polytypeable - - polyvariadic # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230956502 at 2023-08-16 - - pomaps - - pomohoro - - ponder - - pong-server - - pontarius-xmpp-extras # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/231689607 at 2023-08-16 - - pontarius-xpmn - - pool - - poolboy # test failure in job https://hydra.nixos.org/build/212819440 at 2023-03-26 - - pool-conduit - - pop3-client - - popkey # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230964862 at 2023-08-16 - - poppler - - porpoise - - portager - - porte - - PortFusion - - posable # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230948222 at 2023-08-16 - - posit - - positron - - posix-acl - - posix-api # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230954850 at 2023-08-16 - - posix-realtime - - posix-waitpid - - posplyu # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230957556 at 2023-08-16 - - postcodes - - postgres-embedded - - PostgreSQL - - postgresql-common - - postgresql-config # failure building library in job https://hydra.nixos.org/build/230957015 at 2023-08-16 - - postgresql-cube - - postgresql-lo-stream - - postgresql-ltree - - postgresql-named - - postgresql-orm - - postgresql-query - - postgresql-replicant - - postgresql-resilient - - postgresql-simple-bind - - postgresql-simple-migration - - postgresql-simple-named - - postgresql-simple-sop - - postgresql-tx-monad-logger - - postgresql-tx-simple - - postgresql-typed-lifted - - postgres-tmp - - postgrest-ws - - postgres-websockets - - postie - - postmark-streams - - postmaster - - potato-tool - - potoki-core - - powerdns - - powermate - - powerpc - - powerqueue-levelmem - - pprecord - - PPrinter - - pqc - - praglude - - prairie - - preamble - - precis - - precursor - - predicate-class - - predicate-typed - - prednote - - pregame - - preliminaries - - Prelude - - prelude-generalize - - prelude-plus - - preprocess-haskell - - preprocessor - - preql - - presburger - - present - - press - - pretty-compact - - pretty-ghci - - pretty-loc # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230953975 at 2023-08-16 - - pretty-ncols - - prettyprinter-vty - - prim - - primal - - prim-array - - prime - - primes-type - - prim-instances - - PrimitiveArray - - PrimitiveArray-Pretty - - primitive-atomic - - primitive-checked # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230957933 at 2023-08-16 - - primitive-convenience - - primitive-foreign - - primitive-indexed - - primitive-maybe - - primitive-primvar # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230951857 at 2023-08-16 - - primitive-simd - - primitive-slice # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230949991 at 2023-08-16 - - primitive-sort - - primitive-stablename - - prim-ref - - pringletons - - printcess - - print-debugger - - print-info - - prints - - PriorityChansConverger - - priority-queue - - pro-abstract # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230968325 at 2023-08-16 - - probable - - prob-fx - - Probnet - - process-conduit - - processing - - process-leksah - - process-listlike - - processmemory - - process-sequential - - procrastinating-variable - - procstat - - product-isomorphic - - prof2pretty - - prof-flamegraph - - profiteur # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230962019 at 2023-08-16 - - profunctor-monad - - progression - - progressive - - progress-meter - - progress-reporting - - proj4-hs-bindings - - project-forge - - projectile - - project-m36 - - prolens - - prolog - - prometheus-effect - - prometheus # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230959996 at 2023-08-16 - - prometheus-proc - - promise - - pronounce - - proof-combinators - - PropaFP - - Proper - - properties - - property-list - - prop-unit # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230950936 at 2023-08-16 - - proquint # failure in job https://hydra.nixos.org/build/215308028 at 2023-04-11 - - prosidy - - pro-source # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230963769 at 2023-08-16 - - prosper - - proteaaudio # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230950003 at 2023-08-16 - - protocol - - protocol-buffers - - protocol-buffers-fork - - proto-lens-arbitrary # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230953387 at 2023-08-16 - - proto-lens-combinators - - protolude-lifted - - proton-haskell - - prototype - - prove-everywhere-server - - provenience - - proxy-kindness - - proxy-mapping - - prune-juice - - psc-ide - - pseudo-trie - - psi - - pstemmer # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230955354 at 2023-08-16 - - psx - - PTQ - - pub - - publicsuffix - - publicsuffixlistcreate - - publish - - pubnub - - pugixml - - pugs-DrIFT - - pugs-HsSyck - - PUH-Project - - Pup-Events-Server - - pure-io - - pure-priority-queue - - purescript-ast - - purescript-cst - - purescript-tsd-gen - - pure-zlib - - purview # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230961366 at 2023-08-16 - - pushbullet - - pushbullet-types - - pusher-haskell - - pusher-ws - - pushme - - push-notifications - - putlenses - - puzzle-draw - - pvector # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230952685 at 2023-08-16 - - pyffi - - pyfi - - python-pickle - - q4c12-twofinger - - qc-oi-testgenerator - - qd - - qed - - qhull-simple - - qif - - QIO - - QLearn - - qlinear - - qrcode - - qr-imager - - qsem - - qt - - QuadEdge - - QuadTree - - qualified-imports-plugin - - quandl-api - - quantification - - quantum-arrow - - quarantimer - - qudb # failure building executable 'qudb' in job https://hydra.nixos.org/build/211250260 at 2023-03-13 - - quenya-verb - - querystring-pickle - - questioner - - quibble-core - - quic # failure building library in job https://hydra.nixos.org/build/230948542 at 2023-08-16 - - QuickAnnotate - - quickbooks - - quickcheck-arbitrary-template - - quickcheck-combinators # failure building library in job https://hydra.nixos.org/build/230952645 at 2023-08-16 - - quickcheck-dynamic # failure building library in job https://hydra.nixos.org/build/230963873 at 2023-08-16 - - quickcheck-groups - - quickcheck-lockstep # dependency missing in job https://hydra.nixos.org/build/210845914 at 2023-02-28 - - quickcheck-monoid-subclasses - - quickcheck-property-comb - - quickcheck-property-monad - - quickcheck-rematch - - quickcheck-report - - QuickCheckVariant - - quickcheck-webdriver - - quickjs-hs - - QuickPlot - - quickpull - - quick-schema - - quickset - - quickson - - Quickson - - quickspec - - quickwebapp - - quipper-core - - quiver - - quokka - - quoridor-hs - - RabbitMQ - - rad - - radian - - radium - - radium-formula-parser - - radix - - radix-tree - - rados-haskell - - raft - - rakhana - - rakuten - - ralist - - raml - - rando - - random-access-list - - random-cycle # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230957192 at 2023-08-16 - - random-derive - - RandomDotOrg - - random-eff - - Randometer - - random-source - - random-stream - - random-string - - rand-vars - - Range - - rangemin - - rangeset - - rank1dynamic - - rank-product - - rapid # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230958426 at 2023-08-16 - - rapid-term - - Rasenschach - - rating-chgk-info - - rational-list - - rattle - - rattletrap - - raven-haskell-scotty - - raylib-imgui - - raz - - rbst - - rclient - - rdf4h # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230965619 at 2023-08-16 - - react-flux - - react-haskell - - reaction-logic - - reactive-bacon - - reactive-banana-automation - - reactive-banana-bunch - - reactive-banana-gi-gtk - - reactive-banana-sdl2 - - reactive-banana-threepenny - - reactive-thread - - react-tutorial-haskell-server - - readability - - read-bounded - - read-ctags - - reader-soup - - read-io - - readline-statevar - - readme-lhs - - readshp - - really-simple-xml-parser - - reanimate-svg - - reasonable-lens - - reason-export - - record - - record-encode - - records - - records-sop - - record-wrangler - - recover-rtti - - rec-smallarray # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230971840 at 2023-08-16 - - recursors - - red-black-record - - redis-glob - - redis-hs - - redis-simple - - Redmine - - reedsolomon - - reenact - - ref - - Ref - - refcount - - Referees - - references - - ref-extras - - refined-http-api-data - - refined-with - - reflection-extras - - reflex-backend-socket - - reflex-basic-host - - reflex-dom-ace - - reflex-dom-contrib - - reflex-dom-fragment-shader-canvas - - reflex-dom-helpers - - reflex-dom-pandoc # failure building library in job https://hydra.nixos.org/build/230953122 at 2023-08-16 - - reflex-dom-retractable - - reflex-dom-svg - - reflex-external-ref - - reflex-fsnotify - - reflex-gi-gtk - - reflex-gloss - - reflex-jsx - - reflex-libtelnet - - reflex-orphans - - reflex-sdl2 - - reflex-test-host - - reflex-transformers - - reflex-vty - - ref-mtl - - reformat - - reform-hamlet # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230965992 at 2023-08-16 - - reform-hsp # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230957580 at 2023-08-16 - - reform-lucid # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230968858 at 2023-08-16 - - refresht - - refty - - refurb - - reg-alloc - - regex-dfa - - regexdot - - regex-generator - - regex-parsec - - regex-posix-unittest - - regexpr-symbolic - - regexqq - - regex-tdfa-pipes - - regex-tdfa-quasiquoter - - regex-tdfa-rc - - regex-tdfa-text - - regex-tdfa-unittest - - regex-tdfa-utf8 - - regex-tre - - regex-type - - regions - - register-machine-typelevel - - registry - - regress - - regular - - rehoo - - rei - - reified-records - - reify - - relacion - - relation - - releaser # failure building library in job https://hydra.nixos.org/build/230963399 at 2023-08-16 - - relevant-time - - reload - - remark - - remarks - - remote - - remote-debugger - - remote-monad - - reorderable - - reorder-expression # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230952702 at 2023-08-16 - - repa-bytestring - - repa-devil - - repa-eval - - repa-examples - - repa # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230959980 at 2023-08-16 - - repa-linear-algebra - - repa-scalar - - repa-series - - repl - - RepLib - - replica - - ReplicateEffects - - repl-toolkit - - repo-based-blog - - representable-functors - - reprinter # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230970043 at 2023-08-16 - - reproject - - req-conduit - - request - - request-monad - - require - - req-url-extra - - rescue - - reservoir - - resolve - - resolve-trivial-conflicts - - resource-effect - - resource-embed - - resource-pool-monad # failure building library in job https://hydra.nixos.org/build/230949096 at 2023-08-16 - - resourcet-pool # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230950524 at 2023-08-16 - - respond - - restartable - - restyle - - resumable-exceptions - - rethinkdb - - rethinkdb-client-driver - - retryer - - reverse-geocoding - - reverse-list - - reversi - - ReviewBoard - - rewrite-inspector - - rfc - - rfc-prelude - - r-glpk-phonetic-languages-ukrainian-durations - - rhbzquery - - rhine - - riak - - riak-protobuf-lens - - ribbit - - rib-core - - RichConditional - - ridley - - riemann - - riff - - rigel-viz - - ring-buffer - - ring-buffers - - riscv-isa - - rison - - Ritt-Wu - - rivers - - rivet-migration - - rivet-simple-deploy - - RJson - - Rlang-QQ - - rle # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230950686 at 2023-08-16 - - rlglue - - RLP - - rl-satton - - robin - - robots-txt - - roc-cluster - - roc-id - - roguestar - - roku-api - - rollbar-client - - rollbar-hs - - roller - - roman-numerals - - ron - - rope - - rosebud - - rose-trees - - rosmsg - - rospkg # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/231689673 at 2023-08-16 - - rosso - - rotating-log - - rounded - - rounding - - roundtrip-aeson - - rowrecord - - R-pandoc - - rpc-framework - - rpm - - rpmbuild-order - - rpmostree-update # failure building executable 'rpmostree-update' in job https://hydra.nixos.org/build/230963857 at 2023-08-16 - - rrule - - rspp - - rss2irc - - rstream - - RtMidi - - rtnetlink - - rtorrent-rpc - - rtorrent-state - - rts-loader - - rubberband # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230972230 at 2023-08-16 - - ruby-marshal - - ruby-qq - - ruff - - ruin - - runhs - - runmany - - runtime-instances - - rustls - - rws - - RxHaskell - - rzk # failure in compileBuildDriverPhase in job https://hydra.nixos.org/build/217574605 at 2023-04-29 - - rz-pipe - - SableCC2Hs - - safe-buffer-monad - - safe-coerce - - safe-coloured-text-gen # test failure in job https://hydra.nixos.org/build/225565471 at 2023-06-28 - - safe-coloured-text-layout # test failure in job https://hydra.nixos.org/build/225562935 at 2023-06-28 - - safecopy-migrate - - safecopy-store - - safe-freeze - - safe-globals - - safeint - - safeio - - safe-lazy-io - - safe-length - - safe-money-xmlbf - - safepath - - safe-printf - - saferoute - - safe-tensor - - safe-wild-cards - - sajson - - sakuraio-platform - - salak - - Salsa - - salvia-protocol - - sandlib - - sandman - - sarasvati - - sasha # dependency missing in job https://hydra.nixos.org/build/211237944 at 2023-03-13 - - sat - - satchmo-backends - - satchmo-minisat - - saturn # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230961398 at 2023-08-16 - - Saturnin - - satyros - - savage - - sax - - sbv - - sc2-proto - - scale - - scaleimage - - scalendar - - s-cargot-letbind - - scat # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230970581 at 2023-08-16 - - scc - - schedevr - - schedule-planner - - schedyield - - schemas - - scholdoc-types - - scidb-hquery - - sci-ratio - - scons2dot - - scottish - - scotty-binding-play - - scotty-blaze - - scotty-format - - scotty-params-parser - - scotty-resource - - scotty-rest - - scotty-session - - scotty-tls - - scotty-view - - scrapbook-core - - scrape-changes - - ScratchFs - - script-monad - - scrobble - - scroll-list - - scrz - - scythe - - scyther-proof - - sde-solver - - sdl2-cairo-image - - sdl2-compositor - - sdl2-fps - - sdl2-gfx # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230947327 at 2023-08-16 - - sdl2-image # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230965350 at 2023-08-16 - - sdl2-mixer # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230969457 at 2023-08-16 - - sdl2-ttf # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230963820 at 2023-08-16 - - sdp - - sdr - - seacat - - seakale - - sec - - secdh - - seclib - - second-transfer - - secp256k1 - - secp256k1-legacy - - secret-santa - - SecureHash-SHA3 - - secure-memory - - secure-sockets - - secureUDP - - SegmentTree - - selda # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230970840 at 2023-08-16 - - selda-postgresql - - selectors - - selenium - - selinux - - Semantique - - semaphore-compat # dependency missing in job https://hydra.nixos.org/build/214509429 at 2023-04-07 - - semdoc - - semialign-extras - - semialign-indexed # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230950953 at 2023-08-16 - - semialign-optics # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230950916 at 2023-08-16 - - semibounded-lattices - - Semigroup - - semigroupoids-syntax - - semigroups-actions - - semilattices - - sendgrid-haskell - - sendgrid-v3 - - sensu-run - - sentry - - seonbi - - separated - - SeqAlign - - sequent-core - - sequential-index - - serf # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230970238 at 2023-08-16 - - serialize-instances - - serialport # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230962754 at 2023-08-16 - - serokell-util - - servant-aeson-specs - - servant-auth-cookie - - servant-auth-hmac - - servant-auth-server # failure building test suite 'spec' in job https://hydra.nixos.org/build/230968407 at 2023-08-16 - - servant-avro - - servant-benchmark - - servant-client-js - - servant-combinators # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230950496 at 2023-08-16 - - servant-db - - servant-dhall - - servant-docs-simple - - servant-elm - - servant-errors - - servant-event-stream - - servant-foreign # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230967834 at 2023-08-16 - - servant-gdp - - servant-generate - - servant-generic - - servant-github - - servant-github-webhook - - servant-hmac-auth - - servant-htmx - - servant-http2-client - - servant-http-streams # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230956465 at 2023-08-16 - - servant-iCalendar - - servant-jquery - - servant-JuicyPixels # failure building library in job https://hydra.nixos.org/build/230963492 at 2023-08-16 - - servant-kotlin - - servant-mock - - servant-namedargs - - servant-nix - - servant-pandoc - - servant-pool - - servant-proto-lens - - servant-purescript - - servant-py - - servant-quickcheck - - servant-reflex - - servant-router - - servant-scotty - - servant-seo - - servant-serf - - servant-smsc-ru - - servant-stache - - servant-static-th - - servant-streaming - - servant-streamly - - servant-tracing - - servant-typed-error - - servant-wasm - - servant-xml - - servant-yaml - - servant-zeppelin - - server-generic - - serversession-backend-acid-state - - serversession-backend-persistent - - services - - serviette - - ses-html-snaplet - - SessionLogger - - sessions - - sessiontypes - - setgame - - set-of - - setoid - - setters - - set-with - - sexp - - sexpr-parser # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230967001 at 2023-08-16 - - sext - - SFML - - sfml-audio - - sfmt - - SG - - sgd - - SGplus - - sh2md - - shade - - shadower - - shake-cabal-build - - shake-dhall - - shake-extras - - shake-minify - - shake-path - - shake-persist - - shakespeare-babel - - shakespeare-sass - - shared-buffer - - shared-fields - - sha-streams - - she - - Shellac - - shellify # failure building test suite 'haskelltest-test' in job https://hydra.nixos.org/build/230963414 at 2023-08-16 - - shellish - - shellmate - - shellmet # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230972264 at 2023-08-16 - - shell-pipe - - shikensu # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230951632 at 2023-08-16 - - shimmer - - shine-examples - - shivers-cfg - - shoap - - shopify - - shortbytestring - - shortcut-links - - shorten-strings - - short-vec - - show-prettyprint - - show-type # failure building library in job https://hydra.nixos.org/build/230946625 at 2023-08-16 - - Shpadoinkle-console - - Shpadoinkle-debug - - Shpadoinkle-isreal - - shwifty - - sifflet - - sifflet-lib - - sigmacord # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230948674 at 2023-08-16 - - signable - - signable-haskell-protoc - - signed-multiset - - significant-figures # test failure in job https://hydra.nixos.org/build/225555677 at 2023-06-28 - - simd - - simfin - - simple-actors - - simpleargs - - simple-atom - - simple-bluetooth - - simple-conduit - - simple-config - - simpleconfig - - simple-css - - simple-download - - simple-effects # failure building library in job https://hydra.nixos.org/build/230951952 at 2023-08-16 - - simple-eval - - simple-form - - simple-genetic-algorithm - - SimpleH - - simple-index - - simpleirc - - simple-log - - simple-logging - - simple-media-timestamp-formatting # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230946985 at 2023-08-16 - - simple-money - - simple-neural-networks - - simplenote - - simple-parser # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230951616 at 2023-08-16 - - simple-pipe - - simpleprelude - - simple-rope - - simple-server - - simplesmtpclient - - simple-sql-parser - - simple-stacked-vm - - simplest-sqlite - - simple-tabular - - simple-tar - - simple-templates - - simple-ui - - simple-units - - simplexmq - - simple-zipper - - simplistic-generics - - singlethongs - - singleton-dict - - singleton-typelits - - single-tuple - - singnal - - singular-factory - - sink - - sint # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230966755 at 2023-08-16 - - siphash - - sitepipe - - sixfiguregroup - - sized-grid - - sized-types - - sized-vector - - sizes - - sjsp - - SJW - - skeletal-set - - skell - - skemmtun - - skews - - skulk - - skylighting-extensions - - skylighting-lucid - - skype4hs - - slack - - slack-api - - slack-notify-haskell - - slack-verify - - sliceofpy - - slidemews - - Slides - - slim - - sloane - - sloth - - slot-lambda - - slug - - slugger - - slugify - - smallarray - - small-bytearray-builder - - smallcaps - - smallcheck-kind-generics - - smallcheck-series - - smallpt-hs - - smap - - smartcheck - - smartconstructor - - smartGroup - - smash - - smawk # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230963766 at 2023-08-16 - - sme - - smerdyakov - - smiles - - smith # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230967626 at 2023-08-16 - - SmithNormalForm # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230970411 at 2023-08-16 - - smoothie - - smsaero - - smt-lib - - SmtLib - - smtlib2 - - smtlib-backends - - SMTPClient - - smtp-mail-ng - - smtps-gmail - - smuggler - - smuggler2 - - snack - - snake - - snake-game - - snap-accept - - snap-auth-cli - - snap-blaze-clay - - snap-configuration-utilities - - snap-error-collector - - snap-language - - snaplet-acid-state - - snaplet-amqp - - snaplet-auth-acid - - snaplet-coffee - - snaplet-css-min - - snaplet-environments - - snaplet-hslogger - - snaplet-influxdb - - snaplet-mandrill - - snaplet-mongodb-minimalistic - - snaplet-mysql-simple - - snaplet-postgresql-simple - - snaplet-purescript # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230971794 at 2023-08-16 - - snaplet-recaptcha - - snaplet-redis - - snaplet-sass - - snaplet-scoped-session - - snaplet-ses-html - - snaplet-sqlite-simple-jwt-auth - - snaplet-typed-sessions - - snap-loader-dynamic - - snap-predicates - - snappy-conduit - - snappy-iteratee - - snap-routes - - snap-stream - - snap-testing - - snap-utils - - snap-web-routes - - SNet - - snipcheck - - snorkels - - snowchecked - - snowtify - - socket-activation - - socketed - - socketio - - sockets - - sockets-and-pipes - - socket-sctp - - socket-unix - - sodium - - soegtk - - softfloat-hs - - solar - - solga - - som # test failure in job https://hydra.nixos.org/build/216744749 at 2023-04-20 - - Sonnex - - sorted - - sorting - - sorty - - souffle-haskell - - source-constraints - - sousit - - soyuz - - SpaceInvaders # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230963310 at 2023-08-16 - - spacepart - - spade # dependency missing in job https://hydra.nixos.org/build/225563353 at 2023-06-28 - - spake2 - - spanout - - spars - - sparse - - sparsecheck - - sparse-lin-alg - - sparse-linear-algebra # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230966041 at 2023-08-16 - - sparse-merkle-trees # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230948641 at 2023-08-16 - - sparse-tensor - - spdx # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230970358 at 2023-08-16 - - special-functors - - special-keys - - spectacle - - speculation - - sphinx - - sphinxesc - - Spintax # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230964258 at 2023-08-16 - - spiros - - spir-v - - splay - - splaytree - - splint - - split-morphism - - splitter - - Spock-api-ghcjs - - Spock-auth - - spoonutil - - spotify # test failure in job https://hydra.nixos.org/build/230953177 at 2023-08-16 - - spoty - - Sprig - - spritz - - spsa - - spy - - sqids # test failure in job https://hydra.nixos.org/build/230970531 at 2023-08-16 - - sqlcipher - - sqlite - - sqlite-easy - - sqlite-simple-errors - - sql-simple - - sqlvalue-list - - srcinst - - srt-attoparsec # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230966533 at 2023-08-16 - - srt-dhall - - sscan - - ssh - - ssh-tunnel - - SSTG - - st2 # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230965507 at 2023-08-16 - - stable-heap - - stable-maps - - stable-marriage - - stable-memo - - stack2cabal - - stack2nix - - stackage-cli - - stackage-metadata - - stackage-to-hackage - - stackage-types - - stack-bump - - stackcollapse-ghc - - stack-fix - - stack-lib - - stack-prism - - stack-run - - stack-type - - stack-wrapper - - staged-gg - - standalone-derive-topdown - - standalone-haddock - - starling - - starter # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230947332 at 2023-08-16 - - stash - - Stasis - - state - - state-bag - - state-plus - - state-record - - static - - static-canvas - - static-ls # failure in job https://hydra.nixos.org/build/221848657 at 2023-05-30 - - static-tensor - - statistics-dirichlet - - statistics-fusion - - statistics-hypergeometric-genvar - - statistics-skinny # failure in job https://hydra.nixos.org/build/225576019 at 2023-06-28 - - stats - - statsd - - statvfs - - staversion - - stb-image-redux - - stc-lang - - stdata - - stdf - - stdio - - steambrowser - - stego-uuid - - stemmer - - stemmer-german - - stepwise - - stgi - - STL - - stm-chunked-queues - - stm-firehose - - stm-incremental - - stm-promise - - stm-queue - - stm-stats - - stochastic - - Stomp - - stooq-api - - storable - - storable-offset # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230956457 at 2023-08-16 - - storable-static-array - - stp - - str - - Strafunski-ATermLib - - Strafunski-StrategyLib - - StrappedTemplates - - StrategyLib - - stratux-types - - stream - - streamdeck - - streamed # dependency missing in job https://hydra.nixos.org/build/217576821 at 2023-04-29 - - stream-fusion - - streaming-benchmarks - - streaming-brotli - - streaming-cassava - - streaming-concurrency - - streaming-conduit - - streaming-events - - streaming-lzma - - streaming-osm - - streaming-pcap - - streaming-png - - streaming-postgresql-simple - - streaming-sort - - streaming-with # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230956271 at 2023-08-16 - - streamly-binary - - streamly-cassava - - streamly-examples - - streamly-fsnotify # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230946720 at 2023-08-16 - - streamly-lz4 - - streamly-posix # failure building library in job https://hydra.nixos.org/build/230968738 at 2023-08-16 - - streamly-process - - stream-monad - - streamproc - - strelka-core - - StrictBench - - StrictCheck - - strict-containers - - strict-ghc-plugin - - strictly - - strict-tuple-lens - - string-class # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230948470 at 2023-08-16 - - string-conv-tests - - string-fromto - - string-isos - - stringlike - - string-quote - - strings - - stringtable-atom - - stripe - - stripe-core - - stripe-hs - - strong-path - - structural-traversal - - structured-haskell-mode - - structures - - stt - - stunclient - - stylish-cabal - - stylist # failure building test suite 'test-stylist' in job https://hydra.nixos.org/build/230952543 at 2023-08-16 - - stylized - - subG-instances - - subleq-toolchain - - submark - - subsample - - sub-state - - subwordgraph - - suffix-array - - suffixarray - - SuffixStructures - - sugar - - sugarhaskell - - suitable - - summer - - summoner - - sump - - sum-type-boilerplate - - sunlight - - sunroof-compiler - - superbubbles - - superevent - - supermonad - - supero - - superrecord - - super-user-spark - - supervisor - - supervisors - - supplemented - - surjective - - sv2v - - sv-core - - SVD2HS - - svfactor - - svg-builder-fork - - svg-icons - - SvgIcons - - svgsym - - svgutils - - svm-light-utils - - svm-simple - - svndump - - swagger - - swagger-petstore - - swagger-test - - swearjure - - swf - - swift-lda - - swiss - - swiss-ephemeris - - sws - - syb-extras - - syb-with-class # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230970380 at 2023-08-16 - - syb-with-class-instances-text - - sydtest-hedis # test failure in job https://hydra.nixos.org/build/225562212 at 2023-06-28 - - sydtest-hspec # failure building library in job https://hydra.nixos.org/build/230968205 at 2023-08-16 - - sydtest-mongo # failure in job https://hydra.nixos.org/build/225574398 at 2023-06-28 - - sydtest-persistent-postgresql # test failure in job https://hydra.nixos.org/build/225560820 at 2023-06-28 - - sydtest-persistent-sqlite # test failure in job https://hydra.nixos.org/build/225566898 at 2023-06-28 - - sydtest-rabbitmq # test failure in job https://hydra.nixos.org/build/225569272 at 2023-06-28 - - sydtest-webdriver # test failure in job https://hydra.nixos.org/build/225552802 at 2023-06-28 - - syfco - - symantic - - symantic-cli - - symantic-http-client - - symantic-parser - - symantic-xml - - symbolic-link - - symengine - - symengine-hs - - sync - - sync-mht - - syntactic # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230958352 at 2023-08-16 - - syntax-trees - - syntax-trees-fork-bairyn - - synthesizer # dependency missing in job https://hydra.nixos.org/build/217577245 at 2023-04-29 - - Sysmon - - system-canonicalpath - - system-command - - system-extra - - system-inotify - - system-lifted - - system-linux-proc - - system-locale - - system-random-effect - - system-test - - t3-server - - table - - tables - - Tables - - tablestorage - - table-tennis - - Tablify - - tabloid - - tabs - - tag-bits - - tagged-exception-core - - tagged-timers - - taggy-lens - - taglib-api - - tagsoup-ht - - tagsoup-megaparsec - - tagsoup-parsec - - tagsoup-selection - - tagtree # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230961266 at 2023-08-16 - - tai - - tai64 - - tailwind # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/231536572 at 2023-08-16 - - tak - - takahashi - - Takusen - - takusen-oracle - - tamarin-prover-utils - - Tape - - tapioca - - TaskMonad - - tasty-auto - - tasty-autocollect - - tasty-fail-fast - - tasty-grading-system - - tasty-hedgehog-coverage - - tasty-json - - tasty-mgolden - - tasty-papi # test failure in job https://hydra.nixos.org/build/216756583 at 2023-04-20 - - tasty-stats - - tasty-test-reporter # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230958587 at 2023-08-16 - - tasty-test-vector - - TastyTLT - - TBC - - TBit - - TCache - - tcod-haskell - - tcp - - tcp-streams-openssl - - tdd-util - - tdigest-Chart - - tdoc - - tds - - teams - - technique - - teeth - - tehepero - - telega - - telegram - - telegram-api - - telegram-types - - telegraph - - teleport - - teleshell - - tellbot - - template-default - - template # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230971653 at 2023-08-16 - - template-haskell-optics # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230946806 at 2023-08-16 - - template-haskell-util - - template-hsml - - templateify - - templatepg - - template-toolkit - - template-yj - - tempodb - - tempus - - ten - - tensor - - tensor-safe - - termbox-bindings - - termination-combinators - - termplot - - terntup - - tersmu - - tesla - - testCom - - testcontainers - - TestExplode # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230964283 at 2023-08-16 - - test-fixture - - test-framework-doctest - - test-framework-quickcheck - - test-framework-skip - - test-framework-testing-feat - - test-framework-th-prime - - test-lib - - testloop - - testpack - - testpattern - - test-pkg - - testPkg - - testrunner - - test-shouldbe - - tex2txt - - texbuilder - - tex-join-bib # failure building library in job https://hydra.nixos.org/build/230946498 at 2023-08-16 - - text1 - - text-all - - text-and-plots - - text-ascii - - text-builder-linear - - text-compression # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230952349 at 2023-08-16 - - text-containers - - text-display - - text-format-heavy - - text-generic-pretty - - text-icu-normalized - - text-icu-translit - - text-lens - - text-markup - - text-normal - - textocat-api - - text-offset - - text-position - - text-register-machine - - text-stream-decode # failure building library in job https://hydra.nixos.org/build/230960721 at 2023-08-16 - - text-trie - - textual - - text-utf7 - - text-utf8 - - text-xml-qq - - text-zipper-monad - - tfp-th - - tftp - - tga - - thank-you-stars - - th-build - - th-dict-discovery - - THEff - - themoviedb # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230961888 at 2023-08-16 - - thentos-cookie-session - - Theora - - theoremquest - - th-fold - - th-format - - thih - - Thingie - - th-inline-io-action - - th-instance-reification - - th-kinds - - th-kinds-fork - - thock - - thorn - - th-pprint - - threadmanager - - thread-supervisor # test failure in job https://hydra.nixos.org/build/214156434 at 2023-03-29 - - threepenny-editors - - threepenny-gui-contextmenu - - threepenny-gui-flexbox - - thrift - - Thrift - - throttled-io-loop - - throttle-io-stream - - through-text # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230972325 at 2023-08-16 - - throwable-exceptions - - th-sccs - - th-tc - - th-to-exp - - th-traced - - thumbnail - - thumbnail-plus - - tianbar - - ticket-management - - TicTacToe - - tictactoe3d - - tidal-midi - - tidal-serial - - tidal-vis - - tie-knot - - tiempo - - tiger # failure in compileBuildDriverPhase in job https://hydra.nixos.org/build/225568410 at 2023-06-28 - - TigerHash - - tightrope - - tikzsd - - timecalc - - time-extras - - time-machine - - timemap - - timeout - - timeout-with-results - - timeparsers - - TimePiece - - timeplot - - time-qq - - time-quote - - time-recurrence - - time-series - - timeseries # dependency missing in job https://hydra.nixos.org/build/217565262 at 2023-04-29 - - time-series-lib - - timeutils - - time-w3c - - timezone-detect - - tinyid - - TinyLaunchbury - - tinylog - - tinyMesh - - tiny-scheduler - - tinytemplate - - TinyURL - - tinyXml - - tiphys - - titan-debug-yampa - - titan-record-yampa - - Titim - - tkhs - - tkyprof - - tls-debug # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230964438 at 2023-08-16 - - TLT # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230947319 at 2023-08-16 - - tmp-proc-example - - tofromxml - - to-haskell - - toilet # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230965271 at 2023-08-16 - - token-bucket - - tokenify - - tokenizer - - tokenizer-streaming - - token-limiter - - token-search - - tokstyle - - tokyocabinet-haskell - - tokyotyrant-haskell - - toml - - tonalude - - tonaparser - - toodles - - Top - - topkata - - torch - - TORCS - - to-string-class - - TotalMap - - total-maps - - touched - - Tournament - - toxiproxy-haskell - - tptp - - trace - - trace-call - - traced - - trace-function-call - - tracetree - - tracked-files - - tracker - - trackit - - traction - - tracy - - traildb - - transactional-events - - TransformeR - - transformers-bifunctors - - transformers-compose - - transformers-lift - - transformers-runnable - - TransformersStepByStep - - trans-fx-core - - transient - - translatable-intset - - translate - - traverse-code # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230968928 at 2023-08-16 - - travis - - travis-meta-yaml - - trawl - - traypoweroff - - treap - - treemap - - treemap-html - - tree-monad - - tree-render-text - - TreeStructures - - tree-traversals - - t-regex - - tremulous-query - - TrendGraph - - trhsx - - trial-tomland - - tries - - trigger - - trim - - tripLL - - trivia - - tropical - - tropical-geometry - - trust-chain # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230956012 at 2023-08-16 - - tsession - - tslib - - tsparse - - tsp-viz - - tsuntsun - - tsvsql - - ttask - - ttl-hashtables - - ttn-client - - tttool - - tubes - - tuntap - - tuple-append - - tuple-gen - - tuple-hlist - - tupleinstances - - tuple-lenses - - tuple-morph - - turing-machines - - turing-music - - turtle-options - - twain # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230972118 at 2023-08-16 - - tweak - - twentefp-websockets - - twfy-api-client - - twhs - - twilio - - twiml - - twine - - twirl # dependency missing in job https://hydra.nixos.org/build/217564808 at 2023-04-29 - - twirp - - twisty - - twitchapi - - twitch # failure building test suite 'unit-tests' in job https://hydra.nixos.org/build/230961695 at 2023-08-16 - - twitter - - twitter-feed - - tx - - txtblk - - TYB - - tyfam-witnesses - - typalyze - - typeable-th - - type-combinators - - type-compare # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230964481 at 2023-08-16 - - TypeCompose - - typed-digits - - typed-encoding - - typedquery - - typed-spreadsheet # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230959517 at 2023-08-16 - - typed-time - - typed-wire - - type-eq - - type-errors-pretty - - typehash - - type-indexed-queues - - type-int - - type-interpreter - - typelet - - type-level-bst - - type-level-natural-number-induction - - type-level-natural-number-operations - - typelevel-rewrite-rules - - type-level-sets - - typelevel-tensor - - type-list - - typelits-witnesses - - type-of-html-static - - type-operators # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230949643 at 2023-08-16 - - typeparams - - type-prelude - - typerep-map # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230969193 at 2023-08-16 - - type-safe-avl - - types-compat - - type-settheory - - type-spine - - type-tree - - type-unary - - typograffiti - - typson-core - - tyro - - tztime - - uAgda - - uberlast - - ucam-webauth-types - - ucl # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230952255 at 2023-08-16 - - uconv - - udbus - - udp-conduit - - udp-streaming - - ueberzug - - uhexdump - - uhttpc - - ui-command - - ukrainian-phonetics-basic-array - - ukrainian-phonetics-basic-array-bytestring - - unamb-custom - - unbeliever - - unbounded-delays-units - - unbound-kind-generics # failure building library in job https://hydra.nixos.org/build/219201570 at 2023-05-10 - - unboxed - - unboxed-containers - - unboxed-references - - unbreak - - unescaping-print - - unfix-binders - - unicode-data-names - - unicode-data-scripts - - unicode-data-security - - unicode-general-category - - unicode-prelude - - unicode-symbols - - unicode-tricks - - uniform-json # failure building test suite 'json-test' in job https://hydra.nixos.org/build/214602707 at 2023-04-07 - - union-find # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230950510 at 2023-08-16 - - union-map - - unionmount # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230961993 at 2023-08-16 - - uniprot-kb - - uniqueid - - uniquely-represented-sets - - uniqueness-periods-general - - uniqueness-periods-vector - - uniqueness-periods-vector-common - - units-attoparsec - - unittyped - - unitym # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230958411 at 2023-08-16 - - unitym-yesod - - uni-util - - universal-binary - - universe-instances-base - - universe-instances-trans - - universe-some - - unix-handle - - unix-process-conduit - - unix-recursive - - unlift - - unlifted-list - - unliftio-messagebox - - unlift-stm - - unm-hip - - unordered-containers-rematch - - unordered-graphs - - unordered-intmap - - unpacked-either - - unpacked-maybe - - unpacked-maybe-numeric # failure building library in job https://hydra.nixos.org/build/230962818 at 2023-08-16 - - unpack-funcs - - unroll-ghc-plugin - - unsafely - - unsatisfiable - - unsequential - - unused - - uom-plugin - - Updater - - uploadcare - - upskirt - - urbit-hob # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230966459 at 2023-08-16 - - uri - - uri-conduit - - uri-encoder - - uri-parse - - uri-template - - uri-templater - - URLb - - url-bytes - - urlcheck - - urldecode - - url-decoders - - urldisp-happstack - - urlencoded # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230946607 at 2023-08-16 - - url-generic - - urn - - urn-random - - urxml - - useragents - - userid # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230959568 at 2023-08-16 - - users-persistent - - utc - - utf8-conversions - - utf8-prelude - - utf8-validator - - util - - util-logict - - util-plus - - util-primitive - - uuagc-bootstrap - - uuagc-diagrams - - uu-cco - - uuid-aeson - - uusi - - uvector - - uxadt - - vabal-lib - - vacuum - - vado # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230947987 at 2023-08-16 - - validated-types - - Validation - - validations - - validators # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230966128 at 2023-08-16 - - validity-network-uri - - valid-names - - value-supply - - vampire - - var - - varan - - variable-media-field # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230971903 at 2023-08-16 - - variables - - variadic - - variation - - vault-tool - - vcache - - vcatt - - vcf - - vcswrapper - - Vec-Boolean - - Vec-OpenGLRaw - - vect-floating - - vect-opengl - - vector-bytestring - - vector-circular # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230951925 at 2023-08-16 - - vector-clock - - vector-conduit - - vector-doublezip - - vector-endian - - vector-fftw - - vector-functorlazy - - vector-heterogenous - - vector-quicksort # dependency missing in job https://hydra.nixos.org/build/216753081 at 2023-04-20 - - vector-random - - vector-read-instances - - vector-shuffling # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230963853 at 2023-08-16 - - vector-space-map - - vector-space-opengl - - vector-space-points - - vector-static - - vega-view - - velma # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230963085 at 2023-08-16 - - Verba - - verbalexpressions - - verdict - - verify - - verilog - - verset - - versioning - - vformat - - vgrep - - vhd - - vhdl - - vicinity - - viewprof - - views - - Villefort - - vimus - - vintage-basic - - vinyl-json - - vinyl-named-sugar - - vinyl-plus - - vinyl-utils - - vinyl-vectors - - virthualenv - - visibility - - visualize-cbn - - visual-prof - - vitrea - - vk-aws-route53 - - VKHS - - vowpal-utils - - voyeur - - VRML - - vte - - vtegtk3 - - vt-utils - - vty-examples - - vty-menu - - vty-ui - - wacom-daemon - - waddle - - wai-git-http - - wai-graceful - - wai-handler-devel - - wai-handler-fastcgi - - wai-handler-scgi - - wai-handler-webkit - - wai-hmac-auth - - wai-lambda - - wai-lens - - wai-lite - - wai-logger-buffered - - wai-logger-prefork - - wai-middleware-auth - - wai-middleware-catch - - wai-middleware-crowd - - wai-middleware-delegate - - wai-middleware-etag - - wai-middleware-headers - - wai-middleware-hmac-client - - wai-middleware-preprocessor - - wai-middleware-static-caching - - wai-middleware-travisci - - wai-middleware-validation - - wai-predicates - - wai-problem-details # dependency missing in job https://hydra.nixos.org/build/219206235 at 2023-05-10 - - wai-rate-limit-postgres - - wai-rate-limit-redis - - wai-request-spec - - wai-responsible - - wai-router - - wai-routes - - wai-saml2 # test failure in job https://hydra.nixos.org/build/230969677 at 2023-08-16 - - wai-secure-cookies - - wai-session-mysql - - wai-session-postgresql - - wai-session-redis - - wai-static-cache - - waitfree - - wai-throttler - - waitra - - wakame # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230947444 at 2023-08-16 - - wallpaper - - warc - - warp-dynamic - - warp-static - - warp-systemd - - wasm - - watcher - - watchit - - wavefront - - wavefront-obj - - weak-bag - - WeakSets - - Weather - - weather-api - - web3-ipfs - - webapi - - webapp - - webauthn # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230964346 at 2023-08-16 - - WebBits - - webby # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230952008 at 2023-08-16 - - webcrank - - webcrank-dispatch - - web-css - - webdriver-angular - - webdriver-snoy - - web-encodings - - WeberLogic - - webfinger-client - - webkitgtk3 - - webkit-javascriptcore - - webmention - - web-output - - web-page - - web-plugins # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230962603 at 2023-08-16 - - web-push - - Webrexp - - web-routes-quasi - - web-routes-transformers - - webshow - - webwire - - wedged - - WEditor - - weekdaze - - weighted-regexp - - welshy - - werewolf - - wgpu-raw-hs - - Wheb - - while-lang-parser - - whim - - whiskers - - whois - - why3 - - wide-word-instances # failure building library in job https://hydra.nixos.org/build/211245524 at 2023-03-13 - - wikicfp-scraper # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230946581 at 2023-08-16 - - WikimediaParser - - wild-bind # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230951433 at 2023-08-16 - - willow - - windns - - windowslive - - window-utils # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230962777 at 2023-08-16 - - winerror - - wireguard-hs - - wires - - wiring - - witty - - wkt - - wkt-geom - - WL500gPLib - - wl-pprint-console - - wl-pprint-extras - - WMSigner - - woe - - woffex - - wol - - word24 - - word2vec-model - - word8set # failure in job https://hydra.nixos.org/build/221843616 at 2023-05-30 - - wordchoice - - wordify - - Wordlint - - wordlist # dependency missing in job https://hydra.nixos.org/build/214500004 at 2023-04-07 - - wordn - - WordNet - - WordNet-ghc74 - - wordpass - - wordsearch - - workdays - - workflow-osx - - workflow-windows - - work-time - - worldturtle - - wp-archivebot - - wreq-helper - - wreq-patchable - - wreq-sb - - writer-cps-lens - - writer-cps-monads-tf - - writer-cps-morph - - wsdl - - wsedit - - wss-client - - wtk - - wumpus-core - - wxdirect - - X - - X11-extras - - X11-rm - - X11-xdamage - - X11-xfixes - - xchat-plugin - - xcp - - x-dsp - - Xec - - xenstore - - xfconf - - xformat - - xhaskell-library - - xhb - - xilinx-lava - - xine - - xing-api - - xkbcommon - - xkcd - - xleb - - xls - - xlsior - - xlsx-tabular - - xlsx-templater - - xml2json - - xml-conduit-decode - - xml-conduit-parse - - xml-conduit-selectors - - xml-conduit-stylist # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230949082 at 2023-08-16 - - xml-html-conduit-lens - - XmlHtmlWriter - - xml-parsec - - xml-prettify - - xml-prettify-text - - xml-query # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230947454 at 2023-08-16 - - xml-query-xml-types - - xml-syntax - - xml-to-json - - xml-tydom-core - - xml-verify - - XMMS - - xmonad-bluetilebranch - - xmonad-contrib-gpl - - xmonad-entryhelper - - xmonad-eval - - xmonad-screenshot - - xmonad-vanessa - - xmonad-wallpaper - - xmonad-windownames - - Xorshift128Plus - - xorshift-plus - - xsact - - xsd - - xsha1 - - xslt - - xxhash - - y0l0bot - - yabi-muno - - yackage - - YACPong - - yahoo-finance-api - - yahoo-finance-conduit - - yahoo-prices - - yahoo-web-search - - yajl - - yak - - yall - - yam-app - - yam-config - - yaml-combinators - - yaml-config - - yamlkeysdiff - - yaml-light-lens - - yaml-pretty-extras - - YamlReference - - yaml-rpc - - yaml-union - - yaml-unscrambler # dependency missing in job https://hydra.nixos.org/build/212821989 at 2023-03-22 - - yampa2048 - - yampa-glfw - - yampa-gloss - - yampa-glut - - yampa-sdl2 - - YampaSynth - - yampa-test # dependency missing in job https://hydra.nixos.org/build/214864510 at 2023-04-07 - - yandex-translate - - yaop - - yapb - - yarn2nix - - yarr - - yate - - yaya-test - - yaya-unsafe-test - - yeller - - yeshql-hdbc - - yeshql-postgresql-simple - - yesod-angular - - yesod-angular-ui - - yesod-auth-account - - yesod-auth-account-fork - - yesod-auth-bcrypt - - yesod-auth-bcryptdb - - yesod-auth-deskcom - - yesod-auth-fb - - yesod-auth-hmac-keccak - - yesod-auth-kerberos - - yesod-auth-ldap-mediocre - - yesod-auth-ldap-native - - yesod-auth-nopassword - - yesod-auth-pam - - yesod-auth-smbclient - - yesod-auth-zendesk - - yesod-bootstrap - - yesod-comments - - yesod-content-pdf - - yesod-crud - - yesod-crud-persist - - yesod-csp - - yesod-datatables - - yesod-dsl - - yesod-fast-devel - - yesod-filter - - yesod-form-json - - yesod-form-richtext - - yesod-gitrev - - yesod-goodies - - yesod-ip - - yesod-job-queue - - yesod-katip - - yesod-links - - yesod-lucid - - yesod-middleware-csp - - yesod-paginate - - yesod-pagination - - yesod-pnotify - - yesod-pure - - yesod-raml - - yesod-recaptcha - - yesod-routes - - yesod-rst - - yesod-s3 - - yesod-sass - - yesod-static-angular - - yesod-static-remote - - yesod-static-streamly # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230966153 at 2023-08-16 - - yesod-test-json - - yesod-text-markdown - - yesod-tls - - yesod-transloadit - - yesod-vend - - YFrob - - yggdrasil - - yhccore - - yhseq - - yices - - yi-language - - yoctoparsec - - yoda - - Yogurt - - youtube - - yst - - yu-auth - - yu-core - - yuiGrid - - yu-tool - - yxdb-utils - - z3-encoding - - z85 - - zabt - - zampolit - - zbar # failure in setupCompilerEnvironmentPhase in job https://hydra.nixos.org/build/230967764 at 2023-08-16 - - Z-Data - - ZEBEDDE - - zendesk-api - - zeno - - zeolite-lang - - zephyr - - zero - - zeromq3-haskell - - zeromq4-clone-pattern - - zeromq4-conduit - - zeromq4-patterns - - zeromq-haskell - - zettelkast - - ZFS - - zifter - - zigbee-znet25 - - zip-conduit - - zipedit - - zipkin - - ziptastic-core - - zlib-bytes # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230946586 at 2023-08-16 - - zlib-lens - - ZMachine - - zmidi-score - - zmqat - - zoneinfo - - zoom - - zoom-refs - - zsdd - - zsh-battery - - zsyntax - - ztail # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/230962012 at 2023-08-16 - - ztar - - zuul - - Zwaluw - - zxcvbn-dvorak - - zydiskell - - zyre2 + - 2captcha # failure in job https://hydra.nixos.org/build/233233765 at 2023-09-02 + - 3d-graphics-examples # failure in job https://hydra.nixos.org/build/234454565 at 2023-09-13 + - 3dmodels # failure in job https://hydra.nixos.org/build/233220850 at 2023-09-02 + - AAI # failure in job https://hydra.nixos.org/build/233258828 at 2023-09-02 + - aasam # failure in job https://hydra.nixos.org/build/233216423 at 2023-09-02 + - abacate # failure in job https://hydra.nixos.org/build/233201225 at 2023-09-02 + - abcnotation # failure in job https://hydra.nixos.org/build/233204259 at 2023-09-02 + - abeson # failure in job https://hydra.nixos.org/build/233210579 at 2023-09-02 + - abides # failure in job https://hydra.nixos.org/build/233260056 at 2023-09-02 + - abnf # failure in job https://hydra.nixos.org/build/233238839 at 2023-09-02 + - AbortT-transformers # failure in job https://hydra.nixos.org/build/233210345 at 2023-09-02 + - abt # failure in job https://hydra.nixos.org/build/233201301 at 2023-09-02 + - AC-BuildPlatform # failure in job https://hydra.nixos.org/build/233219130 at 2023-09-02 + - accelerate # failure in job https://hydra.nixos.org/build/233198907 at 2023-09-02 + - accentuateus # failure in job https://hydra.nixos.org/build/233253627 at 2023-09-02 + - access-time # failure in job https://hydra.nixos.org/build/233246051 at 2023-09-02 + - accuerr # failure in job https://hydra.nixos.org/build/233220965 at 2023-09-02 + - AC-EasyRaster-GTK # failure in job https://hydra.nixos.org/build/233226232 at 2023-09-02 + - ace # failure in job https://hydra.nixos.org/build/233214870 at 2023-09-02 + - AC-HalfInteger # failure in job https://hydra.nixos.org/build/233239266 at 2023-09-02 + - achille # failure in job https://hydra.nixos.org/build/233236118 at 2023-09-02 + - acid-state-dist # failure in job https://hydra.nixos.org/build/233216067 at 2023-09-02 + - acid-state-tls # failure in job https://hydra.nixos.org/build/233211210 at 2023-09-02 + - ac-machine # failure in job https://hydra.nixos.org/build/233253535 at 2023-09-02 + - acme-all-monad # failure in job https://hydra.nixos.org/build/233197817 at 2023-09-02 + - acme-comonad # failure in job https://hydra.nixos.org/build/233249166 at 2023-09-02 + - acme-dont # failure in job https://hydra.nixos.org/build/233226392 at 2023-09-02 + - ACME # failure in job https://hydra.nixos.org/build/233229388 at 2023-09-02 + - acme-flipping-tables # failure in job https://hydra.nixos.org/build/233222456 at 2023-09-02 + - acme-hq9plus # failure in job https://hydra.nixos.org/build/233248868 at 2023-09-02 + - acme-http # failure in job https://hydra.nixos.org/build/233203112 at 2023-09-02 + - acme-inator # failure in job https://hydra.nixos.org/build/233211599 at 2023-09-02 + - acme-io # failure in job https://hydra.nixos.org/build/233224872 at 2023-09-02 + - acme-kitchen-sink # failure in job https://hydra.nixos.org/build/233222038 at 2023-09-02 + - acme-left-pad # failure in job https://hydra.nixos.org/build/233197685 at 2023-09-02 + - acme-memorandom # failure in job https://hydra.nixos.org/build/233222926 at 2023-09-02 + - acme-miscorder # failure in job https://hydra.nixos.org/build/233209957 at 2023-09-02 + - acme-mutable-package # failure in job https://hydra.nixos.org/build/233213349 at 2023-09-02 + - acme-now # failure in job https://hydra.nixos.org/build/233243289 at 2023-09-02 + - acme-numbersystem # failure in job https://hydra.nixos.org/build/233208106 at 2023-09-02 + - acme-operators # failure in job https://hydra.nixos.org/build/233248282 at 2023-09-02 + - acme-schoenfinkel # failure in job https://hydra.nixos.org/build/233231988 at 2023-09-02 + - acme-strfry # failure in job https://hydra.nixos.org/build/233242092 at 2023-09-02 + - acme-stringly-typed # failure in job https://hydra.nixos.org/build/233192651 at 2023-09-02 + - acme-this # failure in job https://hydra.nixos.org/build/233230930 at 2023-09-02 + - acme-zalgo # failure in job https://hydra.nixos.org/build/233216155 at 2023-09-02 + - acme-zero # failure in job https://hydra.nixos.org/build/233192937 at 2023-09-02 + - AC-MiniTest # failure in job https://hydra.nixos.org/build/233216015 at 2023-09-02 + - acousticbrainz-client # failure in job https://hydra.nixos.org/build/233192638 at 2023-09-02 + - AC-Terminal # failure in job https://hydra.nixos.org/build/233192747 at 2023-09-02 + - ActionKid # failure in job https://hydra.nixos.org/build/234443624 at 2023-09-13 + - activehs-base # failure in job https://hydra.nixos.org/build/233254736 at 2023-09-02 + - activitypub # failure in job https://hydra.nixos.org/build/233253119 at 2023-09-02 + - activitystreams-aeson # failure in job https://hydra.nixos.org/build/233222522 at 2023-09-02 + - AC-VanillaArray # failure in job https://hydra.nixos.org/build/233216801 at 2023-09-02 + - AC-Vector # failure in job https://hydra.nixos.org/build/233201957 at 2023-09-02 + - Adaptive-Blaisorblade # failure in job https://hydra.nixos.org/build/233229679 at 2023-09-02 + - adaptive-containers # failure in job https://hydra.nixos.org/build/233243181 at 2023-09-02 + - Adaptive # failure in job https://hydra.nixos.org/build/233217421 at 2023-09-02 + - adaptive-tuple # failure in job https://hydra.nixos.org/build/233244881 at 2023-09-02 + - adb # failure in job https://hydra.nixos.org/build/233193888 at 2023-09-02 + - addy # failure in job https://hydra.nixos.org/build/233240594 at 2023-09-02 + - adjunction # failure in job https://hydra.nixos.org/build/233237774 at 2023-09-02 + - adobe-swatch-exchange # failure in job https://hydra.nixos.org/build/233255779 at 2023-09-02 + - adp-multi # failure in job https://hydra.nixos.org/build/233256331 at 2023-09-02 + - adtrees # failure in job https://hydra.nixos.org/build/233192320 at 2023-09-02 + - AERN-Basics # failure in job https://hydra.nixos.org/build/233246999 at 2023-09-02 + - aeson-applicative # failure in job https://hydra.nixos.org/build/233213824 at 2023-09-02 + - aeson-bson # failure in job https://hydra.nixos.org/build/233201964 at 2023-09-02 + - aeson-commit # failure in job https://hydra.nixos.org/build/233198515 at 2023-09-02 + - aeson-compat # failure in job https://hydra.nixos.org/build/233208257 at 2023-09-02 + - aeson-decode # failure in job https://hydra.nixos.org/build/233251197 at 2023-09-02 + - aeson-default # failure in job https://hydra.nixos.org/build/233203427 at 2023-09-02 + - aeson-dependent-sum # failure in job https://hydra.nixos.org/build/233223384 at 2023-09-02 + - aeson-deriving # failure in job https://hydra.nixos.org/build/233247857 at 2023-09-02 + - aeson-diff-generic # failure in job https://hydra.nixos.org/build/233213146 at 2023-09-02 + - aeson-filthy # failure in job https://hydra.nixos.org/build/233198472 at 2023-09-02 + - aeson-flat # failure in job https://hydra.nixos.org/build/233220787 at 2023-09-02 + - aeson-flatten # failure in job https://hydra.nixos.org/build/233242954 at 2023-09-02 + - aeson-flowtyped # failure in job https://hydra.nixos.org/build/233245878 at 2023-09-02 + - aeson-gadt-th # failure in job https://hydra.nixos.org/build/233247060 at 2023-09-02 + - aeson-injector # failure in job https://hydra.nixos.org/build/233200351 at 2023-09-02 + - aeson-json-ast # failure in job https://hydra.nixos.org/build/233249406 at 2023-09-02 + - aeson-lens # failure in job https://hydra.nixos.org/build/233235357 at 2023-09-02 + - aeson-modern-tojson # failure in job https://hydra.nixos.org/build/233202149 at 2023-09-02 + - aeson-options # failure in job https://hydra.nixos.org/build/233245051 at 2023-09-02 + - aeson-parsec-picky # failure in job https://hydra.nixos.org/build/233222117 at 2023-09-02 + - aeson-prefix # failure in job https://hydra.nixos.org/build/233215656 at 2023-09-02 + - aeson-schema # failure in job https://hydra.nixos.org/build/233229960 at 2023-09-02 + - aeson-single-field # failure in job https://hydra.nixos.org/build/233247758 at 2023-09-02 + - aeson-smart # failure in job https://hydra.nixos.org/build/233197032 at 2023-09-02 + - aeson-streams # failure in job https://hydra.nixos.org/build/233191057 at 2023-09-02 + - aeson-t # failure in job https://hydra.nixos.org/build/233243634 at 2023-09-02 + - aeson-toolkit # failure in job https://hydra.nixos.org/build/233248208 at 2023-09-02 + - aeson-utils # failure in job https://hydra.nixos.org/build/233238768 at 2023-09-02 + - aeson-with # failure in job https://hydra.nixos.org/build/233206342 at 2023-09-02 + - affection # failure in job https://hydra.nixos.org/build/233213076 at 2023-09-02 + - affine-invariant-ensemble-mcmc # failure in job https://hydra.nixos.org/build/233237176 at 2023-09-02 + - Agata # failure in job https://hydra.nixos.org/build/233221026 at 2023-09-02 + - Agda-executable # failure in job https://hydra.nixos.org/build/233332629 at 2023-09-02 + - agda-language-server # failure in job https://hydra.nixos.org/build/233332694 at 2023-09-02 + - agda-snippets # failure in job https://hydra.nixos.org/build/233332749 at 2023-09-02 + - agda-unused # failure in job https://hydra.nixos.org/build/233332657 at 2023-09-02 + - AGI # failure in job https://hydra.nixos.org/build/233211491 at 2023-09-02 + - ag-pictgen # failure in job https://hydra.nixos.org/build/233252615 at 2023-09-02 + - AhoCorasick # failure in job https://hydra.nixos.org/build/233204899 at 2023-09-02 + - aig # failure in job https://hydra.nixos.org/build/233199755 at 2023-09-02 + - airbrake # failure in job https://hydra.nixos.org/build/233199319 at 2023-09-02 + - air-extra # failure in job https://hydra.nixos.org/build/233250519 at 2023-09-02 + - airship # failure in job https://hydra.nixos.org/build/233239011 at 2023-09-02 + - airtable-api # failure in job https://hydra.nixos.org/build/233228482 at 2023-09-02 + - air-th # failure in job https://hydra.nixos.org/build/233228206 at 2023-09-02 + - aivika-gpss # failure in job https://hydra.nixos.org/build/233254603 at 2023-09-02 + - ajhc # failure in job https://hydra.nixos.org/build/233197894 at 2023-09-02 + - AlanDeniseEricLauren # failure in job https://hydra.nixos.org/build/233235486 at 2023-09-02 + - alerta # failure in job https://hydra.nixos.org/build/233203379 at 2023-09-02 + - alerts # failure in job https://hydra.nixos.org/build/233251101 at 2023-09-02 + - alex-prelude # failure in job https://hydra.nixos.org/build/233238387 at 2023-09-02 + - alfred # failure in job https://hydra.nixos.org/build/233227316 at 2023-09-02 + - alfred-margaret # failure in job https://hydra.nixos.org/build/233223038 at 2023-09-02 + - alga # failure in job https://hydra.nixos.org/build/233252723 at 2023-09-02 + - algebra-dag # failure in job https://hydra.nixos.org/build/233191945 at 2023-09-02 + - algebraic-classes # failure in job https://hydra.nixos.org/build/233246872 at 2023-09-02 + - algebraic-prelude # failure in job https://hydra.nixos.org/build/233197561 at 2023-09-02 + - AlgorithmW # failure in job https://hydra.nixos.org/build/233245254 at 2023-09-02 + - algo-s # failure in job https://hydra.nixos.org/build/233221988 at 2023-09-02 + - align-affine # failure in job https://hydra.nixos.org/build/233230079 at 2023-09-02 + - align-text # failure in job https://hydra.nixos.org/build/233215779 at 2023-09-02 + - ally-invest # failure in job https://hydra.nixos.org/build/233236224 at 2023-09-02 + - alpaca-netcode # failure in job https://hydra.nixos.org/build/233239392 at 2023-09-02 + - alpino-tools # failure in job https://hydra.nixos.org/build/233236168 at 2023-09-02 + - alsa # failure in job https://hydra.nixos.org/build/233250059 at 2023-09-02 + - alsa-midi # failure in job https://hydra.nixos.org/build/233245836 at 2023-09-02 + - altcomposition # failure in job https://hydra.nixos.org/build/233203544 at 2023-09-02 + - alternative-extra # failure in job https://hydra.nixos.org/build/233222901 at 2023-09-02 + - alternative-io # failure in job https://hydra.nixos.org/build/233259524 at 2023-09-02 + - altfloat # failure in job https://hydra.nixos.org/build/233197874 at 2023-09-02 + - alure # failure in job https://hydra.nixos.org/build/233230238 at 2023-09-02 + - amazon-emailer # failure in job https://hydra.nixos.org/build/233220018 at 2023-09-02 + - amazonka # failure in job https://hydra.nixos.org/build/233220743 at 2023-09-02 + - amazonka-iam-policy # failure in job https://hydra.nixos.org/build/233233098 at 2023-09-02 + - amazon-products # failure in job https://hydra.nixos.org/build/233193877 at 2023-09-02 + - AMI # failure in job https://hydra.nixos.org/build/233232505 at 2023-09-02 + - amqp-conduit # failure in job https://hydra.nixos.org/build/233228080 at 2023-09-02 + - analyze # failure in job https://hydra.nixos.org/build/233251441 at 2023-09-02 + - anansi-pandoc # failure in job https://hydra.nixos.org/build/233252389 at 2023-09-02 + - android-activity # failure in job https://hydra.nixos.org/build/233203400 at 2023-09-02 + - android # failure in job https://hydra.nixos.org/build/233222148 at 2023-09-02 + - android-lint-summary # failure in job https://hydra.nixos.org/build/233194598 at 2023-09-02 + - angel # failure in job https://hydra.nixos.org/build/233191548 at 2023-09-02 + - angle # failure in job https://hydra.nixos.org/build/233203144 at 2023-09-02 + - animalcase # failure in job https://hydra.nixos.org/build/233191888 at 2023-09-02 + - animascii # failure in job https://hydra.nixos.org/build/233211290 at 2023-09-02 + - Animas # failure in job https://hydra.nixos.org/build/233256636 at 2023-09-02 + - animate # failure in job https://hydra.nixos.org/build/233243661 at 2023-09-02 + - anki-tools # failure in job https://hydra.nixos.org/build/233205129 at 2023-09-02 + - annotated-fix # failure in job https://hydra.nixos.org/build/233241215 at 2023-09-02 + - anonymous-sums # failure in job https://hydra.nixos.org/build/233222773 at 2023-09-02 + - ansigraph # failure in job https://hydra.nixos.org/build/233227928 at 2023-09-02 + - ansi-pretty # failure in job https://hydra.nixos.org/build/233204335 at 2023-09-02 + - antfarm # failure in job https://hydra.nixos.org/build/233225661 at 2023-09-02 + - antigate # failure in job https://hydra.nixos.org/build/233194081 at 2023-09-02 + - antimirov # failure in job https://hydra.nixos.org/build/233210987 at 2023-09-02 + - antiope-swf # failure in job https://hydra.nixos.org/build/233206369 at 2023-09-02 + - antiquoter # failure in job https://hydra.nixos.org/build/233221962 at 2023-09-02 + - antisplice # failure in job https://hydra.nixos.org/build/233238144 at 2023-09-02 + - antlr-haskell # failure in job https://hydra.nixos.org/build/233208196 at 2023-09-02 + - anydbm # failure in job https://hydra.nixos.org/build/233195447 at 2023-09-02 + - Aoide # failure in job https://hydra.nixos.org/build/233239286 at 2023-09-02 + - aosd # failure in job https://hydra.nixos.org/build/233207331 at 2023-09-02 + - apache-md5 # failure in job https://hydra.nixos.org/build/233193946 at 2023-09-02 + - apart # failure in job https://hydra.nixos.org/build/233219668 at 2023-09-02 + - apecs-physics # failure in job https://hydra.nixos.org/build/233212565 at 2023-09-02 + - api-builder # failure in job https://hydra.nixos.org/build/233205755 at 2023-09-02 + - api-rpc-factom # failure in job https://hydra.nixos.org/build/233198474 at 2023-09-02 + - apns-http2 # failure in job https://hydra.nixos.org/build/233248620 at 2023-09-02 + - appc # failure in job https://hydra.nixos.org/build/233200853 at 2023-09-02 + - appendful-persistent # failure in job https://hydra.nixos.org/build/233249677 at 2023-09-02 + - app-lens # failure in job https://hydra.nixos.org/build/233193948 at 2023-09-02 + - AppleScript # failure in job https://hydra.nixos.org/build/233231626 at 2023-09-02 + - applicative-fail # failure in job https://hydra.nixos.org/build/233237624 at 2023-09-02 + - applicative-parsec # failure in job https://hydra.nixos.org/build/233221615 at 2023-09-02 + - applicative-quoters # failure in job https://hydra.nixos.org/build/233191023 at 2023-09-02 + - applicative-splice # failure in job https://hydra.nixos.org/build/233202183 at 2023-09-02 + - apply-unordered # failure in job https://hydra.nixos.org/build/233238590 at 2023-09-02 + - approveapi # failure in job https://hydra.nixos.org/build/233197597 at 2023-09-02 + - approx # failure in job https://hydra.nixos.org/build/233236548 at 2023-09-02 + - ApproxFun-hs # failure in job https://hydra.nixos.org/build/233247678 at 2023-09-02 + - arbb-vm # failure in job https://hydra.nixos.org/build/233252062 at 2023-09-02 + - arb-fft # failure in job https://hydra.nixos.org/build/233201190 at 2023-09-02 + - arbor-lru-cache # failure in job https://hydra.nixos.org/build/233204554 at 2023-09-02 + - arbor-monad-counter # failure in job https://hydra.nixos.org/build/233220436 at 2023-09-02 + - arbor-monad-logger # failure in job https://hydra.nixos.org/build/233228659 at 2023-09-02 + - arbor-monad-metric # failure in job https://hydra.nixos.org/build/233236175 at 2023-09-02 + - arbor-postgres # failure in job https://hydra.nixos.org/build/233232935 at 2023-09-02 + - arch-hs # failure in job https://hydra.nixos.org/build/233225768 at 2023-09-02 + - archiver # failure in job https://hydra.nixos.org/build/233245795 at 2023-09-02 + - archlinux # failure in job https://hydra.nixos.org/build/233202430 at 2023-09-02 + - archnews # failure in job https://hydra.nixos.org/build/233192480 at 2023-09-02 + - arena # failure in job https://hydra.nixos.org/build/233252500 at 2023-09-02 + - argo # failure in job https://hydra.nixos.org/build/233216252 at 2023-09-02 + - argon2 # failure in job https://hydra.nixos.org/build/233207704 at 2023-09-02 + - argparser # failure in job https://hydra.nixos.org/build/233222416 at 2023-09-02 + - arguedit # failure in job https://hydra.nixos.org/build/233211367 at 2023-09-02 + - arion # failure in job https://hydra.nixos.org/build/233254120 at 2023-09-02 + - armada # failure in job https://hydra.nixos.org/build/234462335 at 2023-09-13 + - armor # failure in job https://hydra.nixos.org/build/233241366 at 2023-09-02 + - arpack # failure in job https://hydra.nixos.org/build/233240937 at 2023-09-02 + - arpa # failure in job https://hydra.nixos.org/build/233200212 at 2023-09-02 + - arrayfire # failure in job https://hydra.nixos.org/build/233225004 at 2023-09-02 + - array-list # failure in job https://hydra.nixos.org/build/233197669 at 2023-09-02 + - array-primops # failure in job https://hydra.nixos.org/build/233191559 at 2023-09-02 + - ArrayRef # failure in job https://hydra.nixos.org/build/233196329 at 2023-09-02 + - arrowapply-utils # failure in job https://hydra.nixos.org/build/233192063 at 2023-09-02 + - arrow-improve # failure in job https://hydra.nixos.org/build/233239994 at 2023-09-02 + - arrow-list # failure in job https://hydra.nixos.org/build/233249150 at 2023-09-02 + - arrowp # failure in job https://hydra.nixos.org/build/233255376 at 2023-09-02 + - arrowp-qq # failure in job https://hydra.nixos.org/build/233251384 at 2023-09-02 + - ArrowVHDL # failure in job https://hydra.nixos.org/build/233206149 at 2023-09-02 + - artery # failure in job https://hydra.nixos.org/build/233206830 at 2023-09-02 + - artifact # failure in job https://hydra.nixos.org/build/233233300 at 2023-09-02 + - asap # failure in job https://hydra.nixos.org/build/233214968 at 2023-09-02 + - ascii85-conduit # failure in job https://hydra.nixos.org/build/233235427 at 2023-09-02 + - asciidiagram # failure in job https://hydra.nixos.org/build/233259020 at 2023-09-02 + - ascii-flatten # failure in job https://hydra.nixos.org/build/233229168 at 2023-09-02 + - ascii-string # failure in job https://hydra.nixos.org/build/233249978 at 2023-09-02 + - ascii-vector-avc # failure in job https://hydra.nixos.org/build/233208533 at 2023-09-02 + - asif # failure in job https://hydra.nixos.org/build/233251551 at 2023-09-02 + - asil # failure in job https://hydra.nixos.org/build/233204081 at 2023-09-02 + - asn1-ber-syntax # failure in job https://hydra.nixos.org/build/233235772 at 2023-09-02 + - asn1-codec # failure in job https://hydra.nixos.org/build/233217242 at 2023-09-02 + - asn1-data # failure in job https://hydra.nixos.org/build/233252918 at 2023-09-02 + - AspectAG # failure in job https://hydra.nixos.org/build/233231125 at 2023-09-02 + - assert # failure in job https://hydra.nixos.org/build/233257692 at 2023-09-02 + - assertions # failure in job https://hydra.nixos.org/build/233215277 at 2023-09-02 + - asset-map # failure in job https://hydra.nixos.org/build/233218566 at 2023-09-02 + - assoc-list # failure in job https://hydra.nixos.org/build/233224148 at 2023-09-02 + - assoc-listlike # failure in job https://hydra.nixos.org/build/233200483 at 2023-09-02 + - assumpta # failure in job https://hydra.nixos.org/build/233245580 at 2023-09-02 + - ast-monad # failure in job https://hydra.nixos.org/build/233192822 at 2023-09-02 + - astrds # failure in job https://hydra.nixos.org/build/233220701 at 2023-09-02 + - astro # failure in job https://hydra.nixos.org/build/233243443 at 2023-09-02 + - async-combinators # failure in job https://hydra.nixos.org/build/233198111 at 2023-09-02 + - async-dejafu # failure in job https://hydra.nixos.org/build/233254234 at 2023-09-02 + - asynchronous-exceptions # failure in job https://hydra.nixos.org/build/233218419 at 2023-09-02 + - async-manager # failure in job https://hydra.nixos.org/build/233246552 at 2023-09-02 + - async-timer # failure in job https://hydra.nixos.org/build/233200611 at 2023-09-02 + - aterm # failure in job https://hydra.nixos.org/build/233226675 at 2023-09-02 + - atlassian-connect-descriptor # failure in job https://hydra.nixos.org/build/233249503 at 2023-09-02 + - atndapi # failure in job https://hydra.nixos.org/build/233223849 at 2023-09-02 + - atom # failure in job https://hydra.nixos.org/build/233193561 at 2023-09-02 + - atomic-modify # failure in job https://hydra.nixos.org/build/233220400 at 2023-09-02 + - atomic-primops-vector # failure in job https://hydra.nixos.org/build/233228512 at 2023-09-02 + - atomo # failure in job https://hydra.nixos.org/build/233257502 at 2023-09-02 + - atp-haskell # failure in job https://hydra.nixos.org/build/233202077 at 2023-09-02 + - ats-format # failure in job https://hydra.nixos.org/build/233254532 at 2023-09-02 + - ats-pkg # failure in job https://hydra.nixos.org/build/233232354 at 2023-09-02 + - ats-setup # failure in job https://hydra.nixos.org/build/233240435 at 2023-09-02 + - ats-storable # failure in job https://hydra.nixos.org/build/233242765 at 2023-09-02 + - attempt # failure in job https://hydra.nixos.org/build/233233073 at 2023-09-02 + - attic-schedule # failure in job https://hydra.nixos.org/build/233249781 at 2023-09-02 + - AttoBencode # failure in job https://hydra.nixos.org/build/233198079 at 2023-09-02 + - atto-lisp # failure in job https://hydra.nixos.org/build/233222679 at 2023-09-02 + - attomail # failure in job https://hydra.nixos.org/build/233225937 at 2023-09-02 + - attoparsec-csv # failure in job https://hydra.nixos.org/build/233245402 at 2023-09-02 + - attoparsec-text # failure in job https://hydra.nixos.org/build/233227820 at 2023-09-02 + - attoparsec-trans # failure in job https://hydra.nixos.org/build/233203168 at 2023-09-02 + - attoparsec-varword # failure in job https://hydra.nixos.org/build/233234240 at 2023-09-02 + - attosplit # failure in job https://hydra.nixos.org/build/233203118 at 2023-09-02 + - Attrac # failure in job https://hydra.nixos.org/build/234459267 at 2023-09-13 + - augeas # failure in job https://hydra.nixos.org/build/233228677 at 2023-09-02 + - augur # failure in job https://hydra.nixos.org/build/233223910 at 2023-09-02 + - aur-api # failure in job https://hydra.nixos.org/build/233237328 at 2023-09-02 + - aur # failure in job https://hydra.nixos.org/build/233239429 at 2023-09-02 + - Aurochs # failure in job https://hydra.nixos.org/build/233244773 at 2023-09-02 + - authenticate-ldap # failure in job https://hydra.nixos.org/build/233216602 at 2023-09-02 + - authinfo-hs # failure in job https://hydra.nixos.org/build/233224767 at 2023-09-02 + - auto # failure in job https://hydra.nixos.org/build/233211088 at 2023-09-02 + - autom # failure in job https://hydra.nixos.org/build/234461198 at 2023-09-13 + - autonix-deps # failure in job https://hydra.nixos.org/build/233258269 at 2023-09-02 + - autopack # failure in job https://hydra.nixos.org/build/233215025 at 2023-09-02 + - avatar-generator # failure in job https://hydra.nixos.org/build/233214253 at 2023-09-02 + - aviation-units # failure in job https://hydra.nixos.org/build/233245762 at 2023-09-02 + - avl-static # failure in job https://hydra.nixos.org/build/233199062 at 2023-09-02 + - avro-piper # failure in job https://hydra.nixos.org/build/233197510 at 2023-09-02 + - avr-shake # failure in job https://hydra.nixos.org/build/233223187 at 2023-09-02 + - avwx # failure in job https://hydra.nixos.org/build/233258167 at 2023-09-02 + - awesome-prelude # failure in job https://hydra.nixos.org/build/233232761 at 2023-09-02 + - awesomium-raw # failure in job https://hydra.nixos.org/build/233241036 at 2023-09-02 + - aws-cloudfront-signer # failure in job https://hydra.nixos.org/build/233194723 at 2023-09-02 + - aws-ec2 # failure in job https://hydra.nixos.org/build/233201556 at 2023-09-02 + - aws-ec2-knownhosts # failure in job https://hydra.nixos.org/build/233237078 at 2023-09-02 + - aws-general # failure in job https://hydra.nixos.org/build/233211106 at 2023-09-02 + - aws-lambda-runtime # failure in job https://hydra.nixos.org/build/233195123 at 2023-09-02 + - aws-larpi # failure in job https://hydra.nixos.org/build/233246059 at 2023-09-02 + - aws-performance-tests # failure in job https://hydra.nixos.org/build/233259271 at 2023-09-02 + - aws-route53 # failure in job https://hydra.nixos.org/build/233218200 at 2023-09-02 + - aws-sdk-text-converter # failure in job https://hydra.nixos.org/build/233237525 at 2023-09-02 + - azubi # failure in job https://hydra.nixos.org/build/233258480 at 2023-09-02 + - azure-acs # failure in job https://hydra.nixos.org/build/233252578 at 2023-09-02 + - azure-email # failure in job https://hydra.nixos.org/build/233255535 at 2023-09-02 + - azurify # failure in job https://hydra.nixos.org/build/233239263 at 2023-09-02 + - babl # failure in job https://hydra.nixos.org/build/233204665 at 2023-09-02 + - backstop # failure in job https://hydra.nixos.org/build/233223957 at 2023-09-02 + - backtracking-exceptions # failure in job https://hydra.nixos.org/build/233233211 at 2023-09-02 + - backward-state # failure in job https://hydra.nixos.org/build/233196922 at 2023-09-02 + - bag # failure in job https://hydra.nixos.org/build/233250281 at 2023-09-02 + - Baggins # failure in job https://hydra.nixos.org/build/233192786 at 2023-09-02 + - bake # failure in job https://hydra.nixos.org/build/233211889 at 2023-09-02 + - Bang # failure in job https://hydra.nixos.org/build/233226846 at 2023-09-02 + - banwords # failure in job https://hydra.nixos.org/build/233229703 at 2023-09-02 + - barbies-th # failure in job https://hydra.nixos.org/build/233251598 at 2023-09-02 + - barchart # failure in job https://hydra.nixos.org/build/233216957 at 2023-09-02 + - barcodes-code128 # failure in job https://hydra.nixos.org/build/233227808 at 2023-09-02 + - barecheck # failure in job https://hydra.nixos.org/build/233231208 at 2023-09-02 + - barrie # failure in job https://hydra.nixos.org/build/233220267 at 2023-09-02 + - barrier # failure in job https://hydra.nixos.org/build/233229384 at 2023-09-02 + - barrier-monad # failure in job https://hydra.nixos.org/build/233215823 at 2023-09-02 + - base16-lens # failure in job https://hydra.nixos.org/build/233229864 at 2023-09-02 + - base32-lens # failure in job https://hydra.nixos.org/build/233226670 at 2023-09-02 + - base58address # failure in job https://hydra.nixos.org/build/233221633 at 2023-09-02 + - base62 # failure in job https://hydra.nixos.org/build/233250040 at 2023-09-02 + - base64-conduit # failure in job https://hydra.nixos.org/build/233197196 at 2023-09-02 + - base64-lens # failure in job https://hydra.nixos.org/build/233252600 at 2023-09-02 + - base-compat-migrate # failure in job https://hydra.nixos.org/build/233208966 at 2023-09-02 + - based # failure in job https://hydra.nixos.org/build/233211900 at 2023-09-02 + - base-encoding # failure in job https://hydra.nixos.org/build/233232516 at 2023-09-02 + - base-feature-macros # failure in job https://hydra.nixos.org/build/233212558 at 2023-09-02 + - base-generics # failure in job https://hydra.nixos.org/build/233198530 at 2023-09-02 + - base-io-access # failure in job https://hydra.nixos.org/build/233249224 at 2023-09-02 + - basement-cd # failure in job https://hydra.nixos.org/build/233191991 at 2023-09-02 + - basen # failure in job https://hydra.nixos.org/build/233210680 at 2023-09-02 + - basex-client # failure in job https://hydra.nixos.org/build/233214592 at 2023-09-02 + - basic-sop # failure in job https://hydra.nixos.org/build/233253357 at 2023-09-02 + - baskell # failure in job https://hydra.nixos.org/build/233246705 at 2023-09-02 + - battlenet # failure in job https://hydra.nixos.org/build/233260076 at 2023-09-02 + - battleplace # failure in job https://hydra.nixos.org/build/233230199 at 2023-09-02 + - bazel-coverage-report-renderer # failure in job https://hydra.nixos.org/build/233243746 at 2023-09-02 + - BCMtools # failure in job https://hydra.nixos.org/build/233250221 at 2023-09-02 + - bdd # failure in job https://hydra.nixos.org/build/233248150 at 2023-09-02 + - bdelta # failure in job https://hydra.nixos.org/build/233214765 at 2023-09-02 + - bdo # failure in job https://hydra.nixos.org/build/233216486 at 2023-09-02 + - beamable # failure in job https://hydra.nixos.org/build/233211619 at 2023-09-02 + - beam # failure in job https://hydra.nixos.org/build/233213313 at 2023-09-02 + - beam-mysql # failure in job https://hydra.nixos.org/build/233253237 at 2023-09-02 + - beam-newtype-field # failure in job https://hydra.nixos.org/build/233206317 at 2023-09-02 + - bech32 # failure in job https://hydra.nixos.org/build/233194823 at 2023-09-02 + - bed-and-breakfast # failure in job https://hydra.nixos.org/build/233221152 at 2023-09-02 + - Befunge93 # failure in job https://hydra.nixos.org/build/233226751 at 2023-09-02 + - bench-graph # failure in job https://hydra.nixos.org/build/233239830 at 2023-09-02 + - BenchmarkHistory # failure in job https://hydra.nixos.org/build/233213179 at 2023-09-02 + - bench-show # failure in job https://hydra.nixos.org/build/233245858 at 2023-09-02 + - bencodex # failure in job https://hydra.nixos.org/build/233203593 at 2023-09-02 + - bencoding-lens # failure in job https://hydra.nixos.org/build/233236760 at 2023-09-02 + - berkeleydb # failure in job https://hydra.nixos.org/build/233216507 at 2023-09-02 + - BerkeleyDBXML # failure in job https://hydra.nixos.org/build/233216219 at 2023-09-02 + - berp # failure in job https://hydra.nixos.org/build/233243070 at 2023-09-02 + - bert # failure in job https://hydra.nixos.org/build/233195424 at 2023-09-02 + - besout # failure in job https://hydra.nixos.org/build/233194433 at 2023-09-02 + - betacode # failure in job https://hydra.nixos.org/build/233193221 at 2023-09-02 + - bet # failure in job https://hydra.nixos.org/build/233205655 at 2023-09-02 + - betris # failure in job https://hydra.nixos.org/build/233200110 at 2023-09-02 + - bgmax # failure in job https://hydra.nixos.org/build/233222709 at 2023-09-02 + - bgzf # failure in job https://hydra.nixos.org/build/233224580 at 2023-09-02 + - bibdb # failure in job https://hydra.nixos.org/build/233210682 at 2023-09-02 + - bidi-icu # failure in job https://hydra.nixos.org/build/233257520 at 2023-09-02 + - bidirectional # failure in job https://hydra.nixos.org/build/233239780 at 2023-09-02 + - bidirectional-instances # failure in job https://hydra.nixos.org/build/233244611 at 2023-09-02 + - bidirectionalization-combined # failure in job https://hydra.nixos.org/build/233206201 at 2023-09-02 + - bidispec-extras # failure in job https://hydra.nixos.org/build/233235808 at 2023-09-02 + - bidispec # failure in job https://hydra.nixos.org/build/233199029 at 2023-09-02 + - BiGUL # failure in job https://hydra.nixos.org/build/233258614 at 2023-09-02 + - billeksah-services # failure in job https://hydra.nixos.org/build/233195677 at 2023-09-02 + - binary-bits # failure in job https://hydra.nixos.org/build/233199968 at 2023-09-02 + - binary-communicator # failure in job https://hydra.nixos.org/build/233248642 at 2023-09-02 + - binary-derive # failure in job https://hydra.nixos.org/build/233201950 at 2023-09-02 + - binary-ext # failure in job https://hydra.nixos.org/build/233247463 at 2023-09-02 + - binary-indexed-tree # failure in job https://hydra.nixos.org/build/233204069 at 2023-09-02 + - binary-parsers # failure in job https://hydra.nixos.org/build/233194579 at 2023-09-02 + - binary-protocol # failure in job https://hydra.nixos.org/build/233206098 at 2023-09-02 + - binary-strict # failure in job https://hydra.nixos.org/build/233231473 at 2023-09-02 + - binary-tree # failure in job https://hydra.nixos.org/build/233211047 at 2023-09-02 + - binary-typed # failure in job https://hydra.nixos.org/build/233222741 at 2023-09-02 + - BinderAnn # failure in job https://hydra.nixos.org/build/233197117 at 2023-09-02 + - binding-core # failure in job https://hydra.nixos.org/build/233223706 at 2023-09-02 + - bindings-apr # failure in job https://hydra.nixos.org/build/233212499 at 2023-09-02 + - bindings-bfd # failure in job https://hydra.nixos.org/build/233210763 at 2023-09-02 + - bindings-cctools # failure in job https://hydra.nixos.org/build/233216939 at 2023-09-02 + - bindings-common # failure in job https://hydra.nixos.org/build/233217363 at 2023-09-02 + - bindings-dc1394 # failure in job https://hydra.nixos.org/build/233200022 at 2023-09-02 + - bindings-eskit # failure in job https://hydra.nixos.org/build/233223517 at 2023-09-02 + - bindings-EsounD # failure in job https://hydra.nixos.org/build/233245565 at 2023-09-02 + - bindings-fann # failure in job https://hydra.nixos.org/build/233232900 at 2023-09-02 + - bindings-fluidsynth # failure in job https://hydra.nixos.org/build/233221612 at 2023-09-02 + - bindings-friso # failure in job https://hydra.nixos.org/build/233201584 at 2023-09-02 + - bindings-gsl # failure in job https://hydra.nixos.org/build/233243360 at 2023-09-02 + - bindings-hamlib # failure in job https://hydra.nixos.org/build/233259986 at 2023-09-02 + - bindings-hdf5 # failure in job https://hydra.nixos.org/build/233215409 at 2023-09-02 + - bindings-K8055 # failure in job https://hydra.nixos.org/build/233192986 at 2023-09-02 + - bindings-libftdi # failure in job https://hydra.nixos.org/build/233256908 at 2023-09-02 + - bindings-libg15 # failure in job https://hydra.nixos.org/build/233207984 at 2023-09-02 + - bindings-libpci # failure in job https://hydra.nixos.org/build/233200095 at 2023-09-02 + - bindings-librrd # failure in job https://hydra.nixos.org/build/233207396 at 2023-09-02 + - bindings-libstemmer # failure in job https://hydra.nixos.org/build/233199518 at 2023-09-02 + - bindings-libusb # failure in job https://hydra.nixos.org/build/233224303 at 2023-09-02 + - bindings-libv4l2 # failure in job https://hydra.nixos.org/build/233236316 at 2023-09-02 + - bindings-monetdb-mapi # failure in job https://hydra.nixos.org/build/233219584 at 2023-09-02 + - bindings-mpdecimal # failure in job https://hydra.nixos.org/build/233235379 at 2023-09-02 + - bindings-sc3 # failure in job https://hydra.nixos.org/build/233198459 at 2023-09-02 + - bindings-sipc # failure in job https://hydra.nixos.org/build/233219411 at 2023-09-02 + - bindings-wlc # failure in job https://hydra.nixos.org/build/233332720 at 2023-09-02 + - bind-marshal # failure in job https://hydra.nixos.org/build/233196758 at 2023-09-02 + - binembed # failure in job https://hydra.nixos.org/build/233219100 at 2023-09-02 + - binrep # failure in job https://hydra.nixos.org/build/233208877 at 2023-09-02 + - binsm # failure in job https://hydra.nixos.org/build/233232355 at 2023-09-02 + - biocore # failure in job https://hydra.nixos.org/build/233229466 at 2023-09-02 + - bio # failure in job https://hydra.nixos.org/build/233225273 at 2023-09-02 + - biohazard # failure in job https://hydra.nixos.org/build/233249284 at 2023-09-02 + - bio-sequence # failure in job https://hydra.nixos.org/build/233236140 at 2023-09-02 + - biscuit-haskell # failure in job https://hydra.nixos.org/build/233241833 at 2023-09-02 + - bisect-binary # failure in job https://hydra.nixos.org/build/233190746 at 2023-09-02 + - bitcoind-rpc # failure in job https://hydra.nixos.org/build/233204068 at 2023-09-02 + - bitcoin-hs # failure in job https://hydra.nixos.org/build/233251583 at 2023-09-02 + - bitcoin-keys # failure in job https://hydra.nixos.org/build/233215632 at 2023-09-02 + - bitcoin-rpc # failure in job https://hydra.nixos.org/build/233209694 at 2023-09-02 + - bitcoin-script # failure in job https://hydra.nixos.org/build/233201469 at 2023-09-02 + - bitfield # failure in job https://hydra.nixos.org/build/233235414 at 2023-09-02 + - bits-atomic # failure in job https://hydra.nixos.org/build/233236099 at 2023-09-02 + - bits-conduit # failure in job https://hydra.nixos.org/build/233236564 at 2023-09-02 + - bitset # failure in job https://hydra.nixos.org/build/233218622 at 2023-09-02 + - bits-extras # failure in job https://hydra.nixos.org/build/233217492 at 2023-09-02 + - bitspeak # failure in job https://hydra.nixos.org/build/233219582 at 2023-09-02 + - bit-stream # failure in job https://hydra.nixos.org/build/233230353 at 2023-09-02 + - bitstream # failure in job https://hydra.nixos.org/build/233240888 at 2023-09-02 + - BitStringRandomMonad # failure in job https://hydra.nixos.org/build/233203519 at 2023-09-02 + - BitSyntax # failure in job https://hydra.nixos.org/build/233211551 at 2023-09-02 + - bitx-bitcoin # failure in job https://hydra.nixos.org/build/233215594 at 2023-09-02 + - bizzlelude # failure in job https://hydra.nixos.org/build/233255574 at 2023-09-02 + - bizzlelude-js # failure in job https://hydra.nixos.org/build/233224267 at 2023-09-02 + - bkr # failure in job https://hydra.nixos.org/build/233246377 at 2023-09-02 + - blagda # failure in job https://hydra.nixos.org/build/233332725 at 2023-09-02 + - blakesum # failure in job https://hydra.nixos.org/build/233194284 at 2023-09-02 + - blas # failure in job https://hydra.nixos.org/build/233244820 at 2023-09-02 + - blaze-html-contrib # failure in job https://hydra.nixos.org/build/233203969 at 2023-09-02 + - blaze-html-hexpat # failure in job https://hydra.nixos.org/build/233251654 at 2023-09-02 + - blaze-html-truncate # failure in job https://hydra.nixos.org/build/233220789 at 2023-09-02 + - blaze-json # failure in job https://hydra.nixos.org/build/233241853 at 2023-09-02 + - blaze-shields # failure in job https://hydra.nixos.org/build/233214358 at 2023-09-02 + - blaze-textual-native # failure in job https://hydra.nixos.org/build/233250559 at 2023-09-02 + - blazeT # failure in job https://hydra.nixos.org/build/233245198 at 2023-09-02 + - blindpass # failure in job https://hydra.nixos.org/build/233210012 at 2023-09-02 + - bliplib # failure in job https://hydra.nixos.org/build/233195751 at 2023-09-02 + - blockchain # failure in job https://hydra.nixos.org/build/233245492 at 2023-09-02 + - blockhash # failure in job https://hydra.nixos.org/build/233227049 at 2023-09-02 + - Blogdown # failure in job https://hydra.nixos.org/build/233239841 at 2023-09-02 + - BlogLiterately # failure in job https://hydra.nixos.org/build/233202164 at 2023-09-02 + - bloomfilter-redis # failure in job https://hydra.nixos.org/build/233226393 at 2023-09-02 + - blosum # failure in job https://hydra.nixos.org/build/233198029 at 2023-09-02 + - blubber-server # failure in job https://hydra.nixos.org/build/233199530 at 2023-09-02 + - bludigon # failure in job https://hydra.nixos.org/build/233248190 at 2023-09-02 + - Blueprint # failure in job https://hydra.nixos.org/build/233252987 at 2023-09-02 + - bluetileutils # failure in job https://hydra.nixos.org/build/233197334 at 2023-09-02 + - blunk-hask-tests # failure in job https://hydra.nixos.org/build/233240288 at 2023-09-02 + - bogocopy # failure in job https://hydra.nixos.org/build/233232322 at 2023-09-02 + - boilerplate # failure in job https://hydra.nixos.org/build/233252821 at 2023-09-02 + - bolt # failure in job https://hydra.nixos.org/build/233234045 at 2023-09-02 + - boltzmann-brain # failure in job https://hydra.nixos.org/build/233220308 at 2023-09-02 + - bookhound-format # failure in job https://hydra.nixos.org/build/233202674 at 2023-09-02 + - bookkeeping # failure in job https://hydra.nixos.org/build/233241963 at 2023-09-02 + - boolean-like # failure in job https://hydra.nixos.org/build/233190873 at 2023-09-02 + - boolean-normal-forms # failure in job https://hydra.nixos.org/build/233220091 at 2023-09-02 + - boombox # failure in job https://hydra.nixos.org/build/233249287 at 2023-09-02 + - boring-window-switcher # failure in job https://hydra.nixos.org/build/233252547 at 2023-09-02 + - bot # failure in job https://hydra.nixos.org/build/233230089 at 2023-09-02 + - botpp # failure in job https://hydra.nixos.org/build/233201674 at 2023-09-02 + - bottom # failure in job https://hydra.nixos.org/build/233225154 at 2023-09-02 + - bounded-array # failure in job https://hydra.nixos.org/build/233200854 at 2023-09-02 + - bound-simple # failure in job https://hydra.nixos.org/build/233201896 at 2023-09-02 + - bowntz # failure in job https://hydra.nixos.org/build/234439552 at 2023-09-13 + - box-csv # failure in job https://hydra.nixos.org/build/233253321 at 2023-09-02 + - box-tuples # failure in job https://hydra.nixos.org/build/233205890 at 2023-09-02 + - bpath # failure in job https://hydra.nixos.org/build/233239160 at 2023-09-02 + - braid # failure in job https://hydra.nixos.org/build/233244144 at 2023-09-02 + - brain-bleep # failure in job https://hydra.nixos.org/build/233198839 at 2023-09-02 + - brassica # failure in job https://hydra.nixos.org/build/233224897 at 2023-09-02 + - Bravo # failure in job https://hydra.nixos.org/build/233199668 at 2023-09-02 + - brians-brain # failure in job https://hydra.nixos.org/build/233201634 at 2023-09-02 + - brick-dropdownmenu # failure in job https://hydra.nixos.org/build/233223686 at 2023-09-02 + - brick-filetree # failure in job https://hydra.nixos.org/build/233217076 at 2023-09-02 + - brick-list-search # failure in job https://hydra.nixos.org/build/233193835 at 2023-09-02 + - brick-panes # failure in job https://hydra.nixos.org/build/233207542 at 2023-09-02 + - bricks-internal # failure in job https://hydra.nixos.org/build/233215572 at 2023-09-02 + - brillig # failure in job https://hydra.nixos.org/build/233208148 at 2023-09-02 + - brittany # failure in job https://hydra.nixos.org/build/233234100 at 2023-09-02 + - broadcast-chan-tests # failure in job https://hydra.nixos.org/build/233202605 at 2023-09-02 + - broccoli # failure in job https://hydra.nixos.org/build/233191381 at 2023-09-02 + - broker-haskell # failure in job https://hydra.nixos.org/build/233200969 at 2023-09-02 + - brok # failure in job https://hydra.nixos.org/build/233214233 at 2023-09-02 + - bronyradiogermany-common # failure in job https://hydra.nixos.org/build/233211166 at 2023-09-02 + - brotli-conduit # failure in job https://hydra.nixos.org/build/233249983 at 2023-09-02 + - browscap # failure in job https://hydra.nixos.org/build/233196112 at 2023-09-02 + - bsd-sysctl # failure in job https://hydra.nixos.org/build/233203232 at 2023-09-02 + - bson-generic # failure in job https://hydra.nixos.org/build/233237712 at 2023-09-02 + - bson-generics # failure in job https://hydra.nixos.org/build/233251530 at 2023-09-02 + - bson-mapping # failure in job https://hydra.nixos.org/build/233242165 at 2023-09-02 + - bsparse # failure in job https://hydra.nixos.org/build/233243753 at 2023-09-02 + - btree-concurrent # failure in job https://hydra.nixos.org/build/233201065 at 2023-09-02 + - btrfs # failure in job https://hydra.nixos.org/build/233220699 at 2023-09-02 + - buffer-builder-aeson # failure in job https://hydra.nixos.org/build/233198028 at 2023-09-02 + - BufferedSocket # failure in job https://hydra.nixos.org/build/233222939 at 2023-09-02 + - buffer # failure in job https://hydra.nixos.org/build/233216007 at 2023-09-02 + - buffet # failure in job https://hydra.nixos.org/build/233250252 at 2023-09-02 + - buffon # failure in job https://hydra.nixos.org/build/233241665 at 2023-09-02 + - buffon-machines # failure in job https://hydra.nixos.org/build/233257929 at 2023-09-02 + - bugzilla # failure in job https://hydra.nixos.org/build/233223784 at 2023-09-02 + - buildable # failure in job https://hydra.nixos.org/build/233199077 at 2023-09-02 + - buildbox # failure in job https://hydra.nixos.org/build/233216315 at 2023-09-02 + - builder # failure in job https://hydra.nixos.org/build/233207846 at 2023-09-02 + - build # failure in job https://hydra.nixos.org/build/233195606 at 2023-09-02 + - bumper # failure in job https://hydra.nixos.org/build/233234378 at 2023-09-02 + - bunz # failure in job https://hydra.nixos.org/build/233193945 at 2023-09-02 + - burst-detection # failure in job https://hydra.nixos.org/build/233254074 at 2023-09-02 + - buster # failure in job https://hydra.nixos.org/build/233197502 at 2023-09-02 + - Buster # failure in job https://hydra.nixos.org/build/233214182 at 2023-09-02 + - butter # failure in job https://hydra.nixos.org/build/233212117 at 2023-09-02 + - buttplug-hs-core # failure in job https://hydra.nixos.org/build/233223928 at 2023-09-02 + - bv-little # failure in job https://hydra.nixos.org/build/233253839 at 2023-09-02 + - bv-sized-lens # failure in job https://hydra.nixos.org/build/233237486 at 2023-09-02 + - byline # failure in job https://hydra.nixos.org/build/233231017 at 2023-09-02 + - bytearray-parsing # failure in job https://hydra.nixos.org/build/233244355 at 2023-09-02 + - bytestring-arbitrary # failure in job https://hydra.nixos.org/build/233195013 at 2023-09-02 + - bytestring-class # failure in job https://hydra.nixos.org/build/233230793 at 2023-09-02 + - bytestring-conversion # failure in job https://hydra.nixos.org/build/233211464 at 2023-09-02 + - bytestring-csv # failure in job https://hydra.nixos.org/build/233215194 at 2023-09-02 + - bytestring-delta # failure in job https://hydra.nixos.org/build/233207977 at 2023-09-02 + - bytestring-handle # failure in job https://hydra.nixos.org/build/233192234 at 2023-09-02 + - bytestringparser # failure in job https://hydra.nixos.org/build/233227781 at 2023-09-02 + - bytestringparser-temporary # failure in job https://hydra.nixos.org/build/233226655 at 2023-09-02 + - bytestring-plain # failure in job https://hydra.nixos.org/build/233230746 at 2023-09-02 + - bytestringreadp # failure in job https://hydra.nixos.org/build/233209066 at 2023-09-02 + - bytestring-rematch # failure in job https://hydra.nixos.org/build/233228234 at 2023-09-02 + - bytestring-show # failure in job https://hydra.nixos.org/build/233207681 at 2023-09-02 + - bytestring-substring # failure in job https://hydra.nixos.org/build/233244318 at 2023-09-02 + - bytestring-time # failure in job https://hydra.nixos.org/build/233190686 at 2023-09-02 + - bytestring-typenats # failure in job https://hydra.nixos.org/build/233211815 at 2023-09-02 + - bzlib-conduit-jappie # failure in job https://hydra.nixos.org/build/233210179 at 2023-09-02 + - c10k # failure in job https://hydra.nixos.org/build/233213264 at 2023-09-02 + - c2ats # failure in job https://hydra.nixos.org/build/233220801 at 2023-09-02 + - cabal2doap # failure in job https://hydra.nixos.org/build/233207973 at 2023-09-02 + - cabal2ebuild # failure in job https://hydra.nixos.org/build/233221248 at 2023-09-02 + - cabal2ghci # failure in job https://hydra.nixos.org/build/233233018 at 2023-09-02 + - cabal2json # failure in job https://hydra.nixos.org/build/233196099 at 2023-09-02 + - cabal-audit # failure in job https://hydra.nixos.org/build/233193414 at 2023-09-02 + - cabal-auto-expose # failure in job https://hydra.nixos.org/build/233195440 at 2023-09-02 + - cabal-bundle-clib # failure in job https://hydra.nixos.org/build/233199225 at 2023-09-02 + - cabal-constraints # failure in job https://hydra.nixos.org/build/233214316 at 2023-09-02 + - cabal-db # failure in job https://hydra.nixos.org/build/233197235 at 2023-09-02 + - cabal-debian # failure in job https://hydra.nixos.org/build/233255267 at 2023-09-02 + - cabal-dependency-licenses # failure in job https://hydra.nixos.org/build/233249247 at 2023-09-02 + - cabal-dev # failure in job https://hydra.nixos.org/build/233227918 at 2023-09-02 + - cabal-dir # failure in job https://hydra.nixos.org/build/233194037 at 2023-09-02 + - cabal-edit # failure in job https://hydra.nixos.org/build/233244268 at 2023-09-02 + - cabal-file-th # failure in job https://hydra.nixos.org/build/233224650 at 2023-09-02 + - cabal-ghc-dynflags # failure in job https://hydra.nixos.org/build/233244580 at 2023-09-02 + - cabal-ghci # failure in job https://hydra.nixos.org/build/233239354 at 2023-09-02 + - cabal-graphdeps # failure in job https://hydra.nixos.org/build/233221966 at 2023-09-02 + - cabalgraph # failure in job https://hydra.nixos.org/build/233241573 at 2023-09-02 + - cabal-hoogle # failure in job https://hydra.nixos.org/build/233191666 at 2023-09-02 + - Cabal-ide-backend # failure in job https://hydra.nixos.org/build/233258880 at 2023-09-02 + - cabal-info # failure in job https://hydra.nixos.org/build/233225001 at 2023-09-02 + - cabal-install-bundle # failure in job https://hydra.nixos.org/build/233194629 at 2023-09-02 + - cabal-install-ghc72 # failure in job https://hydra.nixos.org/build/233246160 at 2023-09-02 + - cabal-install-ghc74 # failure in job https://hydra.nixos.org/build/233226625 at 2023-09-02 + - cabalish # failure in job https://hydra.nixos.org/build/233193578 at 2023-09-02 + - cabal-lenses # failure in job https://hydra.nixos.org/build/233247565 at 2023-09-02 + - cabal-meta # failure in job https://hydra.nixos.org/build/233194466 at 2023-09-02 + - cabal-mon # failure in job https://hydra.nixos.org/build/233217320 at 2023-09-02 + - cabal-nirvana # failure in job https://hydra.nixos.org/build/233222083 at 2023-09-02 + - cabal-plan # failure in job https://hydra.nixos.org/build/233223914 at 2023-09-02 + - cabal-progdeps # failure in job https://hydra.nixos.org/build/233251917 at 2023-09-02 + - cabalQuery # failure in job https://hydra.nixos.org/build/233211475 at 2023-09-02 + - CabalSearch # failure in job https://hydra.nixos.org/build/233200817 at 2023-09-02 + - cabal-setup # failure in job https://hydra.nixos.org/build/233225406 at 2023-09-02 + - cabal-sort # failure in job https://hydra.nixos.org/build/233240882 at 2023-09-02 + - cabal-src # failure in job https://hydra.nixos.org/build/233229475 at 2023-09-02 + - cabal-test-quickcheck # failure in job https://hydra.nixos.org/build/233211903 at 2023-09-02 + - cabal-toolkit # failure in job https://hydra.nixos.org/build/233192328 at 2023-09-02 + - cabal-upload # failure in job https://hydra.nixos.org/build/233207791 at 2023-09-02 + - cabalvchk # failure in job https://hydra.nixos.org/build/233221561 at 2023-09-02 + - cabin # failure in job https://hydra.nixos.org/build/233225287 at 2023-09-02 + - cabocha # failure in job https://hydra.nixos.org/build/233259983 at 2023-09-02 + - cached # failure in job https://hydra.nixos.org/build/233249807 at 2023-09-02 + - cache-polysemy # failure in job https://hydra.nixos.org/build/233200602 at 2023-09-02 + - caching # failure in job https://hydra.nixos.org/build/233233548 at 2023-09-02 + - cacophony # failure in job https://hydra.nixos.org/build/233239380 at 2023-09-02 + - cafeteria-prelude # failure in job https://hydra.nixos.org/build/233254881 at 2023-09-02 + - cairo-core # failure in job https://hydra.nixos.org/build/233248151 at 2023-09-02 + - cake3 # failure in job https://hydra.nixos.org/build/233231662 at 2023-09-02 + - cal3d # failure in job https://hydra.nixos.org/build/233200357 at 2023-09-02 + - caledon # failure in job https://hydra.nixos.org/build/233209888 at 2023-09-02 + - calenderweek # failure in job https://hydra.nixos.org/build/233209930 at 2023-09-02 + - call-alloy # failure in job https://hydra.nixos.org/build/233249056 at 2023-09-02 + - cal-layout # failure in job https://hydra.nixos.org/build/233191194 at 2023-09-02 + - call-haskell-from-anything # failure in job https://hydra.nixos.org/build/233222493 at 2023-09-02 + - call-plantuml # failure in job https://hydra.nixos.org/build/233241670 at 2023-09-02 + - canon # failure in job https://hydra.nixos.org/build/233235027 at 2023-09-02 + - canonical-filepath # failure in job https://hydra.nixos.org/build/233233390 at 2023-09-02 + - canteven-listen-http # failure in job https://hydra.nixos.org/build/233210500 at 2023-09-02 + - canteven-log # failure in job https://hydra.nixos.org/build/233220599 at 2023-09-02 + - canteven-parsedate # failure in job https://hydra.nixos.org/build/233218076 at 2023-09-02 + - cantor # failure in job https://hydra.nixos.org/build/233204922 at 2023-09-02 + - Capabilities # failure in job https://hydra.nixos.org/build/233233256 at 2023-09-02 + - capataz # failure in job https://hydra.nixos.org/build/233226186 at 2023-09-02 + - ca-patterns # failure in job https://hydra.nixos.org/build/233246800 at 2023-09-02 + - capped-list # failure in job https://hydra.nixos.org/build/233232627 at 2023-09-02 + - capri # failure in job https://hydra.nixos.org/build/233247984 at 2023-09-02 + - caramia # failure in job https://hydra.nixos.org/build/233257225 at 2023-09-02 + - carbonara # failure in job https://hydra.nixos.org/build/233201166 at 2023-09-02 + - carettah # failure in job https://hydra.nixos.org/build/233230612 at 2023-09-02 + - CarneadesDSL # failure in job https://hydra.nixos.org/build/233236706 at 2023-09-02 + - carte # failure in job https://hydra.nixos.org/build/233201806 at 2023-09-02 + - Cartesian # failure in job https://hydra.nixos.org/build/233249956 at 2023-09-02 + - casa-abbreviations-and-acronyms # failure in job https://hydra.nixos.org/build/233194663 at 2023-09-02 + - casadi-bindings-internal # failure in job https://hydra.nixos.org/build/233256224 at 2023-09-02 + - Cascade # failure in job https://hydra.nixos.org/build/233223917 at 2023-09-02 + - cascading # failure in job https://hydra.nixos.org/build/233238563 at 2023-09-02 + - case-insensitive-match # failure in job https://hydra.nixos.org/build/233252304 at 2023-09-02 + - caseof # failure in job https://hydra.nixos.org/build/233256025 at 2023-09-02 + - cas-hashable # failure in job https://hydra.nixos.org/build/233238789 at 2023-09-02 + - casr-logbook # failure in job https://hydra.nixos.org/build/233227183 at 2023-09-02 + - casr-logbook-types # failure in job https://hydra.nixos.org/build/233231548 at 2023-09-02 + - cassandra-cql # failure in job https://hydra.nixos.org/build/233194724 at 2023-09-02 + - cassava-conduit # failure in job https://hydra.nixos.org/build/233220495 at 2023-09-02 + - Cassava # failure in job https://hydra.nixos.org/build/233245677 at 2023-09-02 + - cassava-records # failure in job https://hydra.nixos.org/build/233259049 at 2023-09-02 + - cassava-streams # failure in job https://hydra.nixos.org/build/233222669 at 2023-09-02 + - cassette # failure in job https://hydra.nixos.org/build/233201251 at 2023-09-02 + - castagnoli # failure in job https://hydra.nixos.org/build/233213036 at 2023-09-02 + - castle # failure in job https://hydra.nixos.org/build/233204027 at 2023-09-02 + - catamorphism # failure in job https://hydra.nixos.org/build/233208488 at 2023-09-02 + - Catana # failure in job https://hydra.nixos.org/build/233196550 at 2023-09-02 + - catch-fd # failure in job https://hydra.nixos.org/build/233223935 at 2023-09-02 + - category-printf # failure in job https://hydra.nixos.org/build/233216355 at 2023-09-02 + - category-traced # failure in job https://hydra.nixos.org/build/233193963 at 2023-09-02 + - catnplus # failure in job https://hydra.nixos.org/build/233241280 at 2023-09-02 + - cautious-file # failure in job https://hydra.nixos.org/build/233218702 at 2023-09-02 + - cautious-gen # failure in job https://hydra.nixos.org/build/233258367 at 2023-09-02 + - cayene-lpp # failure in job https://hydra.nixos.org/build/233228959 at 2023-09-02 + - cayley-client # failure in job https://hydra.nixos.org/build/233260112 at 2023-09-02 + - cblrepo # failure in job https://hydra.nixos.org/build/233251926 at 2023-09-02 + - cbor-tool # failure in job https://hydra.nixos.org/build/233198797 at 2023-09-02 + - CCA # failure in job https://hydra.nixos.org/build/233206723 at 2023-09-02 + - ccast # failure in job https://hydra.nixos.org/build/233254517 at 2023-09-02 + - CC-delcont-cxe # failure in job https://hydra.nixos.org/build/233190865 at 2023-09-02 + - CC-delcont-exc # failure in job https://hydra.nixos.org/build/233236141 at 2023-09-02 + - CC-delcont-ref # failure in job https://hydra.nixos.org/build/233229304 at 2023-09-02 + - CC-delcont-ref-tf # failure in job https://hydra.nixos.org/build/233257768 at 2023-09-02 + - cci # failure in job https://hydra.nixos.org/build/233244756 at 2023-09-02 + - ccnx # failure in job https://hydra.nixos.org/build/233237732 at 2023-09-02 + - cdp # failure in job https://hydra.nixos.org/build/233251735 at 2023-09-02 + - c-dsl # failure in job https://hydra.nixos.org/build/233236075 at 2023-09-02 + - cedict # failure in job https://hydra.nixos.org/build/233206454 at 2023-09-02 + - cef3-raw # failure in job https://hydra.nixos.org/build/233216467 at 2023-09-02 + - cef # failure in job https://hydra.nixos.org/build/233221542 at 2023-09-02 + - cellrenderer-cairo # failure in job https://hydra.nixos.org/build/233245838 at 2023-09-02 + - cereal-derive # failure in job https://hydra.nixos.org/build/233229941 at 2023-09-02 + - cereal-ieee754 # failure in job https://hydra.nixos.org/build/233208388 at 2023-09-02 + - cereal-plus # failure in job https://hydra.nixos.org/build/233226162 at 2023-09-02 + - cfenv # failure in job https://hydra.nixos.org/build/233235017 at 2023-09-02 + - cf # failure in job https://hydra.nixos.org/build/233244067 at 2023-09-02 + - cfg # failure in job https://hydra.nixos.org/build/233236445 at 2023-09-02 + - cfn-flip # failure in job https://hydra.nixos.org/build/233221000 at 2023-09-02 + - cgen # failure in job https://hydra.nixos.org/build/233198570 at 2023-09-02 + - cg # failure in job https://hydra.nixos.org/build/233212272 at 2023-09-02 + - cgi-utils # failure in job https://hydra.nixos.org/build/233251773 at 2023-09-02 + - cgroup-rts-threads # failure in job https://hydra.nixos.org/build/233207888 at 2023-09-02 + - chalkboard # failure in job https://hydra.nixos.org/build/234453414 at 2023-09-13 + - chalmers-lava2000 # failure in job https://hydra.nixos.org/build/233239592 at 2023-09-02 + - changelogged # failure in job https://hydra.nixos.org/build/233211675 at 2023-09-02 + - character-cases # failure in job https://hydra.nixos.org/build/233197636 at 2023-09-02 + - charter # failure in job https://hydra.nixos.org/build/233237264 at 2023-09-02 + - chart-histogram # failure in job https://hydra.nixos.org/build/233250470 at 2023-09-02 + - Chart-simple # failure in job https://hydra.nixos.org/build/233240919 at 2023-09-02 + - chaselev-deque # failure in job https://hydra.nixos.org/build/233237595 at 2023-09-02 + - chatty-text # failure in job https://hydra.nixos.org/build/233199498 at 2023-09-02 + - chatwork # failure in job https://hydra.nixos.org/build/233240489 at 2023-09-02 + - cheapskate # failure in job https://hydra.nixos.org/build/233197892 at 2023-09-02 + - check-cfg-ambiguity # failure in job https://hydra.nixos.org/build/233251852 at 2023-09-02 + - checked # failure in job https://hydra.nixos.org/build/233223182 at 2023-09-02 + - Checked # failure in job https://hydra.nixos.org/build/233257598 at 2023-09-02 + - checkmate # failure in job https://hydra.nixos.org/build/233248012 at 2023-09-02 + - chez-grater # failure in job https://hydra.nixos.org/build/233213537 at 2023-09-02 + - chiphunk # failure in job https://hydra.nixos.org/build/233232520 at 2023-09-02 + - Chitra # failure in job https://hydra.nixos.org/build/233256826 at 2023-09-02 + - choose # failure in job https://hydra.nixos.org/build/233194245 at 2023-09-02 + - chorale # failure in job https://hydra.nixos.org/build/233200153 at 2023-09-02 + - chp # failure in job https://hydra.nixos.org/build/233232868 at 2023-09-02 + - ChristmasTree # failure in job https://hydra.nixos.org/build/233259648 at 2023-09-02 + - chronograph # failure in job https://hydra.nixos.org/build/233232822 at 2023-09-02 + - chr-parse # failure in job https://hydra.nixos.org/build/233243933 at 2023-09-02 + - chunky # failure in job https://hydra.nixos.org/build/233216440 at 2023-09-02 + - church # failure in job https://hydra.nixos.org/build/233223920 at 2023-09-02 + - church-maybe # failure in job https://hydra.nixos.org/build/233258572 at 2023-09-02 + - churros # failure in job https://hydra.nixos.org/build/233218976 at 2023-09-02 + - cicero-api # failure in job https://hydra.nixos.org/build/233222299 at 2023-09-02 + - cielo # failure in job https://hydra.nixos.org/build/233240001 at 2023-09-02 + - cil # failure in job https://hydra.nixos.org/build/233255428 at 2023-09-02 + - cimple # failure in job https://hydra.nixos.org/build/233243386 at 2023-09-02 + - cinvoke # failure in job https://hydra.nixos.org/build/233244748 at 2023-09-02 + - c-io # failure in job https://hydra.nixos.org/build/233198654 at 2023-09-02 + - cio # failure in job https://hydra.nixos.org/build/233218269 at 2023-09-02 + - cipher-aes128 # failure in job https://hydra.nixos.org/build/233242645 at 2023-09-02 + - cipher-blowfish # failure in job https://hydra.nixos.org/build/233193834 at 2023-09-02 + - cipher-des # failure in job https://hydra.nixos.org/build/233232112 at 2023-09-02 + - circlehs # failure in job https://hydra.nixos.org/build/233246591 at 2023-09-02 + - citeproc-hs # failure in job https://hydra.nixos.org/build/233254388 at 2023-09-02 + - cjk # failure in job https://hydra.nixos.org/build/233258734 at 2023-09-02 + - cj-token # failure in job https://hydra.nixos.org/build/233253928 at 2023-09-02 + - cl3-hmatrix-interface # failure in job https://hydra.nixos.org/build/233260081 at 2023-09-02 + - cl3-linear-interface # failure in job https://hydra.nixos.org/build/233255205 at 2023-09-02 + - clang-compilation-database # failure in job https://hydra.nixos.org/build/233225320 at 2023-09-02 + - clang-pure # failure in job https://hydra.nixos.org/build/233193420 at 2023-09-02 + - clanki # failure in job https://hydra.nixos.org/build/233196970 at 2023-09-02 + - clarifai # failure in job https://hydra.nixos.org/build/233229480 at 2023-09-02 + - CLASE # failure in job https://hydra.nixos.org/build/233234459 at 2023-09-02 + - clash-prelude # failure in job https://hydra.nixos.org/build/233252128 at 2023-09-02 + - Clash-Royale-Hack-Cheats # failure in job https://hydra.nixos.org/build/233216034 at 2023-09-02 + - ClassLaws # failure in job https://hydra.nixos.org/build/233243019 at 2023-09-02 + - classy-influxdb-simple # failure in job https://hydra.nixos.org/build/233253418 at 2023-09-02 + - classy-parallel # failure in job https://hydra.nixos.org/build/233213514 at 2023-09-02 + - classyplate # failure in job https://hydra.nixos.org/build/233212613 at 2023-09-02 + - ClassyPrelude # failure in job https://hydra.nixos.org/build/233216521 at 2023-09-02 + - cld2 # failure in job https://hydra.nixos.org/build/233205709 at 2023-09-02 + - Clean # failure in job https://hydra.nixos.org/build/233255199 at 2023-09-02 + - clean-unions # failure in job https://hydra.nixos.org/build/233237582 at 2023-09-02 + - cleff # failure in job https://hydra.nixos.org/build/233243158 at 2023-09-02 + - clevercss # failure in job https://hydra.nixos.org/build/233206298 at 2023-09-02 + - clexer # failure in job https://hydra.nixos.org/build/233229804 at 2023-09-02 + - cli-builder # failure in job https://hydra.nixos.org/build/233209961 at 2023-09-02 + - cli-extras # failure in job https://hydra.nixos.org/build/233226908 at 2023-09-02 + - CLI # failure in job https://hydra.nixos.org/build/233191087 at 2023-09-02 + - clif # failure in job https://hydra.nixos.org/build/233197110 at 2023-09-02 + - clifm # failure in job https://hydra.nixos.org/build/233227426 at 2023-09-02 + - clingo # failure in job https://hydra.nixos.org/build/233238084 at 2023-09-02 + - clippard # failure in job https://hydra.nixos.org/build/233252884 at 2023-09-02 + - clipper # failure in job https://hydra.nixos.org/build/233235418 at 2023-09-02 + - clisparkline # failure in job https://hydra.nixos.org/build/233218465 at 2023-09-02 + - clit # failure in job https://hydra.nixos.org/build/233227334 at 2023-09-02 + - cloben # failure in job https://hydra.nixos.org/build/233194390 at 2023-09-02 + - clocked # failure in job https://hydra.nixos.org/build/233241524 at 2023-09-02 + - clock-extras # failure in job https://hydra.nixos.org/build/233190748 at 2023-09-02 + - clogparse # failure in job https://hydra.nixos.org/build/233220294 at 2023-09-02 + - clone-all # failure in job https://hydra.nixos.org/build/233234063 at 2023-09-02 + - closed-classes # failure in job https://hydra.nixos.org/build/233250965 at 2023-09-02 + - closed-intervals # failure in job https://hydra.nixos.org/build/233209665 at 2023-09-02 + - closure # failure in job https://hydra.nixos.org/build/233215133 at 2023-09-02 + - cloudfront-signer # failure in job https://hydra.nixos.org/build/233238255 at 2023-09-02 + - clplug # failure in job https://hydra.nixos.org/build/233236794 at 2023-09-02 + - clr-host # failure in job https://hydra.nixos.org/build/233207709 at 2023-09-02 + - clr-typed # failure in job https://hydra.nixos.org/build/233212102 at 2023-09-02 + - cluss # failure in job https://hydra.nixos.org/build/233245341 at 2023-09-02 + - cmark-highlight # failure in job https://hydra.nixos.org/build/233218534 at 2023-09-02 + - cmark-patterns # failure in job https://hydra.nixos.org/build/233192983 at 2023-09-02 + - cmark-sections # failure in job https://hydra.nixos.org/build/233222829 at 2023-09-02 + - cmath # failure in job https://hydra.nixos.org/build/233236588 at 2023-09-02 + - cmd-item # failure in job https://hydra.nixos.org/build/233223946 at 2023-09-02 + - cmdlib # failure in job https://hydra.nixos.org/build/233222751 at 2023-09-02 + - cmdtheline # failure in job https://hydra.nixos.org/build/233199201 at 2023-09-02 + - cmf # failure in job https://hydra.nixos.org/build/233256827 at 2023-09-02 + - cmonad # failure in job https://hydra.nixos.org/build/233254242 at 2023-09-02 + - c-mosquitto # failure in job https://hydra.nixos.org/build/233231310 at 2023-09-02 + - cmph # failure in job https://hydra.nixos.org/build/233225766 at 2023-09-02 + - CMQ # failure in job https://hydra.nixos.org/build/233233168 at 2023-09-02 + - cmt # failure in job https://hydra.nixos.org/build/233233474 at 2023-09-02 + - coalpit # failure in job https://hydra.nixos.org/build/233193043 at 2023-09-02 + - cobot-tools # failure in job https://hydra.nixos.org/build/233259173 at 2023-09-02 + - code-builder # failure in job https://hydra.nixos.org/build/233239215 at 2023-09-02 + - codec-beam # failure in job https://hydra.nixos.org/build/233198704 at 2023-09-02 + - codecov-haskell # failure in job https://hydra.nixos.org/build/233256758 at 2023-09-02 + - codeforces-cli # failure in job https://hydra.nixos.org/build/233210719 at 2023-09-02 + - codepad # failure in job https://hydra.nixos.org/build/233197730 at 2023-09-02 + - codex # failure in job https://hydra.nixos.org/build/233212311 at 2023-09-02 + - codo-notation # failure in job https://hydra.nixos.org/build/233202566 at 2023-09-02 + - coercible-utils # failure in job https://hydra.nixos.org/build/233230462 at 2023-09-02 + - coinbase-pro # failure in job https://hydra.nixos.org/build/233245350 at 2023-09-02 + - coin # failure in job https://hydra.nixos.org/build/233198975 at 2023-09-02 + - colchis # failure in job https://hydra.nixos.org/build/233207690 at 2023-09-02 + - collada-output # failure in job https://hydra.nixos.org/build/233192394 at 2023-09-02 + - collapse-util # failure in job https://hydra.nixos.org/build/233238818 at 2023-09-02 + - collate # failure in job https://hydra.nixos.org/build/233243978 at 2023-09-02 + - collections-api # failure in job https://hydra.nixos.org/build/233220769 at 2023-09-02 + - collections # failure in job https://hydra.nixos.org/build/233251207 at 2023-09-02 + - co-log-sys # failure in job https://hydra.nixos.org/build/233206587 at 2023-09-02 + - colonnade # failure in job https://hydra.nixos.org/build/233248956 at 2023-09-02 + - colorless # failure in job https://hydra.nixos.org/build/233220728 at 2023-09-02 + - coltrane # failure in job https://hydra.nixos.org/build/233216437 at 2023-09-02 + - comark-syntax # failure in job https://hydra.nixos.org/build/233243043 at 2023-09-02 + - combinat-compat # failure in job https://hydra.nixos.org/build/233201337 at 2023-09-02 + - combinat # failure in job https://hydra.nixos.org/build/233259056 at 2023-09-02 + - combinatorial-problems # failure in job https://hydra.nixos.org/build/233244505 at 2023-09-02 + - combinator-interactive # failure in job https://hydra.nixos.org/build/233233138 at 2023-09-02 + - combobuffer # failure in job https://hydra.nixos.org/build/233240114 at 2023-09-02 + - com # failure in job https://hydra.nixos.org/build/233213869 at 2023-09-02 + - commander # failure in job https://hydra.nixos.org/build/233239812 at 2023-09-02 + - Command # failure in job https://hydra.nixos.org/build/233249718 at 2023-09-02 + - Commando # failure in job https://hydra.nixos.org/build/233248911 at 2023-09-02 + - commodities # failure in job https://hydra.nixos.org/build/233239851 at 2023-09-02 + - Compactable # failure in job https://hydra.nixos.org/build/233227285 at 2023-09-02 + - compactable # failure in job https://hydra.nixos.org/build/233228106 at 2023-09-02 + - compact # failure in job https://hydra.nixos.org/build/233203421 at 2023-09-02 + - compact-list # failure in job https://hydra.nixos.org/build/233241961 at 2023-09-02 + - compact-map # failure in job https://hydra.nixos.org/build/233201665 at 2023-09-02 + - compact-sequences # failure in job https://hydra.nixos.org/build/233208553 at 2023-09-02 + - compact-string # failure in job https://hydra.nixos.org/build/233204162 at 2023-09-02 + - compact-string-fix # failure in job https://hydra.nixos.org/build/233238513 at 2023-09-02 + - comparse # failure in job https://hydra.nixos.org/build/233220012 at 2023-09-02 + - compdata-dags # failure in job https://hydra.nixos.org/build/233216580 at 2023-09-02 + - compdata-param # failure in job https://hydra.nixos.org/build/233227003 at 2023-09-02 + - compendium-client # failure in job https://hydra.nixos.org/build/233231884 at 2023-09-02 + - competition # failure in job https://hydra.nixos.org/build/233243172 at 2023-09-02 + - compilation # failure in job https://hydra.nixos.org/build/233252348 at 2023-09-02 + - complex-generic # failure in job https://hydra.nixos.org/build/233243926 at 2023-09-02 + - composable-associations-aeson # failure in job https://hydra.nixos.org/build/233247162 at 2023-09-02 + - compose-trans # failure in job https://hydra.nixos.org/build/233236785 at 2023-09-02 + - composite-aeson-path # failure in job https://hydra.nixos.org/build/233203114 at 2023-09-02 + - composite-aeson-refined # failure in job https://hydra.nixos.org/build/233241450 at 2023-09-02 + - composite-cassava # failure in job https://hydra.nixos.org/build/233241110 at 2023-09-02 + - composite-ekg # failure in job https://hydra.nixos.org/build/233235858 at 2023-09-02 + - composite-opaleye # failure in job https://hydra.nixos.org/build/233256318 at 2023-09-02 + - composite-swagger # failure in job https://hydra.nixos.org/build/233258006 at 2023-09-02 + - composition-tree # failure in job https://hydra.nixos.org/build/233219116 at 2023-09-02 + - compressed # failure in job https://hydra.nixos.org/build/233214349 at 2023-09-02 + - compression # failure in job https://hydra.nixos.org/build/233250833 at 2023-09-02 + - compstrat # failure in job https://hydra.nixos.org/build/233255500 at 2023-09-02 + - comptrans # failure in job https://hydra.nixos.org/build/233209853 at 2023-09-02 + - computational-geometry # failure in job https://hydra.nixos.org/build/233220627 at 2023-09-02 + - computations # failure in job https://hydra.nixos.org/build/233249992 at 2023-09-02 + - ConClusion # failure in job https://hydra.nixos.org/build/233236846 at 2023-09-02 + - concrete-relaxng-parser # failure in job https://hydra.nixos.org/build/233192905 at 2023-09-02 + - concrete-typerep # failure in job https://hydra.nixos.org/build/233234198 at 2023-09-02 + - concurrent-buffer # failure in job https://hydra.nixos.org/build/233249002 at 2023-09-02 + - Concurrent-Cache # failure in job https://hydra.nixos.org/build/233238494 at 2023-09-02 + - Concurrential # failure in job https://hydra.nixos.org/build/233221502 at 2023-09-02 + - concurrent-state # failure in job https://hydra.nixos.org/build/233248441 at 2023-09-02 + - concurrent-st # failure in job https://hydra.nixos.org/build/233219451 at 2023-09-02 + - concurrent-utilities # failure in job https://hydra.nixos.org/build/233224892 at 2023-09-02 + - conditional-restriction-parser # failure in job https://hydra.nixos.org/build/233211470 at 2023-09-02 + - condorcet # failure in job https://hydra.nixos.org/build/233208640 at 2023-09-02 + - conductive-base # failure in job https://hydra.nixos.org/build/233234746 at 2023-09-02 + - conduit-audio-lame # failure in job https://hydra.nixos.org/build/233253987 at 2023-09-02 + - conduit-audio-samplerate # failure in job https://hydra.nixos.org/build/233208457 at 2023-09-02 + - conduit-connection # failure in job https://hydra.nixos.org/build/233232646 at 2023-09-02 + - conduit-find # failure in job https://hydra.nixos.org/build/233259909 at 2023-09-02 + - conduit-iconv # failure in job https://hydra.nixos.org/build/233246555 at 2023-09-02 + - conduit-network-stream # failure in job https://hydra.nixos.org/build/233243436 at 2023-09-02 + - conduit-resumablesink # failure in job https://hydra.nixos.org/build/233248566 at 2023-09-02 + - conduit-tokenize-attoparsec # failure in job https://hydra.nixos.org/build/233237152 at 2023-09-02 + - conduit-vfs # failure in job https://hydra.nixos.org/build/233205270 at 2023-09-02 + - conferer-dhall # failure in job https://hydra.nixos.org/build/233208902 at 2023-09-02 + - conferer-hspec # failure in job https://hydra.nixos.org/build/233225311 at 2023-09-02 + - conferer-provider-json # failure in job https://hydra.nixos.org/build/233195298 at 2023-09-02 + - conferer-snap # failure in job https://hydra.nixos.org/build/233215013 at 2023-09-02 + - conferer-source-json # failure in job https://hydra.nixos.org/build/233195696 at 2023-09-02 + - conf # failure in job https://hydra.nixos.org/build/233213738 at 2023-09-02 + - ConfigFileTH # failure in job https://hydra.nixos.org/build/233191126 at 2023-09-02 + - config-parser # failure in job https://hydra.nixos.org/build/233206136 at 2023-09-02 + - Configurable # failure in job https://hydra.nixos.org/build/233200781 at 2023-09-02 + - configuration # failure in job https://hydra.nixos.org/build/233195399 at 2023-09-02 + - configurator-pg # failure in job https://hydra.nixos.org/build/233219556 at 2023-09-02 + - config-value-getopt # failure in job https://hydra.nixos.org/build/233204566 at 2023-09-02 + - confsolve # failure in job https://hydra.nixos.org/build/233194913 at 2023-09-02 + - congruence-relation # failure in job https://hydra.nixos.org/build/233222125 at 2023-09-02 + - conjure # failure in job https://hydra.nixos.org/build/233220494 at 2023-09-02 + - conkin # failure in job https://hydra.nixos.org/build/233246485 at 2023-09-02 + - conlogger # failure in job https://hydra.nixos.org/build/233193354 at 2023-09-02 + - connection-pool # failure in job https://hydra.nixos.org/build/233199817 at 2023-09-02 + - connections # failure in job https://hydra.nixos.org/build/233193445 at 2023-09-02 + - connection-string # failure in job https://hydra.nixos.org/build/233205032 at 2023-09-02 + - Conscript # failure in job https://hydra.nixos.org/build/233216349 at 2023-09-02 + - consistent # failure in job https://hydra.nixos.org/build/233210122 at 2023-09-02 + - console-program # failure in job https://hydra.nixos.org/build/233207490 at 2023-09-02 + - constable # failure in job https://hydra.nixos.org/build/233256456 at 2023-09-02 + - const # failure in job https://hydra.nixos.org/build/233227000 at 2023-09-02 + - const-math-ghc-plugin # failure in job https://hydra.nixos.org/build/233198147 at 2023-09-02 + - constrained-dynamic # failure in job https://hydra.nixos.org/build/233192949 at 2023-09-02 + - constrained # failure in job https://hydra.nixos.org/build/233248444 at 2023-09-02 + - constrained-monads # failure in job https://hydra.nixos.org/build/233250865 at 2023-09-02 + - ConstraintKinds # failure in job https://hydra.nixos.org/build/233222006 at 2023-09-02 + - constraints-deriving # failure in job https://hydra.nixos.org/build/233222833 at 2023-09-02 + - constraints-emerge # failure in job https://hydra.nixos.org/build/233242146 at 2023-09-02 + - constr-eq # failure in job https://hydra.nixos.org/build/233231863 at 2023-09-02 + - construct # failure in job https://hydra.nixos.org/build/233248810 at 2023-09-02 + - constructive-algebra # failure in job https://hydra.nixos.org/build/233241970 at 2023-09-02 + - consul-haskell # failure in job https://hydra.nixos.org/build/233195306 at 2023-09-02 + - Consumer # failure in job https://hydra.nixos.org/build/233227840 at 2023-09-02 + - containers-benchmark # failure in job https://hydra.nixos.org/build/233244707 at 2023-09-02 + - containers-unicode-symbols # failure in job https://hydra.nixos.org/build/233206693 at 2023-09-02 + - containers-verified # failure in job https://hydra.nixos.org/build/233228855 at 2023-09-02 + - ContArrow # failure in job https://hydra.nixos.org/build/233215540 at 2023-09-02 + - ContextAlgebra # failure in job https://hydra.nixos.org/build/233197054 at 2023-09-02 + - context-stack # failure in job https://hydra.nixos.org/build/233228489 at 2023-09-02 + - contiguous-checked # failure in job https://hydra.nixos.org/build/233225639 at 2023-09-02 + - contiguous-fft # failure in job https://hydra.nixos.org/build/233197368 at 2023-09-02 + - continued-fractions # failure in job https://hydra.nixos.org/build/233258785 at 2023-09-02 + - continue # failure in job https://hydra.nixos.org/build/233231634 at 2023-09-02 + - contracheck-applicative # failure in job https://hydra.nixos.org/build/233255104 at 2023-09-02 + - Contract # failure in job https://hydra.nixos.org/build/233242103 at 2023-09-02 + - contra-tracers # failure in job https://hydra.nixos.org/build/233197959 at 2023-09-02 + - control-dsl # failure in job https://hydra.nixos.org/build/233249037 at 2023-09-02 + - control-iso # failure in job https://hydra.nixos.org/build/233229763 at 2023-09-02 + - control-monad-failure # failure in job https://hydra.nixos.org/build/233240265 at 2023-09-02 + - control-monad-failure-mtl # failure in job https://hydra.nixos.org/build/233245249 at 2023-09-02 + - Control-Monad-ST2 # failure in job https://hydra.nixos.org/build/233222919 at 2023-09-02 + - contstuff-monads-tf # failure in job https://hydra.nixos.org/build/233224064 at 2023-09-02 + - contstuff-transformers # failure in job https://hydra.nixos.org/build/233244153 at 2023-09-02 + - copilot-c99 # failure in job https://hydra.nixos.org/build/233258148 at 2023-09-02 + - copr # failure in job https://hydra.nixos.org/build/233252310 at 2023-09-02 + - coquina # failure in job https://hydra.nixos.org/build/233254665 at 2023-09-02 + - COrdering # failure in job https://hydra.nixos.org/build/233232083 at 2023-09-02 + - corebot-bliki # failure in job https://hydra.nixos.org/build/233241143 at 2023-09-02 + - core-compiler # failure in job https://hydra.nixos.org/build/233250303 at 2023-09-02 + - CoreDump # failure in job https://hydra.nixos.org/build/233247860 at 2023-09-02 + - CoreErlang # failure in job https://hydra.nixos.org/build/233199110 at 2023-09-02 + - core # failure in job https://hydra.nixos.org/build/233253971 at 2023-09-02 + - core-haskell # failure in job https://hydra.nixos.org/build/233222588 at 2023-09-02 + - core-warn # failure in job https://hydra.nixos.org/build/233204404 at 2023-09-02 + - Coroutine # failure in job https://hydra.nixos.org/build/233211213 at 2023-09-02 + - coroutine-object # failure in job https://hydra.nixos.org/build/233220413 at 2023-09-02 + - couchdb-conduit # failure in job https://hydra.nixos.org/build/233227244 at 2023-09-02 + - CouchDB # failure in job https://hydra.nixos.org/build/233253163 at 2023-09-02 + - couch-hs # failure in job https://hydra.nixos.org/build/233210189 at 2023-09-02 + - counter # failure in job https://hydra.nixos.org/build/233193842 at 2023-09-02 + - country-codes # failure in job https://hydra.nixos.org/build/233248159 at 2023-09-02 + - courier # failure in job https://hydra.nixos.org/build/233215760 at 2023-09-02 + - court # failure in job https://hydra.nixos.org/build/233192047 at 2023-09-02 + - coverage # failure in job https://hydra.nixos.org/build/233199365 at 2023-09-02 + - cparsing # failure in job https://hydra.nixos.org/build/233192377 at 2023-09-02 + - cpio-conduit # failure in job https://hydra.nixos.org/build/233220518 at 2023-09-02 + - cplusplus-th # failure in job https://hydra.nixos.org/build/233204461 at 2023-09-02 + - cpuperf # failure in job https://hydra.nixos.org/build/233252964 at 2023-09-02 + - cpython # failure in job https://hydra.nixos.org/build/233255188 at 2023-09-02 + - cql-io # failure in job https://hydra.nixos.org/build/233245286 at 2023-09-02 + - cqrs-core # failure in job https://hydra.nixos.org/build/233192102 at 2023-09-02 + - crack # failure in job https://hydra.nixos.org/build/233229111 at 2023-09-02 + - Craft3e # failure in job https://hydra.nixos.org/build/233255152 at 2023-09-02 + - craftwerk # failure in job https://hydra.nixos.org/build/233221392 at 2023-09-02 + - crawlchain # failure in job https://hydra.nixos.org/build/233192450 at 2023-09-02 + - crc16 # failure in job https://hydra.nixos.org/build/233253624 at 2023-09-02 + - crc32c # failure in job https://hydra.nixos.org/build/233217329 at 2023-09-02 + - crdt-event-fold # failure in job https://hydra.nixos.org/build/233191438 at 2023-09-02 + - crdt # failure in job https://hydra.nixos.org/build/233254930 at 2023-09-02 + - creatur # failure in job https://hydra.nixos.org/build/233252591 at 2023-09-02 + - credential-store # failure in job https://hydra.nixos.org/build/233230143 at 2023-09-02 + - crem # failure in job https://hydra.nixos.org/build/233240415 at 2023-09-02 + - cr # failure in job https://hydra.nixos.org/build/233235318 at 2023-09-02 + - critbit # failure in job https://hydra.nixos.org/build/233237880 at 2023-09-02 + - criterion-cmp # failure in job https://hydra.nixos.org/build/233192619 at 2023-09-02 + - criterion-compare # failure in job https://hydra.nixos.org/build/233257858 at 2023-09-02 + - criterion-plus # failure in job https://hydra.nixos.org/build/233194095 at 2023-09-02 + - criterion-to-html # failure in job https://hydra.nixos.org/build/233209983 at 2023-09-02 + - crjdt-haskell # failure in job https://hydra.nixos.org/build/233199652 at 2023-09-02 + - crockford # failure in job https://hydra.nixos.org/build/233210759 at 2023-09-02 + - crocodile # failure in job https://hydra.nixos.org/build/233222277 at 2023-09-02 + - cronus # failure in job https://hydra.nixos.org/build/233225303 at 2023-09-02 + - cruncher-types # failure in job https://hydra.nixos.org/build/233229024 at 2023-09-02 + - crunghc # failure in job https://hydra.nixos.org/build/233193295 at 2023-09-02 + - crypto-cipher-benchmarks # failure in job https://hydra.nixos.org/build/233195297 at 2023-09-02 + - cryptocompare # failure in job https://hydra.nixos.org/build/233192898 at 2023-09-02 + - cryptoconditions # failure in job https://hydra.nixos.org/build/233211816 at 2023-09-02 + - cryptoids-types # failure in job https://hydra.nixos.org/build/233242596 at 2023-09-02 + - crypto-keys-ssh # failure in job https://hydra.nixos.org/build/233222227 at 2023-09-02 + - crypto-multihash # failure in job https://hydra.nixos.org/build/233220770 at 2023-09-02 + - crypton-connection # failure in job https://hydra.nixos.org/build/233230068 at 2023-09-02 + - crypto-numbers # failure in job https://hydra.nixos.org/build/233225721 at 2023-09-02 + - crypto-pubkey-openssh # failure in job https://hydra.nixos.org/build/233207007 at 2023-09-02 + - crypto-random-effect # failure in job https://hydra.nixos.org/build/233236324 at 2023-09-02 + - crypto-simple # failure in job https://hydra.nixos.org/build/233192703 at 2023-09-02 + - cryptsy-api # failure in job https://hydra.nixos.org/build/233195814 at 2023-09-02 + - csa # failure in job https://hydra.nixos.org/build/233233907 at 2023-09-02 + - cse-ghc-plugin # failure in job https://hydra.nixos.org/build/233251963 at 2023-09-02 + - csound-expression-dynamic # failure in job https://hydra.nixos.org/build/233225568 at 2023-09-02 + - CSPM-Frontend # failure in job https://hydra.nixos.org/build/233219295 at 2023-09-02 + - cspretty # failure in job https://hydra.nixos.org/build/233246796 at 2023-09-02 + - css-easings # failure in job https://hydra.nixos.org/build/233203513 at 2023-09-02 + - css # failure in job https://hydra.nixos.org/build/233220825 at 2023-09-02 + - css-selectors # failure in job https://hydra.nixos.org/build/233247710 at 2023-09-02 + - css-simple # failure in job https://hydra.nixos.org/build/233220768 at 2023-09-02 + - C-structs # failure in job https://hydra.nixos.org/build/233247498 at 2023-09-02 + - csv-nptools # failure in job https://hydra.nixos.org/build/233215773 at 2023-09-02 + - csv-sip # failure in job https://hydra.nixos.org/build/233211292 at 2023-09-02 + - ctemplate # failure in job https://hydra.nixos.org/build/233257909 at 2023-09-02 + - ctkl # failure in job https://hydra.nixos.org/build/233219516 at 2023-09-02 + - cuboid # failure in job https://hydra.nixos.org/build/234462220 at 2023-09-13 + - cuckoo # failure in job https://hydra.nixos.org/build/233210915 at 2023-09-02 + - cuckoo-filter # failure in job https://hydra.nixos.org/build/233226484 at 2023-09-02 + - curl-aeson # failure in job https://hydra.nixos.org/build/233210106 at 2023-09-02 + - curl-runnings # failure in job https://hydra.nixos.org/build/233258680 at 2023-09-02 + - curly-expander # failure in job https://hydra.nixos.org/build/233250838 at 2023-09-02 + - currencies # failure in job https://hydra.nixos.org/build/233216717 at 2023-09-02 + - currency-convert # failure in job https://hydra.nixos.org/build/233224509 at 2023-09-02 + - curry-base # failure in job https://hydra.nixos.org/build/233246647 at 2023-09-02 + - CurryDB # failure in job https://hydra.nixos.org/build/233238995 at 2023-09-02 + - curry-frontend # failure in job https://hydra.nixos.org/build/233190895 at 2023-09-02 + - curryrs # failure in job https://hydra.nixos.org/build/233250943 at 2023-09-02 + - cursedcsv # failure in job https://hydra.nixos.org/build/233253732 at 2023-09-02 + - curves # failure in job https://hydra.nixos.org/build/233237354 at 2023-09-02 + - custom-prelude # failure in job https://hydra.nixos.org/build/233216882 at 2023-09-02 + - cut-the-crap # failure in job https://hydra.nixos.org/build/233238478 at 2023-09-02 + - CV # failure in job https://hydra.nixos.org/build/233223571 at 2023-09-02 + - d3js # failure in job https://hydra.nixos.org/build/233251474 at 2023-09-02 + - dag # failure in job https://hydra.nixos.org/build/233220719 at 2023-09-02 + - DAG-Tournament # failure in job https://hydra.nixos.org/build/233218747 at 2023-09-02 + - dahdit # failure in job https://hydra.nixos.org/build/233245113 at 2023-09-02 + - damnpacket # failure in job https://hydra.nixos.org/build/233235248 at 2023-09-02 + - danibot # failure in job https://hydra.nixos.org/build/233197740 at 2023-09-02 + - Dao # failure in job https://hydra.nixos.org/build/233207745 at 2023-09-02 + - dao # failure in job https://hydra.nixos.org/build/233240654 at 2023-09-02 + - darcs2dot # failure in job https://hydra.nixos.org/build/233209236 at 2023-09-02 + - darcs-buildpackage # failure in job https://hydra.nixos.org/build/233213566 at 2023-09-02 + - darcs-cabalized # failure in job https://hydra.nixos.org/build/233234765 at 2023-09-02 + - darcs-graph # failure in job https://hydra.nixos.org/build/233245230 at 2023-09-02 + - darcs-monitor # failure in job https://hydra.nixos.org/build/233249455 at 2023-09-02 + - darkplaces-rcon # failure in job https://hydra.nixos.org/build/233247609 at 2023-09-02 + - darkplaces-text # failure in job https://hydra.nixos.org/build/233249204 at 2023-09-02 + - data-accessor-monadLib # failure in job https://hydra.nixos.org/build/233212217 at 2023-09-02 + - data-accessor-monads-fd # failure in job https://hydra.nixos.org/build/233222664 at 2023-09-02 + - data-accessor-monads-tf # failure in job https://hydra.nixos.org/build/233252242 at 2023-09-02 + - data-accessor-template # failure in job https://hydra.nixos.org/build/233210431 at 2023-09-02 + - data-ascii # failure in job https://hydra.nixos.org/build/233254894 at 2023-09-02 + - data-aviary # failure in job https://hydra.nixos.org/build/233243398 at 2023-09-02 + - data-base # failure in job https://hydra.nixos.org/build/233217341 at 2023-09-02 + - database-migrate # failure in job https://hydra.nixos.org/build/233201597 at 2023-09-02 + - database-study # failure in job https://hydra.nixos.org/build/233222466 at 2023-09-02 + - data-check # failure in job https://hydra.nixos.org/build/233210384 at 2023-09-02 + - data-combinator-gen # failure in job https://hydra.nixos.org/build/233193769 at 2023-09-02 + - data-concurrent-queue # failure in job https://hydra.nixos.org/build/233252841 at 2023-09-02 + - data-construction # failure in job https://hydra.nixos.org/build/233251464 at 2023-09-02 + - data-constructors # failure in job https://hydra.nixos.org/build/233241097 at 2023-09-02 + - data-default-instances-new-base # failure in job https://hydra.nixos.org/build/233256824 at 2023-09-02 + - data-default-instances-text # failure in job https://hydra.nixos.org/build/233209026 at 2023-09-02 + - data-dispersal # failure in job https://hydra.nixos.org/build/233242611 at 2023-09-02 + - data-diverse-lens # failure in job https://hydra.nixos.org/build/233221672 at 2023-09-02 + - datadog # failure in job https://hydra.nixos.org/build/233191124 at 2023-09-02 + - data-easy # failure in job https://hydra.nixos.org/build/233250802 at 2023-09-02 + - data-embed # failure in job https://hydra.nixos.org/build/233201230 at 2023-09-02 + - data-emoticons # failure in job https://hydra.nixos.org/build/233255035 at 2023-09-02 + - data-filepath # failure in job https://hydra.nixos.org/build/233243231 at 2023-09-02 + - data-filter # failure in job https://hydra.nixos.org/build/233240259 at 2023-09-02 + - data-fin # failure in job https://hydra.nixos.org/build/233216426 at 2023-09-02 + - data-fin-simple # failure in job https://hydra.nixos.org/build/233191648 at 2023-09-02 + - data-flagset # failure in job https://hydra.nixos.org/build/233211231 at 2023-09-02 + - data-forced # failure in job https://hydra.nixos.org/build/233242817 at 2023-09-02 + - data-index # failure in job https://hydra.nixos.org/build/233197067 at 2023-09-02 + - DataIndex # failure in job https://hydra.nixos.org/build/233254506 at 2023-09-02 + - data-ivar # failure in job https://hydra.nixos.org/build/233239043 at 2023-09-02 + - data-kiln # failure in job https://hydra.nixos.org/build/233220764 at 2023-09-02 + - data-lens-fd # failure in job https://hydra.nixos.org/build/233252537 at 2023-09-02 + - data-lens-template # failure in job https://hydra.nixos.org/build/233194446 at 2023-09-02 + - datalog # failure in job https://hydra.nixos.org/build/233242707 at 2023-09-02 + - data-map-multikey # failure in job https://hydra.nixos.org/build/233223141 at 2023-09-02 + - data-named # failure in job https://hydra.nixos.org/build/233196088 at 2023-09-02 + - data-nat # failure in job https://hydra.nixos.org/build/233226801 at 2023-09-02 + - data-object # failure in job https://hydra.nixos.org/build/233207567 at 2023-09-02 + - datapacker # failure in job https://hydra.nixos.org/build/233206524 at 2023-09-02 + - data-pdf-fieldreader # failure in job https://hydra.nixos.org/build/233246028 at 2023-09-02 + - data-pprint # failure in job https://hydra.nixos.org/build/233221300 at 2023-09-02 + - data-quotientref # failure in job https://hydra.nixos.org/build/233258168 at 2023-09-02 + - data-reify-cse # failure in job https://hydra.nixos.org/build/233240126 at 2023-09-02 + - data-repr # failure in job https://hydra.nixos.org/build/233255402 at 2023-09-02 + - data-rev # failure in job https://hydra.nixos.org/build/233239036 at 2023-09-02 + - datarobot # failure in job https://hydra.nixos.org/build/233206913 at 2023-09-02 + - Data-Rope # failure in job https://hydra.nixos.org/build/233194176 at 2023-09-02 + - data-rope # failure in job https://hydra.nixos.org/build/233245124 at 2023-09-02 + - data-r-tree # failure in job https://hydra.nixos.org/build/233232284 at 2023-09-02 + - data-size # failure in job https://hydra.nixos.org/build/233192633 at 2023-09-02 + - data-spacepart # failure in job https://hydra.nixos.org/build/233239099 at 2023-09-02 + - data-standards # failure in job https://hydra.nixos.org/build/233219444 at 2023-09-02 + - data-store # failure in job https://hydra.nixos.org/build/233239477 at 2023-09-02 + - data-stringmap # failure in job https://hydra.nixos.org/build/233218683 at 2023-09-02 + - DataTreeView # failure in job https://hydra.nixos.org/build/233213871 at 2023-09-02 + - data-type # failure in job https://hydra.nixos.org/build/233196761 at 2023-09-02 + - data-util # failure in job https://hydra.nixos.org/build/233194965 at 2023-09-02 + - data-validation # failure in job https://hydra.nixos.org/build/233217804 at 2023-09-02 + - data-variant # failure in job https://hydra.nixos.org/build/233236491 at 2023-09-02 + - data-vector-growable # failure in job https://hydra.nixos.org/build/233244511 at 2023-09-02 + - dates # failure in job https://hydra.nixos.org/build/233194418 at 2023-09-02 + - datetime # failure in job https://hydra.nixos.org/build/233231333 at 2023-09-02 + - datetime-sb # failure in job https://hydra.nixos.org/build/233213753 at 2023-09-02 + - dawdle # failure in job https://hydra.nixos.org/build/233201776 at 2023-09-02 + - dawg # failure in job https://hydra.nixos.org/build/233198731 at 2023-09-02 + - dawg-ord # failure in job https://hydra.nixos.org/build/233192491 at 2023-09-02 + - daytripper # failure in job https://hydra.nixos.org/build/233233486 at 2023-09-02 + - dbcleaner # failure in job https://hydra.nixos.org/build/233203745 at 2023-09-02 + - dbf # failure in job https://hydra.nixos.org/build/233256644 at 2023-09-02 + - DBlimited # failure in job https://hydra.nixos.org/build/233249214 at 2023-09-02 + - dbm # failure in job https://hydra.nixos.org/build/233191264 at 2023-09-02 + - dbmigrations # failure in job https://hydra.nixos.org/build/233242055 at 2023-09-02 + - dbmonitor # failure in job https://hydra.nixos.org/build/234451674 at 2023-09-13 + - dbus-core # failure in job https://hydra.nixos.org/build/233228888 at 2023-09-02 + - DBus # failure in job https://hydra.nixos.org/build/233207529 at 2023-09-02 + - d-bus # failure in job https://hydra.nixos.org/build/233228668 at 2023-09-02 + - dbus-qq # failure in job https://hydra.nixos.org/build/233219927 at 2023-09-02 + - dclabel-eci11 # failure in job https://hydra.nixos.org/build/233210572 at 2023-09-02 + - dclabel # failure in job https://hydra.nixos.org/build/233231206 at 2023-09-02 + - dcpu16 # failure in job https://hydra.nixos.org/build/233201425 at 2023-09-02 + - ddc-base # failure in job https://hydra.nixos.org/build/233190710 at 2023-09-02 + - ddc-code # failure in job https://hydra.nixos.org/build/233226278 at 2023-09-02 + - dead-code-detection # failure in job https://hydra.nixos.org/build/233205957 at 2023-09-02 + - Deadpan-DDP # failure in job https://hydra.nixos.org/build/233221990 at 2023-09-02 + - dead-simple-json # failure in job https://hydra.nixos.org/build/233204301 at 2023-09-02 + - dear-imgui # failure in job https://hydra.nixos.org/build/233238246 at 2023-09-02 + - debugger-hs # failure in job https://hydra.nixos.org/build/233206302 at 2023-09-02 + - debug-me # failure in job https://hydra.nixos.org/build/233213991 at 2023-09-02 + - debug-trace-file # failure in job https://hydra.nixos.org/build/233231840 at 2023-09-02 + - debug-tracy # failure in job https://hydra.nixos.org/build/233242924 at 2023-09-02 + - decepticons # failure in job https://hydra.nixos.org/build/233258681 at 2023-09-02 + - decision-diagrams # failure in job https://hydra.nixos.org/build/233239021 at 2023-09-02 + - DecisionTree # failure in job https://hydra.nixos.org/build/233231682 at 2023-09-02 + - decoder-conduit # failure in job https://hydra.nixos.org/build/233228100 at 2023-09-02 + - deepcontrol # failure in job https://hydra.nixos.org/build/233238035 at 2023-09-02 + - DeepDarkFantasy # failure in job https://hydra.nixos.org/build/233242150 at 2023-09-02 + - deepl # failure in job https://hydra.nixos.org/build/233232956 at 2023-09-02 + - deepseq-bounded # failure in job https://hydra.nixos.org/build/233211193 at 2023-09-02 + - deepseq-instances # failure in job https://hydra.nixos.org/build/233236748 at 2023-09-02 + - deepseq-magic # failure in job https://hydra.nixos.org/build/233228993 at 2023-09-02 + - deepseq-th # failure in job https://hydra.nixos.org/build/233233106 at 2023-09-02 + - definitive-base # failure in job https://hydra.nixos.org/build/233255489 at 2023-09-02 + - deiko-config # failure in job https://hydra.nixos.org/build/233210895 at 2023-09-02 + - deka # failure in job https://hydra.nixos.org/build/233206540 at 2023-09-02 + - Delta-Lambda # failure in job https://hydra.nixos.org/build/233239406 at 2023-09-02 + - delude # failure in job https://hydra.nixos.org/build/233231224 at 2023-09-02 + - demarcate # failure in job https://hydra.nixos.org/build/233194005 at 2023-09-02 + - denominate # failure in job https://hydra.nixos.org/build/233214619 at 2023-09-02 + - dense # failure in job https://hydra.nixos.org/build/233205807 at 2023-09-02 + - dense-int-set # failure in job https://hydra.nixos.org/build/233214797 at 2023-09-02 + - dependent-hashmap # failure in job https://hydra.nixos.org/build/233202881 at 2023-09-02 + - dependent-monoidal-map # failure in job https://hydra.nixos.org/build/233212829 at 2023-09-02 + - dep-t # failure in job https://hydra.nixos.org/build/233217847 at 2023-09-02 + - deptrack-core # failure in job https://hydra.nixos.org/build/233239143 at 2023-09-02 + - derangement # failure in job https://hydra.nixos.org/build/233209660 at 2023-09-02 + - derivation-trees # failure in job https://hydra.nixos.org/build/233254679 at 2023-09-02 + - derive-enumerable # failure in job https://hydra.nixos.org/build/233254290 at 2023-09-02 + - derive # failure in job https://hydra.nixos.org/build/233209166 at 2023-09-02 + - derive-gadt # failure in job https://hydra.nixos.org/build/233220430 at 2023-09-02 + - deriveJsonNoPrefix # failure in job https://hydra.nixos.org/build/233242453 at 2023-09-02 + - derive-lifted-instances # failure in job https://hydra.nixos.org/build/233194868 at 2023-09-02 + - derive-monoid # failure in job https://hydra.nixos.org/build/233205670 at 2023-09-02 + - derive-trie # failure in job https://hydra.nixos.org/build/233207961 at 2023-09-02 + - derp-lib # failure in job https://hydra.nixos.org/build/233199053 at 2023-09-02 + - describe # failure in job https://hydra.nixos.org/build/233207296 at 2023-09-02 + - desktop-portal # failure in job https://hydra.nixos.org/build/233241462 at 2023-09-02 + - deterministic-game-engine # failure in job https://hydra.nixos.org/build/233259188 at 2023-09-02 + - detrospector # failure in job https://hydra.nixos.org/build/233209424 at 2023-09-02 + - deunicode # failure in job https://hydra.nixos.org/build/233220923 at 2023-09-02 + - devil # failure in job https://hydra.nixos.org/build/233251561 at 2023-09-02 + - df1-html # failure in job https://hydra.nixos.org/build/233251495 at 2023-09-02 + - Dflow # failure in job https://hydra.nixos.org/build/233233514 at 2023-09-02 + - dfsbuild # failure in job https://hydra.nixos.org/build/233209260 at 2023-09-02 + - dgim # failure in job https://hydra.nixos.org/build/233227538 at 2023-09-02 + - dgs # failure in job https://hydra.nixos.org/build/233221509 at 2023-09-02 + - dhall-check # failure in job https://hydra.nixos.org/build/233206425 at 2023-09-02 + - dhall-csv # failure in job https://hydra.nixos.org/build/233256049 at 2023-09-02 + - dhall-fly # failure in job https://hydra.nixos.org/build/233220306 at 2023-09-02 + - dhall-lsp-server # failure in job https://hydra.nixos.org/build/233216852 at 2023-09-02 + - dhall-recursive-adt # failure in job https://hydra.nixos.org/build/233210665 at 2023-09-02 + - dhall-text # failure in job https://hydra.nixos.org/build/233253809 at 2023-09-02 + - dhall-to-cabal # failure in job https://hydra.nixos.org/build/233193270 at 2023-09-02 + - dhcp-lease-parser # failure in job https://hydra.nixos.org/build/233229124 at 2023-09-02 + - dhrun # failure in job https://hydra.nixos.org/build/233227529 at 2023-09-02 + - dia-base # failure in job https://hydra.nixos.org/build/233230896 at 2023-09-02 + - diagnose # failure in job https://hydra.nixos.org/build/233231767 at 2023-09-02 + - diagrams-boolean # failure in job https://hydra.nixos.org/build/233202036 at 2023-09-02 + - diagrams-pdf # failure in job https://hydra.nixos.org/build/233197864 at 2023-09-02 + - diagrams-qrcode # failure in job https://hydra.nixos.org/build/233229542 at 2023-09-02 + - diagrams-rubiks-cube # failure in job https://hydra.nixos.org/build/233213426 at 2023-09-02 + - diagrams-tikz # failure in job https://hydra.nixos.org/build/233237968 at 2023-09-02 + - dialogflow-fulfillment # failure in job https://hydra.nixos.org/build/233214148 at 2023-09-02 + - dialogue # failure in job https://hydra.nixos.org/build/233214533 at 2023-09-02 + - dib # failure in job https://hydra.nixos.org/build/233222111 at 2023-09-02 + - dice2tex # failure in job https://hydra.nixos.org/build/233240419 at 2023-09-02 + - dicom # failure in job https://hydra.nixos.org/build/233222473 at 2023-09-02 + - dictionaries # failure in job https://hydra.nixos.org/build/233210367 at 2023-09-02 + - dictparser # failure in job https://hydra.nixos.org/build/233215487 at 2023-09-02 + - diet # failure in job https://hydra.nixos.org/build/233259625 at 2023-09-02 + - diffcabal # failure in job https://hydra.nixos.org/build/233203648 at 2023-09-02 + - DifferentialEvolution # failure in job https://hydra.nixos.org/build/233230795 at 2023-09-02 + - diff-gestalt # failure in job https://hydra.nixos.org/build/233195164 at 2023-09-02 + - diffmap # failure in job https://hydra.nixos.org/build/233219852 at 2023-09-02 + - difftodo # failure in job https://hydra.nixos.org/build/233215179 at 2023-09-02 + - digestive-bootstrap # failure in job https://hydra.nixos.org/build/233208165 at 2023-09-02 + - digestive-functors-aeson # failure in job https://hydra.nixos.org/build/233207417 at 2023-09-02 + - digestive-functors-happstack # failure in job https://hydra.nixos.org/build/233222641 at 2023-09-02 + - digestive-functors-lucid # failure in job https://hydra.nixos.org/build/233209397 at 2023-09-02 + - digestive-functors-snap # failure in job https://hydra.nixos.org/build/233238117 at 2023-09-02 + - digest-pure # failure in job https://hydra.nixos.org/build/233194135 at 2023-09-02 + - DigitalOcean # failure in job https://hydra.nixos.org/build/233231291 at 2023-09-02 + - digitalocean-kzs # failure in job https://hydra.nixos.org/build/233251576 at 2023-09-02 + - dijkstra-simple # failure in job https://hydra.nixos.org/build/233218373 at 2023-09-02 + - DimensionalHash # failure in job https://hydra.nixos.org/build/233230945 at 2023-09-02 + - diophantine # failure in job https://hydra.nixos.org/build/233229215 at 2023-09-02 + - diplomacy # failure in job https://hydra.nixos.org/build/233207895 at 2023-09-02 + - direct-binary-files # failure in job https://hydra.nixos.org/build/233246387 at 2023-09-02 + - directed-cubical # failure in job https://hydra.nixos.org/build/233215175 at 2023-09-02 + - direct-fastcgi # failure in job https://hydra.nixos.org/build/233258737 at 2023-09-02 + - direct-http # failure in job https://hydra.nixos.org/build/233232796 at 2023-09-02 + - directory-ospath-streaming # failure in job https://hydra.nixos.org/build/233240003 at 2023-09-02 + - direct-plugins # failure in job https://hydra.nixos.org/build/233211547 at 2023-09-02 + - direm # failure in job https://hydra.nixos.org/build/233211496 at 2023-09-02 + - disco # failure in job https://hydra.nixos.org/build/233212298 at 2023-09-02 + - discordian-calendar # failure in job https://hydra.nixos.org/build/233218124 at 2023-09-02 + - discord-types # failure in job https://hydra.nixos.org/build/233251778 at 2023-09-02 + - discrete # failure in job https://hydra.nixos.org/build/233206492 at 2023-09-02 + - DiscussionSupportSystem # failure in job https://hydra.nixos.org/build/233244662 at 2023-09-02 + - Dish # failure in job https://hydra.nixos.org/build/233233264 at 2023-09-02 + - disjoint-containers # failure in job https://hydra.nixos.org/build/233219391 at 2023-09-02 + - disjoint-set # failure in job https://hydra.nixos.org/build/233201934 at 2023-09-02 + - disjoint-set-stateful # failure in job https://hydra.nixos.org/build/233253300 at 2023-09-02 + - diskhash # failure in job https://hydra.nixos.org/build/233230362 at 2023-09-02 + - distance # failure in job https://hydra.nixos.org/build/233255082 at 2023-09-02 + - Dist # failure in job https://hydra.nixos.org/build/233217811 at 2023-09-02 + - distributed-closure # failure in job https://hydra.nixos.org/build/233223516 at 2023-09-02 + - distribution # failure in job https://hydra.nixos.org/build/233227278 at 2023-09-02 + - dist-upload # failure in job https://hydra.nixos.org/build/233225018 at 2023-09-02 + - ditto-lucid # failure in job https://hydra.nixos.org/build/233256989 at 2023-09-02 + - djembe # failure in job https://hydra.nixos.org/build/233201037 at 2023-09-02 + - djinn-ghc # failure in job https://hydra.nixos.org/build/233250488 at 2023-09-02 + - djinn-th # failure in job https://hydra.nixos.org/build/233219394 at 2023-09-02 + - dmcc # failure in job https://hydra.nixos.org/build/233259362 at 2023-09-02 + - dmenu # failure in job https://hydra.nixos.org/build/233230756 at 2023-09-02 + - dnscache # failure in job https://hydra.nixos.org/build/233227512 at 2023-09-02 + - dns-patterns # failure in job https://hydra.nixos.org/build/233251499 at 2023-09-02 + - dnsrbl # failure in job https://hydra.nixos.org/build/233196401 at 2023-09-02 + - dnssd # failure in job https://hydra.nixos.org/build/233194195 at 2023-09-02 + - dobutok # failure in job https://hydra.nixos.org/build/233221133 at 2023-09-02 + - dobutokO # failure in job https://hydra.nixos.org/build/233259278 at 2023-09-02 + - doccheck # failure in job https://hydra.nixos.org/build/233234564 at 2023-09-02 + - docidx # failure in job https://hydra.nixos.org/build/233253428 at 2023-09-02 + - docker-build-cacher # failure in job https://hydra.nixos.org/build/233209897 at 2023-09-02 + - dockercook # failure in job https://hydra.nixos.org/build/233243738 at 2023-09-02 + - docker # failure in job https://hydra.nixos.org/build/233195921 at 2023-09-02 + - dockerfile-creator # failure in job https://hydra.nixos.org/build/233225136 at 2023-09-02 + - docopt # failure in job https://hydra.nixos.org/build/233256772 at 2023-09-02 + - docrecords # failure in job https://hydra.nixos.org/build/233218633 at 2023-09-02 + - doctest-discover-configurator # failure in job https://hydra.nixos.org/build/233200147 at 2023-09-02 + - doctest-prop # failure in job https://hydra.nixos.org/build/233255947 at 2023-09-02 + - docusign-example # failure in job https://hydra.nixos.org/build/233242945 at 2023-09-02 + - docvim # failure in job https://hydra.nixos.org/build/233206985 at 2023-09-02 + - DOH # failure in job https://hydra.nixos.org/build/233231913 at 2023-09-02 + - domaindriven-core # failure in job https://hydra.nixos.org/build/233234739 at 2023-09-02 + - domain-optics # failure in job https://hydra.nixos.org/build/233255232 at 2023-09-02 + - dom-events # failure in job https://hydra.nixos.org/build/233231199 at 2023-09-02 + - dom-parser # failure in job https://hydra.nixos.org/build/233235797 at 2023-09-02 + - domplate # failure in job https://hydra.nixos.org/build/233241400 at 2023-09-02 + - dom-selector # failure in job https://hydra.nixos.org/build/233212663 at 2023-09-02 + - do-notation-dsl # failure in job https://hydra.nixos.org/build/233252824 at 2023-09-02 + - dormouse-uri # failure in job https://hydra.nixos.org/build/233191706 at 2023-09-02 + - do-spaces # failure in job https://hydra.nixos.org/build/233244331 at 2023-09-02 + - dotfs # failure in job https://hydra.nixos.org/build/233200762 at 2023-09-02 + - dot-linker # failure in job https://hydra.nixos.org/build/233237512 at 2023-09-02 + - doublezip # failure in job https://hydra.nixos.org/build/233219270 at 2023-09-02 + - doublify-toolkit # failure in job https://hydra.nixos.org/build/233223302 at 2023-09-02 + - downloader # failure in job https://hydra.nixos.org/build/233195131 at 2023-09-02 + - dozenal # failure in job https://hydra.nixos.org/build/233255439 at 2023-09-02 + - dozens # failure in job https://hydra.nixos.org/build/233200638 at 2023-09-02 + - dph-base # failure in job https://hydra.nixos.org/build/233211189 at 2023-09-02 + - dpkg # failure in job https://hydra.nixos.org/build/233663149 at 2023-09-02 + - DPM # failure in job https://hydra.nixos.org/build/233191307 at 2023-09-02 + - dpor # failure in job https://hydra.nixos.org/build/233213785 at 2023-09-02 + - dragen # failure in job https://hydra.nixos.org/build/233254270 at 2023-09-02 + - drawille # failure in job https://hydra.nixos.org/build/233226647 at 2023-09-02 + - dr-cabal # failure in job https://hydra.nixos.org/build/233253361 at 2023-09-02 + - drClickOn # failure in job https://hydra.nixos.org/build/233217916 at 2023-09-02 + - dresdner-verkehrsbetriebe # failure in job https://hydra.nixos.org/build/233222542 at 2023-09-02 + - DrIFT-cabalized # failure in job https://hydra.nixos.org/build/233221273 at 2023-09-02 + - drifter-postgresql # failure in job https://hydra.nixos.org/build/233248442 at 2023-09-02 + - DrIFT # failure in job https://hydra.nixos.org/build/233220463 at 2023-09-02 + - drmaa # failure in job https://hydra.nixos.org/build/233241074 at 2023-09-02 + - drone # failure in job https://hydra.nixos.org/build/233207037 at 2023-09-02 + - dropbox # failure in job https://hydra.nixos.org/build/233598046 at 2023-09-02 + - dsc # failure in job https://hydra.nixos.org/build/233243851 at 2023-09-02 + - ds-kanren # failure in job https://hydra.nixos.org/build/233216074 at 2023-09-02 + - dson # failure in job https://hydra.nixos.org/build/233210971 at 2023-09-02 + - dson-parsec # failure in job https://hydra.nixos.org/build/233236453 at 2023-09-02 + - dstring # failure in job https://hydra.nixos.org/build/233238408 at 2023-09-02 + - dsv # failure in job https://hydra.nixos.org/build/233228032 at 2023-09-02 + - DTC # failure in job https://hydra.nixos.org/build/233252009 at 2023-09-02 + - dtd-text # failure in job https://hydra.nixos.org/build/233203050 at 2023-09-02 + - dtw # failure in job https://hydra.nixos.org/build/233198932 at 2023-09-02 + - dual-game # failure in job https://hydra.nixos.org/build/234439752 at 2023-09-13 + - dualizer # failure in job https://hydra.nixos.org/build/233237592 at 2023-09-02 + - duckling # failure in job https://hydra.nixos.org/build/233247880 at 2023-09-02 + - duet # failure in job https://hydra.nixos.org/build/233219004 at 2023-09-02 + - dump-core # failure in job https://hydra.nixos.org/build/233244428 at 2023-09-02 + - dunai-core # failure in job https://hydra.nixos.org/build/233255804 at 2023-09-02 + - Dung # failure in job https://hydra.nixos.org/build/233206343 at 2023-09-02 + - duplo # failure in job https://hydra.nixos.org/build/233237341 at 2023-09-02 + - dura # failure in job https://hydra.nixos.org/build/233210320 at 2023-09-02 + - duration # failure in job https://hydra.nixos.org/build/233207705 at 2023-09-02 + - dvault # failure in job https://hydra.nixos.org/build/233225259 at 2023-09-02 + - dvdread # failure in job https://hydra.nixos.org/build/233227587 at 2023-09-02 + - dvi-processing # failure in job https://hydra.nixos.org/build/233224281 at 2023-09-02 + - dwarfadt # failure in job https://hydra.nixos.org/build/233254895 at 2023-09-02 + - dwarf # failure in job https://hydra.nixos.org/build/233244074 at 2023-09-02 + - dyckword # failure in job https://hydra.nixos.org/build/233256385 at 2023-09-02 + - dyna-brick # failure in job https://hydra.nixos.org/build/233193254 at 2023-09-02 + - dynamic-graphs # failure in job https://hydra.nixos.org/build/233210231 at 2023-09-02 + - dynamic-mvector # failure in job https://hydra.nixos.org/build/233252826 at 2023-09-02 + - dynamic-object # failure in job https://hydra.nixos.org/build/233216605 at 2023-09-02 + - DynamicTimeWarp # failure in job https://hydra.nixos.org/build/233238244 at 2023-09-02 + - dyna-processing # failure in job https://hydra.nixos.org/build/234451875 at 2023-09-13 + - dynloader # failure in job https://hydra.nixos.org/build/233239248 at 2023-09-02 + - DysFRP # failure in job https://hydra.nixos.org/build/233214134 at 2023-09-02 + - dywapitchtrack # failure in job https://hydra.nixos.org/build/233195810 at 2023-09-02 + - dzen-dhall # failure in job https://hydra.nixos.org/build/233245800 at 2023-09-02 + - dzen-utils # failure in job https://hydra.nixos.org/build/233217831 at 2023-09-02 + - each # failure in job https://hydra.nixos.org/build/233259543 at 2023-09-02 + - eager-sockets # failure in job https://hydra.nixos.org/build/233212504 at 2023-09-02 + - earclipper # failure in job https://hydra.nixos.org/build/233212721 at 2023-09-02 + - earcut # failure in job https://hydra.nixos.org/build/233211385 at 2023-09-02 + - early # failure in job https://hydra.nixos.org/build/233252768 at 2023-09-02 + - easy-api # failure in job https://hydra.nixos.org/build/233208757 at 2023-09-02 + - easy-args # failure in job https://hydra.nixos.org/build/233221956 at 2023-09-02 + - easy-bitcoin # failure in job https://hydra.nixos.org/build/233201882 at 2023-09-02 + - easyjson # failure in job https://hydra.nixos.org/build/233199317 at 2023-09-02 + - easyplot # failure in job https://hydra.nixos.org/build/233213312 at 2023-09-02 + - easytest # failure in job https://hydra.nixos.org/build/233209710 at 2023-09-02 + - ebeats # failure in job https://hydra.nixos.org/build/233235039 at 2023-09-02 + - ebnf-bff # failure in job https://hydra.nixos.org/build/233221694 at 2023-09-02 + - eccrypto-ed25519-bindings # failure in job https://hydra.nixos.org/build/233217399 at 2023-09-02 + - ecma262 # failure in job https://hydra.nixos.org/build/233260026 at 2023-09-02 + - ecta-plugin # failure in job https://hydra.nixos.org/build/233224764 at 2023-09-02 + - ecu # failure in job https://hydra.nixos.org/build/233230797 at 2023-09-02 + - eddie # failure in job https://hydra.nixos.org/build/233254499 at 2023-09-02 + - ede # failure in job https://hydra.nixos.org/build/233207345 at 2023-09-02 + - edenmodules # failure in job https://hydra.nixos.org/build/233255833 at 2023-09-02 + - edf # failure in job https://hydra.nixos.org/build/233257484 at 2023-09-02 + - edis # failure in job https://hydra.nixos.org/build/233258962 at 2023-09-02 + - edit # failure in job https://hydra.nixos.org/build/233237521 at 2023-09-02 + - edit-lenses # failure in job https://hydra.nixos.org/build/233195827 at 2023-09-02 + - editline # failure in job https://hydra.nixos.org/build/233259515 at 2023-09-02 + - effectful-st # failure in job https://hydra.nixos.org/build/233248591 at 2023-09-02 + - effect-handlers # failure in job https://hydra.nixos.org/build/233234988 at 2023-09-02 + - effective-aspects # failure in job https://hydra.nixos.org/build/233223120 at 2023-09-02 + - effect-stack # failure in job https://hydra.nixos.org/build/233212358 at 2023-09-02 + - effet # failure in job https://hydra.nixos.org/build/233204265 at 2023-09-02 + - effin # failure in job https://hydra.nixos.org/build/233212960 at 2023-09-02 + - egison-pattern-src # failure in job https://hydra.nixos.org/build/233248458 at 2023-09-02 + - ehaskell # failure in job https://hydra.nixos.org/build/233196183 at 2023-09-02 + - ehs # failure in job https://hydra.nixos.org/build/233234594 at 2023-09-02 + - eibd-client-simple # failure in job https://hydra.nixos.org/build/233225416 at 2023-09-02 + - eigen # failure in job https://hydra.nixos.org/build/233204115 at 2023-09-02 + - Eight-Ball-Pool-Hack-Cheats # failure in job https://hydra.nixos.org/build/233211937 at 2023-09-02 + - eio # failure in job https://hydra.nixos.org/build/233256103 at 2023-09-02 + - EitherT # failure in job https://hydra.nixos.org/build/233217056 at 2023-09-02 + - either-unwrap # failure in job https://hydra.nixos.org/build/233254495 at 2023-09-02 + - ejdb2-binding # failure in job https://hydra.nixos.org/build/233253666 at 2023-09-02 + - ekg-bosun # failure in job https://hydra.nixos.org/build/233250564 at 2023-09-02 + - ekg-elastic # failure in job https://hydra.nixos.org/build/233204565 at 2023-09-02 + - ekg-elasticsearch # failure in job https://hydra.nixos.org/build/233234000 at 2023-09-02 + - ekg-json # failure in job https://hydra.nixos.org/build/233245374 at 2023-09-02 + - ekg-log # failure in job https://hydra.nixos.org/build/233218201 at 2023-09-02 + - ekg-prometheus-adapter # failure in job https://hydra.nixos.org/build/233226437 at 2023-09-02 + - ekg-push # failure in job https://hydra.nixos.org/build/233249323 at 2023-09-02 + - ekg-rrd # failure in job https://hydra.nixos.org/build/233214672 at 2023-09-02 + - ekg-statsd # failure in job https://hydra.nixos.org/build/233200955 at 2023-09-02 + - elevator # failure in job https://hydra.nixos.org/build/233213794 at 2023-09-02 + - elision # failure in job https://hydra.nixos.org/build/233218969 at 2023-09-02 + - elm-export-persistent # failure in job https://hydra.nixos.org/build/233248910 at 2023-09-02 + - elm-websocket # failure in job https://hydra.nixos.org/build/233192201 at 2023-09-02 + - elocrypt # failure in job https://hydra.nixos.org/build/233229627 at 2023-09-02 + - ema-generics # failure in job https://hydra.nixos.org/build/233200038 at 2023-09-02 + - emailaddress # failure in job https://hydra.nixos.org/build/233202700 at 2023-09-02 + - email-header # failure in job https://hydra.nixos.org/build/233243713 at 2023-09-02 + - email-postmark # failure in job https://hydra.nixos.org/build/233245426 at 2023-09-02 + - embed-config # failure in job https://hydra.nixos.org/build/233237733 at 2023-09-02 + - embla # failure in job https://hydra.nixos.org/build/233206703 at 2023-09-02 + - emgm # failure in job https://hydra.nixos.org/build/233257789 at 2023-09-02 + - Emping # failure in job https://hydra.nixos.org/build/233229400 at 2023-09-02 + - Empty # failure in job https://hydra.nixos.org/build/233228745 at 2023-09-02 + - empty-monad # failure in job https://hydra.nixos.org/build/233259244 at 2023-09-02 + - enchant # failure in job https://hydra.nixos.org/build/233196992 at 2023-09-02 + - encode-string # failure in job https://hydra.nixos.org/build/233251833 at 2023-09-02 + - encoding # failure in job https://hydra.nixos.org/build/233216476 at 2023-09-02 + - encoding-io # failure in job https://hydra.nixos.org/build/233208714 at 2023-09-02 + - encryptable # failure in job https://hydra.nixos.org/build/233215911 at 2023-09-02 + - endo # failure in job https://hydra.nixos.org/build/233222561 at 2023-09-02 + - engine-io # failure in job https://hydra.nixos.org/build/233234034 at 2023-09-02 + - entwine # failure in job https://hydra.nixos.org/build/233239261 at 2023-09-02 + - EnumContainers # failure in job https://hydra.nixos.org/build/233258056 at 2023-09-02 + - enumerator # failure in job https://hydra.nixos.org/build/233246612 at 2023-09-02 + - EnumMap # failure in job https://hydra.nixos.org/build/233250249 at 2023-09-02 + - enummapmap # failure in job https://hydra.nixos.org/build/233228525 at 2023-09-02 + - enummapset-th # failure in job https://hydra.nixos.org/build/233225322 at 2023-09-02 + - enummaps # failure in job https://hydra.nixos.org/build/233251965 at 2023-09-02 + - enum-text # failure in job https://hydra.nixos.org/build/233194373 at 2023-09-02 + - enum-utf8 # failure in job https://hydra.nixos.org/build/233234628 at 2023-09-02 + - envelope # failure in job https://hydra.nixos.org/build/233199309 at 2023-09-02 + - env-parser # failure in job https://hydra.nixos.org/build/233237933 at 2023-09-02 + - envstatus # failure in job https://hydra.nixos.org/build/233257940 at 2023-09-02 + - envy-extensible # failure in job https://hydra.nixos.org/build/233229313 at 2023-09-02 + - epanet-haskell # failure in job https://hydra.nixos.org/build/233197331 at 2023-09-02 + - epass # failure in job https://hydra.nixos.org/build/233194117 at 2023-09-02 + - epic # failure in job https://hydra.nixos.org/build/233204921 at 2023-09-02 + - epi-sim # failure in job https://hydra.nixos.org/build/233246076 at 2023-09-02 + - epoll # failure in job https://hydra.nixos.org/build/233247564 at 2023-09-02 + - epubname # failure in job https://hydra.nixos.org/build/233198937 at 2023-09-02 + - Eq # failure in job https://hydra.nixos.org/build/233200216 at 2023-09-02 + - EqualitySolver # failure in job https://hydra.nixos.org/build/233190999 at 2023-09-02 + - equational-reasoning-induction # failure in job https://hydra.nixos.org/build/233235280 at 2023-09-02 + - equeue # failure in job https://hydra.nixos.org/build/233237274 at 2023-09-02 + - erd # failure in job https://hydra.nixos.org/build/233215438 at 2023-09-02 + - erlang # failure in job https://hydra.nixos.org/build/233195837 at 2023-09-02 + - erlang-ffi # failure in job https://hydra.nixos.org/build/233233314 at 2023-09-02 + - eros # failure in job https://hydra.nixos.org/build/233247983 at 2023-09-02 + - error-context # failure in job https://hydra.nixos.org/build/233245027 at 2023-09-02 + - error-continuations # failure in job https://hydra.nixos.org/build/233232357 at 2023-09-02 + - error-list # failure in job https://hydra.nixos.org/build/233237173 at 2023-09-02 + - error-loc # failure in job https://hydra.nixos.org/build/233243511 at 2023-09-02 + - error-util # failure in job https://hydra.nixos.org/build/233233764 at 2023-09-02 + - ersaconcat # failure in job https://hydra.nixos.org/build/233196142 at 2023-09-02 + - ersatz # failure in job https://hydra.nixos.org/build/233256809 at 2023-09-02 + - ert # failure in job https://hydra.nixos.org/build/233250717 at 2023-09-02 + - escape-artist # failure in job https://hydra.nixos.org/build/233195305 at 2023-09-02 + - escoger # failure in job https://hydra.nixos.org/build/233224731 at 2023-09-02 + - esqueleto-pgcrypto # failure in job https://hydra.nixos.org/build/233208955 at 2023-09-02 + - esqueleto-textsearch # failure in job https://hydra.nixos.org/build/233239400 at 2023-09-02 + - essence-of-live-coding # failure in job https://hydra.nixos.org/build/233216872 at 2023-09-02 + - ess # failure in job https://hydra.nixos.org/build/233218789 at 2023-09-02 + - EstProgress # failure in job https://hydra.nixos.org/build/233236617 at 2023-09-02 + - Etage # failure in job https://hydra.nixos.org/build/233219527 at 2023-09-02 + - etcd # failure in job https://hydra.nixos.org/build/233196041 at 2023-09-02 + - etc # failure in job https://hydra.nixos.org/build/233199192 at 2023-09-02 + - ethereum-rlp # failure in job https://hydra.nixos.org/build/233236392 at 2023-09-02 + - eurofxref # failure in job https://hydra.nixos.org/build/233230942 at 2023-09-02 + - eve-cli # failure in job https://hydra.nixos.org/build/233254555 at 2023-09-02 + - eved # failure in job https://hydra.nixos.org/build/233194319 at 2023-09-02 + - event-driven # failure in job https://hydra.nixos.org/build/233233946 at 2023-09-02 + - event # failure in job https://hydra.nixos.org/build/233209756 at 2023-09-02 + - eventsource-api # failure in job https://hydra.nixos.org/build/233243220 at 2023-09-02 + - eventsourced # failure in job https://hydra.nixos.org/build/233192731 at 2023-09-02 + - eventstore # failure in job https://hydra.nixos.org/build/233202734 at 2023-09-02 + - evoke # failure in job https://hydra.nixos.org/build/233213948 at 2023-09-02 + - ewe # failure in job https://hydra.nixos.org/build/233217228 at 2023-09-02 + - exact-cover # failure in job https://hydra.nixos.org/build/233203182 at 2023-09-02 + - exact-real-positional # failure in job https://hydra.nixos.org/build/233239627 at 2023-09-02 + - except-exceptions # failure in job https://hydra.nixos.org/build/233191953 at 2023-09-02 + - exceptional # failure in job https://hydra.nixos.org/build/233214345 at 2023-09-02 + - exceptionfree-readfile # failure in job https://hydra.nixos.org/build/233222995 at 2023-09-02 + - exception-monads-fd # failure in job https://hydra.nixos.org/build/233224946 at 2023-09-02 + - exception-via # failure in job https://hydra.nixos.org/build/233197670 at 2023-09-02 + - exchangerates # failure in job https://hydra.nixos.org/build/233230944 at 2023-09-02 + - execs # failure in job https://hydra.nixos.org/build/233210274 at 2023-09-02 + - executor # failure in job https://hydra.nixos.org/build/233250118 at 2023-09-02 + - exhaustive # failure in job https://hydra.nixos.org/build/233238024 at 2023-09-02 + - exherbo-cabal # failure in job https://hydra.nixos.org/build/233206319 at 2023-09-02 + - exh # failure in job https://hydra.nixos.org/build/233253883 at 2023-09-02 + - exif # failure in job https://hydra.nixos.org/build/233229247 at 2023-09-02 + - exigo-schema # failure in job https://hydra.nixos.org/build/233197808 at 2023-09-02 + - exinst-deepseq # failure in job https://hydra.nixos.org/build/233207947 at 2023-09-02 + - exinst-hashable # failure in job https://hydra.nixos.org/build/233210438 at 2023-09-02 + - exists # failure in job https://hydra.nixos.org/build/233243541 at 2023-09-02 + - exitcode # failure in job https://hydra.nixos.org/build/233238454 at 2023-09-02 + - exp-cache # failure in job https://hydra.nixos.org/build/233220561 at 2023-09-02 + - exp-extended # failure in job https://hydra.nixos.org/build/233236139 at 2023-09-02 + - explain # failure in job https://hydra.nixos.org/build/233207210 at 2023-09-02 + - explicit-constraint-lens # failure in job https://hydra.nixos.org/build/233230188 at 2023-09-02 + - explicit-determinant # failure in job https://hydra.nixos.org/build/233246543 at 2023-09-02 + - explicit-iomodes # failure in job https://hydra.nixos.org/build/233247342 at 2023-09-02 + - exploring-interpreters # failure in job https://hydra.nixos.org/build/233254448 at 2023-09-02 + - exposed-containers # failure in job https://hydra.nixos.org/build/233200558 at 2023-09-02 + - expression-parser # failure in job https://hydra.nixos.org/build/233250586 at 2023-09-02 + - expressions # failure in job https://hydra.nixos.org/build/233212192 at 2023-09-02 + - expresso # failure in job https://hydra.nixos.org/build/233208513 at 2023-09-02 + - extcore # failure in job https://hydra.nixos.org/build/233230369 at 2023-09-02 + - extended-categories # failure in job https://hydra.nixos.org/build/233258803 at 2023-09-02 + - extended-containers # failure in job https://hydra.nixos.org/build/233226876 at 2023-09-02 + - extensible-data # failure in job https://hydra.nixos.org/build/233198917 at 2023-09-02 + - extensible-effects-concurrent # failure in job https://hydra.nixos.org/build/233233685 at 2023-09-02 + - extensioneer # failure in job https://hydra.nixos.org/build/233663099 at 2023-09-02 + - external-sort # failure in job https://hydra.nixos.org/build/233244337 at 2023-09-02 + - extism # failure in job https://hydra.nixos.org/build/233242807 at 2023-09-02 + - extractelf # failure in job https://hydra.nixos.org/build/233240806 at 2023-09-02 + - Extra # failure in job https://hydra.nixos.org/build/233243671 at 2023-09-02 + - extralife # failure in job https://hydra.nixos.org/build/233236550 at 2023-09-02 + - ez3 # failure in job https://hydra.nixos.org/build/233233362 at 2023-09-02 + - ez-couch # failure in job https://hydra.nixos.org/build/233216577 at 2023-09-02 + - Facebook-Password-Hacker-Online-Latest-Version # failure in job https://hydra.nixos.org/build/233194533 at 2023-09-02 + - faceted # failure in job https://hydra.nixos.org/build/233231120 at 2023-09-02 + - factory # failure in job https://hydra.nixos.org/build/233222084 at 2023-09-02 + - facts # failure in job https://hydra.nixos.org/build/233194410 at 2023-09-02 + - Facts # failure in job https://hydra.nixos.org/build/233224533 at 2023-09-02 + - fadno-braids # failure in job https://hydra.nixos.org/build/233246763 at 2023-09-02 + - fadno-xml # failure in job https://hydra.nixos.org/build/233228192 at 2023-09-02 + - failable-list # failure in job https://hydra.nixos.org/build/233198924 at 2023-09-02 + - failure-detector # failure in job https://hydra.nixos.org/build/233244451 at 2023-09-02 + - fake # failure in job https://hydra.nixos.org/build/233199052 at 2023-09-02 + - fake-type # failure in job https://hydra.nixos.org/build/233197081 at 2023-09-02 + - faktory # failure in job https://hydra.nixos.org/build/233240158 at 2023-09-02 + - f-algebra-gen # failure in job https://hydra.nixos.org/build/233194303 at 2023-09-02 + - fastbayes # failure in job https://hydra.nixos.org/build/233223718 at 2023-09-02 + - fast-combinatorics # failure in job https://hydra.nixos.org/build/233250615 at 2023-09-02 + - fastedit # failure in job https://hydra.nixos.org/build/233213468 at 2023-09-02 + - fastly # failure in job https://hydra.nixos.org/build/233213136 at 2023-09-02 + - fast-nats # failure in job https://hydra.nixos.org/build/233238596 at 2023-09-02 + - fastpbkdf2 # failure in job https://hydra.nixos.org/build/233218574 at 2023-09-02 + - FastPush # failure in job https://hydra.nixos.org/build/233224507 at 2023-09-02 + - fast-tagsoup-utf8-only # failure in job https://hydra.nixos.org/build/233202322 at 2023-09-02 + - FastxPipe # failure in job https://hydra.nixos.org/build/233232889 at 2023-09-02 + - fathead-util # failure in job https://hydra.nixos.org/build/233255882 at 2023-09-02 + - fay # failure in job https://hydra.nixos.org/build/233197122 at 2023-09-02 + - fbmessenger-api # failure in job https://hydra.nixos.org/build/233247641 at 2023-09-02 + - fb-persistent # failure in job https://hydra.nixos.org/build/233193999 at 2023-09-02 + - fcache # failure in job https://hydra.nixos.org/build/233258505 at 2023-09-02 + - fca # failure in job https://hydra.nixos.org/build/233205050 at 2023-09-02 + - fcf-composite # failure in job https://hydra.nixos.org/build/233252957 at 2023-09-02 + - fcf-graphs # failure in job https://hydra.nixos.org/build/233254885 at 2023-09-02 + - fcg # failure in job https://hydra.nixos.org/build/233228656 at 2023-09-02 + - fckeditor # failure in job https://hydra.nixos.org/build/233226949 at 2023-09-02 + - fclabels-monadlib # failure in job https://hydra.nixos.org/build/233192353 at 2023-09-02 + - fcm-client # failure in job https://hydra.nixos.org/build/233241459 at 2023-09-02 + - fdo-trash # failure in job https://hydra.nixos.org/build/233244987 at 2023-09-02 + - feather # failure in job https://hydra.nixos.org/build/233192230 at 2023-09-02 + - feature-flipper # failure in job https://hydra.nixos.org/build/233192476 at 2023-09-02 + - fedora-packages # failure in job https://hydra.nixos.org/build/233256230 at 2023-09-02 + - feed-cli # failure in job https://hydra.nixos.org/build/233234086 at 2023-09-02 + - feed-collect # failure in job https://hydra.nixos.org/build/233203100 at 2023-09-02 + - feed-crawl # failure in job https://hydra.nixos.org/build/233227566 at 2023-09-02 + - fenfire # failure in job https://hydra.nixos.org/build/233254038 at 2023-09-02 + - fernet # failure in job https://hydra.nixos.org/build/233228830 at 2023-09-02 + - FerryCore # failure in job https://hydra.nixos.org/build/233198667 at 2023-09-02 + - festival # failure in job https://hydra.nixos.org/build/233257483 at 2023-09-02 + - Feval # failure in job https://hydra.nixos.org/build/233233117 at 2023-09-02 + - fez-conf # failure in job https://hydra.nixos.org/build/233240692 at 2023-09-02 + - ffeed # failure in job https://hydra.nixos.org/build/233209944 at 2023-09-02 + - ffmpeg-light # failure in job https://hydra.nixos.org/build/233213041 at 2023-09-02 + - ffunctor # failure in job https://hydra.nixos.org/build/233247887 at 2023-09-02 + - fgl-extras-decompositions # failure in job https://hydra.nixos.org/build/233237744 at 2023-09-02 + - fib # failure in job https://hydra.nixos.org/build/233225971 at 2023-09-02 + - fibon # failure in job https://hydra.nixos.org/build/233215748 at 2023-09-02 + - fields # failure in job https://hydra.nixos.org/build/233197886 at 2023-09-02 + - fieldwise # failure in job https://hydra.nixos.org/build/233202285 at 2023-09-02 + - fig # failure in job https://hydra.nixos.org/build/233212262 at 2023-09-02 + - filecache # failure in job https://hydra.nixos.org/build/233229175 at 2023-09-02 + - file-collection # failure in job https://hydra.nixos.org/build/233205586 at 2023-09-02 + - file-command-qq # failure in job https://hydra.nixos.org/build/233205913 at 2023-09-02 + - filediff # failure in job https://hydra.nixos.org/build/233256056 at 2023-09-02 + - file-embed-poly # failure in job https://hydra.nixos.org/build/233252504 at 2023-09-02 + - file-io # failure in job https://hydra.nixos.org/build/233192040 at 2023-09-02 + - file-location # failure in job https://hydra.nixos.org/build/233202456 at 2023-09-02 + - FileManipCompat # failure in job https://hydra.nixos.org/build/233254887 at 2023-09-02 + - FileManip # failure in job https://hydra.nixos.org/build/233237292 at 2023-09-02 + - fileneglect # failure in job https://hydra.nixos.org/build/233209920 at 2023-09-02 + - Files # failure in job https://hydra.nixos.org/build/233207543 at 2023-09-02 + - filesystem-conduit # failure in job https://hydra.nixos.org/build/233239975 at 2023-09-02 + - FileSystem # failure in job https://hydra.nixos.org/build/233230289 at 2023-09-02 + - filesystem-trees # failure in job https://hydra.nixos.org/build/233216661 at 2023-09-02 + - file-templates # failure in job https://hydra.nixos.org/build/233255339 at 2023-09-02 + - fillit # failure in job https://hydra.nixos.org/build/233214605 at 2023-09-02 + - final-pretty-printer # failure in job https://hydra.nixos.org/build/233238571 at 2023-09-02 + - Finance-Quote-Yahoo # failure in job https://hydra.nixos.org/build/233223617 at 2023-09-02 + - find-conduit # failure in job https://hydra.nixos.org/build/233243763 at 2023-09-02 + - find-source-files # failure in job https://hydra.nixos.org/build/233239773 at 2023-09-02 + - fingertree-psqueue # failure in job https://hydra.nixos.org/build/233224766 at 2023-09-02 + - fingertree-tf # failure in job https://hydra.nixos.org/build/233259910 at 2023-09-02 + - finite # failure in job https://hydra.nixos.org/build/233226313 at 2023-09-02 + - finite-fields # failure in job https://hydra.nixos.org/build/233191530 at 2023-09-02 + - firefly-example # failure in job https://hydra.nixos.org/build/233259350 at 2023-09-02 + - first-and-last # failure in job https://hydra.nixos.org/build/233256888 at 2023-09-02 + - first-class-instances # failure in job https://hydra.nixos.org/build/233207181 at 2023-09-02 + - FirstPrelude # failure in job https://hydra.nixos.org/build/233256065 at 2023-09-02 + - fit # failure in job https://hydra.nixos.org/build/233239893 at 2023-09-02 + - fitsio # failure in job https://hydra.nixos.org/build/233246119 at 2023-09-02 + - fits-parse # failure in job https://hydra.nixos.org/build/233242853 at 2023-09-02 + - fixed-point # failure in job https://hydra.nixos.org/build/233255142 at 2023-09-02 + - fixedprec # failure in job https://hydra.nixos.org/build/233231519 at 2023-09-02 + - fixed-precision # failure in job https://hydra.nixos.org/build/233226433 at 2023-09-02 + - fixed-storable-array # failure in job https://hydra.nixos.org/build/233200413 at 2023-09-02 + - fixed-timestep # failure in job https://hydra.nixos.org/build/233252950 at 2023-09-02 + - fixed-vector-hetero # failure in job https://hydra.nixos.org/build/233207863 at 2023-09-02 + - fixed-width # failure in job https://hydra.nixos.org/build/233236195 at 2023-09-02 + - fixer # failure in job https://hydra.nixos.org/build/233246038 at 2023-09-02 + - fixfile # failure in job https://hydra.nixos.org/build/233209830 at 2023-09-02 + - fixie # failure in job https://hydra.nixos.org/build/233230496 at 2023-09-02 + - fix-imports # failure in job https://hydra.nixos.org/build/233251518 at 2023-09-02 + - fix-symbols-gitit # failure in job https://hydra.nixos.org/build/233259222 at 2023-09-02 + - fizzbuzz-as-a-service # failure in job https://hydra.nixos.org/build/233235796 at 2023-09-02 + - fizzbuzz # failure in job https://hydra.nixos.org/build/233207626 at 2023-09-02 + - flaccuraterip # failure in job https://hydra.nixos.org/build/233255839 at 2023-09-02 + - flamethrower # failure in job https://hydra.nixos.org/build/233258696 at 2023-09-02 + - flamingra # failure in job https://hydra.nixos.org/build/233242907 at 2023-09-02 + - flat-maybe # failure in job https://hydra.nixos.org/build/233197544 at 2023-09-02 + - flat-mcmc # failure in job https://hydra.nixos.org/build/233234404 at 2023-09-02 + - flay # failure in job https://hydra.nixos.org/build/233235511 at 2023-09-02 + - flexible-time # failure in job https://hydra.nixos.org/build/233208099 at 2023-09-02 + - flickr # failure in job https://hydra.nixos.org/build/233212718 at 2023-09-02 + - float-binstring # failure in job https://hydra.nixos.org/build/233203257 at 2023-09-02 + - floating-bits # failure in job https://hydra.nixos.org/build/233223171 at 2023-09-02 + - flock # failure in job https://hydra.nixos.org/build/233245164 at 2023-09-02 + - flowdock-api # failure in job https://hydra.nixos.org/build/233215251 at 2023-09-02 + - flowdock # failure in job https://hydra.nixos.org/build/233206736 at 2023-09-02 + - flowdock-rest # failure in job https://hydra.nixos.org/build/233240090 at 2023-09-02 + - flow-er # failure in job https://hydra.nixos.org/build/233202082 at 2023-09-02 + - flowlocks-framework # failure in job https://hydra.nixos.org/build/233255068 at 2023-09-02 + - flp # failure in job https://hydra.nixos.org/build/233219373 at 2023-09-02 + - fltkhs # failure in job https://hydra.nixos.org/build/233207014 at 2023-09-02 + - fluffy-parser # failure in job https://hydra.nixos.org/build/233212110 at 2023-09-02 + - fluid-idl # failure in job https://hydra.nixos.org/build/233214307 at 2023-09-02 + - fluidsynth # failure in job https://hydra.nixos.org/build/233225998 at 2023-09-02 + - flux-monoid # failure in job https://hydra.nixos.org/build/233202845 at 2023-09-02 + - fmark # failure in job https://hydra.nixos.org/build/233196159 at 2023-09-02 + - FModExRaw # failure in job https://hydra.nixos.org/build/233259032 at 2023-09-02 + - fold-debounce-conduit # failure in job https://hydra.nixos.org/build/233195249 at 2023-09-02 + - foldl-incremental # failure in job https://hydra.nixos.org/build/233201921 at 2023-09-02 + - foldl-statistics # failure in job https://hydra.nixos.org/build/233243724 at 2023-09-02 + - foldl-transduce # failure in job https://hydra.nixos.org/build/233192486 at 2023-09-02 + - folds-common # failure in job https://hydra.nixos.org/build/233237316 at 2023-09-02 + - follow # failure in job https://hydra.nixos.org/build/233255423 at 2023-09-02 + - fontconfig-pure # failure in job https://hydra.nixos.org/build/233254573 at 2023-09-02 + - font-opengl-basic4x6 # failure in job https://hydra.nixos.org/build/233200978 at 2023-09-02 + - forbidden-fruit # failure in job https://hydra.nixos.org/build/233258954 at 2023-09-02 + - fordo # failure in job https://hydra.nixos.org/build/233255879 at 2023-09-02 + - forecast-io # failure in job https://hydra.nixos.org/build/233197283 at 2023-09-02 + - foreign # failure in job https://hydra.nixos.org/build/233250127 at 2023-09-02 + - foreign-var # failure in job https://hydra.nixos.org/build/233215431 at 2023-09-02 + - forest # failure in job https://hydra.nixos.org/build/233208811 at 2023-09-02 + - forest-fire # failure in job https://hydra.nixos.org/build/233203922 at 2023-09-02 + - forex2ledger # failure in job https://hydra.nixos.org/build/233212197 at 2023-09-02 + - for-free # failure in job https://hydra.nixos.org/build/233235927 at 2023-09-02 + - forger # failure in job https://hydra.nixos.org/build/233234444 at 2023-09-02 + - ForkableT # failure in job https://hydra.nixos.org/build/233253947 at 2023-09-02 + - forma # failure in job https://hydra.nixos.org/build/233200787 at 2023-09-02 + - formattable # failure in job https://hydra.nixos.org/build/233230195 at 2023-09-02 + - formura # failure in job https://hydra.nixos.org/build/233193674 at 2023-09-02 + - Fortnite-Hack-Cheats-Free-V-Bucks-Generator # failure in job https://hydra.nixos.org/build/233233147 at 2023-09-02 + - fortran-vars # failure in job https://hydra.nixos.org/build/233257719 at 2023-09-02 + - fortytwo # failure in job https://hydra.nixos.org/build/233209552 at 2023-09-02 + - foscam-filename # failure in job https://hydra.nixos.org/build/233237326 at 2023-09-02 + - FPretty # failure in job https://hydra.nixos.org/build/233196648 at 2023-09-02 + - fptest # failure in job https://hydra.nixos.org/build/233233288 at 2023-09-02 + - fquery # failure in job https://hydra.nixos.org/build/233196287 at 2023-09-02 + - Fractaler # failure in job https://hydra.nixos.org/build/233253414 at 2023-09-02 + - fractals # failure in job https://hydra.nixos.org/build/233205969 at 2023-09-02 + - fraction # failure in job https://hydra.nixos.org/build/233204186 at 2023-09-02 + - frag # failure in job https://hydra.nixos.org/build/234458411 at 2023-09-13 + - franchise # failure in job https://hydra.nixos.org/build/233256790 at 2023-09-02 + - fraxl # failure in job https://hydra.nixos.org/build/233219345 at 2023-09-02 + - freddy # failure in job https://hydra.nixos.org/build/233208999 at 2023-09-02 + - free-concurrent # failure in job https://hydra.nixos.org/build/233257070 at 2023-09-02 + - f-ree-hack-cheats-free-v-bucks-generator # failure in job https://hydra.nixos.org/build/233225159 at 2023-09-02 + - free-http # failure in job https://hydra.nixos.org/build/233227362 at 2023-09-02 + - freenect # failure in job https://hydra.nixos.org/build/233196105 at 2023-09-02 + - free-operational # failure in job https://hydra.nixos.org/build/233201565 at 2023-09-02 + - freer-effects # failure in job https://hydra.nixos.org/build/233214270 at 2023-09-02 + - freer-simple # failure in job https://hydra.nixos.org/build/233243415 at 2023-09-02 + - freesect # failure in job https://hydra.nixos.org/build/233218671 at 2023-09-02 + - freesound # failure in job https://hydra.nixos.org/build/233240464 at 2023-09-02 + - free-theorems-counterexamples # failure in job https://hydra.nixos.org/build/233231989 at 2023-09-02 + - free-theorems-seq # failure in job https://hydra.nixos.org/build/233207326 at 2023-09-02 + - free-theorems-webui # failure in job https://hydra.nixos.org/build/233255034 at 2023-09-02 + - FreeTypeGL # failure in job https://hydra.nixos.org/build/233212222 at 2023-09-02 + - freetype-simple # failure in job https://hydra.nixos.org/build/233249707 at 2023-09-02 + - free-v-bucks-generator-no-survey # failure in job https://hydra.nixos.org/build/233208419 at 2023-09-02 + - free-v-bucks-generator-ps4-no-survey # failure in job https://hydra.nixos.org/build/233190747 at 2023-09-02 + - freq # failure in job https://hydra.nixos.org/build/233247154 at 2023-09-02 + - fresh # failure in job https://hydra.nixos.org/build/233196569 at 2023-09-02 + - fresnel # failure in job https://hydra.nixos.org/build/233214198 at 2023-09-02 + - friday # failure in job https://hydra.nixos.org/build/233249799 at 2023-09-02 + - friendly # failure in job https://hydra.nixos.org/build/233254836 at 2023-09-02 + - from-env # failure in job https://hydra.nixos.org/build/233219518 at 2023-09-02 + - frown # failure in job https://hydra.nixos.org/build/233208462 at 2023-09-02 + - frp-arduino # failure in job https://hydra.nixos.org/build/233192216 at 2023-09-02 + - frpnow # failure in job https://hydra.nixos.org/build/233236056 at 2023-09-02 + - fs-events # failure in job https://hydra.nixos.org/build/233218231 at 2023-09-02 + - fsh-csv # failure in job https://hydra.nixos.org/build/233220196 at 2023-09-02 + - fsmActions # failure in job https://hydra.nixos.org/build/233224366 at 2023-09-02 + - FSM # failure in job https://hydra.nixos.org/build/233247343 at 2023-09-02 + - fsnotify-conduit # failure in job https://hydra.nixos.org/build/233196251 at 2023-09-02 + - fst # failure in job https://hydra.nixos.org/build/233233271 at 2023-09-02 + - fsutils # failure in job https://hydra.nixos.org/build/233204599 at 2023-09-02 + - fswait # failure in job https://hydra.nixos.org/build/233247770 at 2023-09-02 + - fswatcher # failure in job https://hydra.nixos.org/build/233226757 at 2023-09-02 + - fswatch # failure in job https://hydra.nixos.org/build/233233447 at 2023-09-02 + - ft-generator # failure in job https://hydra.nixos.org/build/233205823 at 2023-09-02 + - FTGL-bytestring # failure in job https://hydra.nixos.org/build/233256032 at 2023-09-02 + - ftp-client # failure in job https://hydra.nixos.org/build/233227664 at 2023-09-02 + - ftp-conduit # failure in job https://hydra.nixos.org/build/233244330 at 2023-09-02 + - ftphs # failure in job https://hydra.nixos.org/build/233215550 at 2023-09-02 + - full-sessions # failure in job https://hydra.nixos.org/build/233254332 at 2023-09-02 + - funbot-client # failure in job https://hydra.nixos.org/build/233255739 at 2023-09-02 + - funcons-values # failure in job https://hydra.nixos.org/build/233194179 at 2023-09-02 + - function-instances-algebra # failure in job https://hydra.nixos.org/build/233202209 at 2023-09-02 + - functor-friends # failure in job https://hydra.nixos.org/build/233208108 at 2023-09-02 + - functor-infix # failure in job https://hydra.nixos.org/build/233228794 at 2023-09-02 + - functorm # failure in job https://hydra.nixos.org/build/233212335 at 2023-09-02 + - functor-products # failure in job https://hydra.nixos.org/build/233209316 at 2023-09-02 + - functor-utils # failure in job https://hydra.nixos.org/build/233213259 at 2023-09-02 + - Fungi # failure in job https://hydra.nixos.org/build/233253088 at 2023-09-02 + - funpat # failure in job https://hydra.nixos.org/build/233222123 at 2023-09-02 + - funspection # failure in job https://hydra.nixos.org/build/233227352 at 2023-09-02 + - fused-effects-exceptions # failure in job https://hydra.nixos.org/build/233203744 at 2023-09-02 + - fused-effects-mwc-random # failure in job https://hydra.nixos.org/build/233253228 at 2023-09-02 + - fused-effects-resumable # failure in job https://hydra.nixos.org/build/233242479 at 2023-09-02 + - fused-effects-th # failure in job https://hydra.nixos.org/build/233192186 at 2023-09-02 + - fusion # failure in job https://hydra.nixos.org/build/233204359 at 2023-09-02 + - futhask # failure in job https://hydra.nixos.org/build/233229281 at 2023-09-02 + - futun # failure in job https://hydra.nixos.org/build/233245115 at 2023-09-02 + - future # failure in job https://hydra.nixos.org/build/233224844 at 2023-09-02 + - futures # failure in job https://hydra.nixos.org/build/233230206 at 2023-09-02 + - fuzzyfind # failure in job https://hydra.nixos.org/build/233206269 at 2023-09-02 + - fuzzyset # failure in job https://hydra.nixos.org/build/233231726 at 2023-09-02 + - fuzzy-timings # failure in job https://hydra.nixos.org/build/233235765 at 2023-09-02 + - fvars # failure in job https://hydra.nixos.org/build/234461649 at 2023-09-13 + - fwgl # failure in job https://hydra.nixos.org/build/233246210 at 2023-09-02 + - g4ip # failure in job https://hydra.nixos.org/build/233248315 at 2023-09-02 + - gameclock # failure in job https://hydra.nixos.org/build/233234964 at 2023-09-02 + - game-probability # failure in job https://hydra.nixos.org/build/233191255 at 2023-09-02 + - gamgee # failure in job https://hydra.nixos.org/build/233249846 at 2023-09-02 + - Gamgine # failure in job https://hydra.nixos.org/build/233242510 at 2023-09-02 + - Ganymede # failure in job https://hydra.nixos.org/build/233248892 at 2023-09-02 + - garepinoh # failure in job https://hydra.nixos.org/build/233238111 at 2023-09-02 + - gargoyle # failure in job https://hydra.nixos.org/build/233196445 at 2023-09-02 + - gas # failure in job https://hydra.nixos.org/build/233233966 at 2023-09-02 + - gather # failure in job https://hydra.nixos.org/build/233208848 at 2023-09-02 + - gc-monitoring-wai # failure in job https://hydra.nixos.org/build/233209449 at 2023-09-02 + - gconf # failure in job https://hydra.nixos.org/build/233259023 at 2023-09-02 + - gdiff-th # failure in job https://hydra.nixos.org/build/233215065 at 2023-09-02 + - gelatin # failure in job https://hydra.nixos.org/build/233249394 at 2023-09-02 + - gemcap # failure in job https://hydra.nixos.org/build/233202506 at 2023-09-02 + - gemstone # failure in job https://hydra.nixos.org/build/233202246 at 2023-09-02 + - gender # failure in job https://hydra.nixos.org/build/233235712 at 2023-09-02 + - genders # failure in job https://hydra.nixos.org/build/233238566 at 2023-09-02 + - general-allocate # failure in job https://hydra.nixos.org/build/233214648 at 2023-09-02 + - general-prelude # failure in job https://hydra.nixos.org/build/233248628 at 2023-09-02 + - GeneralTicTacToe # failure in job https://hydra.nixos.org/build/233207939 at 2023-09-02 + - generator # failure in job https://hydra.nixos.org/build/233213384 at 2023-09-02 + - generators # failure in job https://hydra.nixos.org/build/233246459 at 2023-09-02 + - generic-aeson # failure in job https://hydra.nixos.org/build/233198064 at 2023-09-02 + - generic-binary # failure in job https://hydra.nixos.org/build/233214473 at 2023-09-02 + - generic-church # failure in job https://hydra.nixos.org/build/233213419 at 2023-09-02 + - generic-enumeration # failure in job https://hydra.nixos.org/build/233213191 at 2023-09-02 + - generic-enum # failure in job https://hydra.nixos.org/build/233220316 at 2023-09-02 + - generic-labels # failure in job https://hydra.nixos.org/build/233230621 at 2023-09-02 + - generic-lens-labels # failure in job https://hydra.nixos.org/build/233256875 at 2023-09-02 + - generic-lucid-scaffold # failure in job https://hydra.nixos.org/build/233259250 at 2023-09-02 + - generic-match # failure in job https://hydra.nixos.org/build/233221364 at 2023-09-02 + - generic-maybe # failure in job https://hydra.nixos.org/build/233246991 at 2023-09-02 + - generic-override # failure in job https://hydra.nixos.org/build/233235699 at 2023-09-02 + - generic-persistence # failure in job https://hydra.nixos.org/build/233240709 at 2023-09-02 + - generic-pretty # failure in job https://hydra.nixos.org/build/233205583 at 2023-09-02 + - generic-pretty-instances # failure in job https://hydra.nixos.org/build/233243114 at 2023-09-02 + - generic-records # failure in job https://hydra.nixos.org/build/233237235 at 2023-09-02 + - genericserialize # failure in job https://hydra.nixos.org/build/233253092 at 2023-09-02 + - generic-server # failure in job https://hydra.nixos.org/build/233259385 at 2023-09-02 + - generics-mrsop # failure in job https://hydra.nixos.org/build/233233310 at 2023-09-02 + - generic-storable # failure in job https://hydra.nixos.org/build/233213225 at 2023-09-02 + - generic-tree # failure in job https://hydra.nixos.org/build/233219539 at 2023-09-02 + - generic-xmlpickler # failure in job https://hydra.nixos.org/build/233246507 at 2023-09-02 + - genetics # failure in job https://hydra.nixos.org/build/233219599 at 2023-09-02 + - genifunctors # failure in job https://hydra.nixos.org/build/233255126 at 2023-09-02 + - gen-imports # failure in job https://hydra.nixos.org/build/233216588 at 2023-09-02 + - geniplate # failure in job https://hydra.nixos.org/build/233233607 at 2023-09-02 + - gen-passwd # failure in job https://hydra.nixos.org/build/233224836 at 2023-09-02 + - genprog # failure in job https://hydra.nixos.org/build/233198970 at 2023-09-02 + - gentlemark # failure in job https://hydra.nixos.org/build/233202158 at 2023-09-02 + - geocode-google # failure in job https://hydra.nixos.org/build/233191594 at 2023-09-02 + - GeocoderOpenCage # failure in job https://hydra.nixos.org/build/233214852 at 2023-09-02 + - geodetic-types # failure in job https://hydra.nixos.org/build/233209496 at 2023-09-02 + - GeoIp # failure in job https://hydra.nixos.org/build/233257383 at 2023-09-02 + - geojson-types # failure in job https://hydra.nixos.org/build/233224929 at 2023-09-02 + - geom2d # failure in job https://hydra.nixos.org/build/233254609 at 2023-09-02 + - GeomPredicates-SSE # failure in job https://hydra.nixos.org/build/233249584 at 2023-09-02 + - geo-resolver # failure in job https://hydra.nixos.org/build/233206563 at 2023-09-02 + - geos # failure in job https://hydra.nixos.org/build/233203852 at 2023-09-02 + - geo-uk # failure in job https://hydra.nixos.org/build/233221284 at 2023-09-02 + - gerrit # failure in job https://hydra.nixos.org/build/233214951 at 2023-09-02 + - Get # failure in job https://hydra.nixos.org/build/233216093 at 2023-09-02 + - getflag # failure in job https://hydra.nixos.org/build/233258316 at 2023-09-02 + - gev-lib # failure in job https://hydra.nixos.org/build/233250284 at 2023-09-02 + - gf # failure in job https://hydra.nixos.org/build/233237752 at 2023-09-02 + - GGg # failure in job https://hydra.nixos.org/build/233207289 at 2023-09-02 + - ggtsTC # failure in job https://hydra.nixos.org/build/233229612 at 2023-09-02 + - ghc-api-compat # failure in job https://hydra.nixos.org/build/233235008 at 2023-09-02 + - ghc-clippy-plugin # failure in job https://hydra.nixos.org/build/233227499 at 2023-09-02 + - ghc-core-smallstep # failure in job https://hydra.nixos.org/build/233209763 at 2023-09-02 + - ghc-corroborate # failure in job https://hydra.nixos.org/build/233223692 at 2023-09-02 + - ghc-datasize # failure in job https://hydra.nixos.org/build/233196292 at 2023-09-02 + - ghc-definitions-th # failure in job https://hydra.nixos.org/build/233254844 at 2023-09-02 + - ghc-dump-core # failure in job https://hydra.nixos.org/build/233214478 at 2023-09-02 + - ghc-dump-tree # failure in job https://hydra.nixos.org/build/233237228 at 2023-09-02 + - ghc-dup # failure in job https://hydra.nixos.org/build/233203978 at 2023-09-02 + - ghc-events-analyze # failure in job https://hydra.nixos.org/build/233207621 at 2023-09-02 + - ghc-events-parallel # failure in job https://hydra.nixos.org/build/233218757 at 2023-09-02 + - ghc-gc-hook # failure in job https://hydra.nixos.org/build/233195053 at 2023-09-02 + - ghc-generic-instances # failure in job https://hydra.nixos.org/build/233259298 at 2023-09-02 + - ghc-hotswap # failure in job https://hydra.nixos.org/build/233220146 at 2023-09-02 + - ghcide-test-utils # failure in job https://hydra.nixos.org/build/233209491 at 2023-09-02 + - ghci-diagrams # failure in job https://hydra.nixos.org/build/233194407 at 2023-09-02 + - ghci-haskeline # failure in job https://hydra.nixos.org/build/233216940 at 2023-09-02 + - ghci-history-parser # failure in job https://hydra.nixos.org/build/233204448 at 2023-09-02 + - ghci-lib # failure in job https://hydra.nixos.org/build/233216644 at 2023-09-02 + - ghci-ng # failure in job https://hydra.nixos.org/build/233229533 at 2023-09-02 + - ghcjs-dom-jsffi # failure in job https://hydra.nixos.org/build/233215225 at 2023-09-02 + - ghcjs-promise # failure in job https://hydra.nixos.org/build/233243985 at 2023-09-02 + - ghcjs-xhr # failure in job https://hydra.nixos.org/build/233235693 at 2023-09-02 + - ghc-justdoit # failure in job https://hydra.nixos.org/build/233221884 at 2023-09-02 + - ghclive # failure in job https://hydra.nixos.org/build/233231592 at 2023-09-02 + - ghc-man-completion # failure in job https://hydra.nixos.org/build/233245740 at 2023-09-02 + - ghc-mtl # failure in job https://hydra.nixos.org/build/233227810 at 2023-09-02 + - ghc-parmake # failure in job https://hydra.nixos.org/build/233191327 at 2023-09-02 + - ghc-pkg-autofix # failure in job https://hydra.nixos.org/build/233229332 at 2023-09-02 + - ghc-pkg-lib # failure in job https://hydra.nixos.org/build/233250745 at 2023-09-02 + - ghc-plugin-non-empty # failure in job https://hydra.nixos.org/build/233229023 at 2023-09-02 + - ghc-proofs # failure in job https://hydra.nixos.org/build/233230633 at 2023-09-02 + - ghc-simple # failure in job https://hydra.nixos.org/build/233201423 at 2023-09-02 + - ghc-source-gen # failure in job https://hydra.nixos.org/build/233223566 at 2023-09-02 + - ghc-srcspan-plugin # failure in job https://hydra.nixos.org/build/233231564 at 2023-09-02 + - ghc-syb # failure in job https://hydra.nixos.org/build/233236783 at 2023-09-02 + - ghc-syb-utils # failure in job https://hydra.nixos.org/build/233229196 at 2023-09-02 + - ghc-tags-plugin # failure in job https://hydra.nixos.org/build/233229916 at 2023-09-02 + - ghc-time-alloc-prof # failure in job https://hydra.nixos.org/build/233242289 at 2023-09-02 + - ghc-usage # failure in job https://hydra.nixos.org/build/233199565 at 2023-09-02 + - gh-labeler # failure in job https://hydra.nixos.org/build/233233139 at 2023-09-02 + - giak # failure in job https://hydra.nixos.org/build/233242229 at 2023-09-02 + - gi-clutter # failure in job https://hydra.nixos.org/build/233252753 at 2023-09-02 + - gi-coglpango # failure in job https://hydra.nixos.org/build/233194401 at 2023-09-02 + - Gifcurry # failure in job https://hydra.nixos.org/build/233200204 at 2023-09-02 + - gi-gio-hs-list-model # failure in job https://hydra.nixos.org/build/233241640 at 2023-09-02 + - gi-graphene # failure in job https://hydra.nixos.org/build/233205231 at 2023-09-02 + - gi-gsttag # failure in job https://hydra.nixos.org/build/233197576 at 2023-09-02 + - gi-gtk-declarative # failure in job https://hydra.nixos.org/build/233217494 at 2023-09-02 + - gi-gtksheet # failure in job https://hydra.nixos.org/build/233211386 at 2023-09-02 + - gi-gtksource # failure in job https://hydra.nixos.org/build/233215342 at 2023-09-02 + - gi-ibus # failure in job https://hydra.nixos.org/build/233220272 at 2023-09-02 + - gingersnap # failure in job https://hydra.nixos.org/build/233227186 at 2023-09-02 + - ginsu # failure in job https://hydra.nixos.org/build/233223259 at 2023-09-02 + - gipeda # failure in job https://hydra.nixos.org/build/233228149 at 2023-09-02 + - giphy-api # failure in job https://hydra.nixos.org/build/233203687 at 2023-09-02 + - GiST # failure in job https://hydra.nixos.org/build/233199759 at 2023-09-02 + - gist # failure in job https://hydra.nixos.org/build/233221381 at 2023-09-02 + - git-all # failure in job https://hydra.nixos.org/build/233252935 at 2023-09-02 + - git-brunch # failure in job https://hydra.nixos.org/build/233250960 at 2023-09-02 + - git-checklist # failure in job https://hydra.nixos.org/build/233203228 at 2023-09-02 + - git-cuk # failure in job https://hydra.nixos.org/build/233211733 at 2023-09-02 + - git-date # failure in job https://hydra.nixos.org/build/233259193 at 2023-09-02 + - git # failure in job https://hydra.nixos.org/build/233225634 at 2023-09-02 + - github-backup # failure in job https://hydra.nixos.org/build/233208904 at 2023-09-02 + - github-post-receive # failure in job https://hydra.nixos.org/build/233204914 at 2023-09-02 + - github-tools # failure in job https://hydra.nixos.org/build/233247519 at 2023-09-02 + - github-utils # failure in job https://hydra.nixos.org/build/233211299 at 2023-09-02 + - github-webhook-handler # failure in job https://hydra.nixos.org/build/233246904 at 2023-09-02 + - githud # failure in job https://hydra.nixos.org/build/233195046 at 2023-09-02 + - gitHUD # failure in job https://hydra.nixos.org/build/233221244 at 2023-09-02 + - gitignore # failure in job https://hydra.nixos.org/build/233207356 at 2023-09-02 + - git-jump # failure in job https://hydra.nixos.org/build/233206544 at 2023-09-02 + - gitlab-api # failure in job https://hydra.nixos.org/build/233256639 at 2023-09-02 + - gitlab-haskell # failure in job https://hydra.nixos.org/build/233190692 at 2023-09-02 + - gitlib-cmdline # failure in job https://hydra.nixos.org/build/233230857 at 2023-09-02 + - gitlib-utils # failure in job https://hydra.nixos.org/build/233190826 at 2023-09-02 + - git-repair # failure in job https://hydra.nixos.org/build/233222686 at 2023-09-02 + - gitter # failure in job https://hydra.nixos.org/build/233210040 at 2023-09-02 + - git-vogue # failure in job https://hydra.nixos.org/build/233249420 at 2023-09-02 + - gi-webkitwebprocessextension # failure in job https://hydra.nixos.org/build/233227647 at 2023-09-02 + - glade # failure in job https://hydra.nixos.org/build/233229566 at 2023-09-02 + - glapp # failure in job https://hydra.nixos.org/build/233213499 at 2023-09-02 + - glaze # failure in job https://hydra.nixos.org/build/233210047 at 2023-09-02 + - Gleam # failure in job https://hydra.nixos.org/build/233228018 at 2023-09-02 + - GLFW-b-demo # failure in job https://hydra.nixos.org/build/233230505 at 2023-09-02 + - GLFW # failure in job https://hydra.nixos.org/build/233234389 at 2023-09-02 + - glicko # failure in job https://hydra.nixos.org/build/233200868 at 2023-09-02 + - glider-nlp # failure in job https://hydra.nixos.org/build/233229600 at 2023-09-02 + - gli # failure in job https://hydra.nixos.org/build/233210279 at 2023-09-02 + - GLMatrix # failure in job https://hydra.nixos.org/build/233202880 at 2023-09-02 + - global-variables # failure in job https://hydra.nixos.org/build/233204607 at 2023-09-02 + - glob-posix # failure in job https://hydra.nixos.org/build/233253059 at 2023-09-02 + - GlomeTrace # failure in job https://hydra.nixos.org/build/233211872 at 2023-09-02 + - gloss-banana # failure in job https://hydra.nixos.org/build/234464253 at 2023-09-13 + - gloss-export # failure in job https://hydra.nixos.org/build/234444988 at 2023-09-13 + - gloss-game # failure in job https://hydra.nixos.org/build/234460935 at 2023-09-13 + - glsl # failure in job https://hydra.nixos.org/build/233224139 at 2023-09-02 + - gltf-codec # failure in job https://hydra.nixos.org/build/233205342 at 2023-09-02 + - glue # failure in job https://hydra.nixos.org/build/233233587 at 2023-09-02 + - g-npm # failure in job https://hydra.nixos.org/build/233215965 at 2023-09-02 + - goa # failure in job https://hydra.nixos.org/build/233193916 at 2023-09-02 + - goal-core # failure in job https://hydra.nixos.org/build/233242261 at 2023-09-02 + - goatee # failure in job https://hydra.nixos.org/build/233220875 at 2023-09-02 + - gochan # failure in job https://hydra.nixos.org/build/233223866 at 2023-09-02 + - godot-haskell # failure in job https://hydra.nixos.org/build/233213405 at 2023-09-02 + - godot-megaparsec # failure in job https://hydra.nixos.org/build/233252882 at 2023-09-02 + - gofer-prelude # failure in job https://hydra.nixos.org/build/233237015 at 2023-09-02 + - gogol-core # failure in job https://hydra.nixos.org/build/233245807 at 2023-09-02 + - gooey # failure in job https://hydra.nixos.org/build/233192207 at 2023-09-02 + - google-cloud # failure in job https://hydra.nixos.org/build/233218503 at 2023-09-02 + - GoogleCodeJam # failure in job https://hydra.nixos.org/build/233234738 at 2023-09-02 + - google-html5-slide # failure in job https://hydra.nixos.org/build/233233311 at 2023-09-02 + - google-oauth2-easy # failure in job https://hydra.nixos.org/build/233251694 at 2023-09-02 + - google-oauth2 # failure in job https://hydra.nixos.org/build/233223208 at 2023-09-02 + - google-oauth2-jwt # failure in job https://hydra.nixos.org/build/233234162 at 2023-09-02 + - googlepolyline # failure in job https://hydra.nixos.org/build/233209674 at 2023-09-02 + - google-search # failure in job https://hydra.nixos.org/build/233214524 at 2023-09-02 + - google-server-api # failure in job https://hydra.nixos.org/build/233218521 at 2023-09-02 + - google-translate # failure in job https://hydra.nixos.org/build/233234076 at 2023-09-02 + - gopherbot # failure in job https://hydra.nixos.org/build/233207680 at 2023-09-02 + - gopro-plus # failure in job https://hydra.nixos.org/build/233225073 at 2023-09-02 + - gore-and-ash # failure in job https://hydra.nixos.org/build/233237810 at 2023-09-02 + - gothic # failure in job https://hydra.nixos.org/build/233218967 at 2023-09-02 + - GotoT-transformers # failure in job https://hydra.nixos.org/build/233229336 at 2023-09-02 + - gotta-go-fast # failure in job https://hydra.nixos.org/build/233213439 at 2023-09-02 + - gotyno-hs # failure in job https://hydra.nixos.org/build/233205043 at 2023-09-02 + - gpah # failure in job https://hydra.nixos.org/build/233236000 at 2023-09-02 + - GPipe-Core # failure in job https://hydra.nixos.org/build/233194426 at 2023-09-02 + - GPipe # failure in job https://hydra.nixos.org/build/233202480 at 2023-09-02 + - gpmf # failure in job https://hydra.nixos.org/build/233245964 at 2023-09-02 + - gpx-conduit # failure in job https://hydra.nixos.org/build/233245487 at 2023-09-02 + - graceful # failure in job https://hydra.nixos.org/build/233199650 at 2023-09-02 + - grafana # failure in job https://hydra.nixos.org/build/233206262 at 2023-09-02 + - Grafos # failure in job https://hydra.nixos.org/build/233201494 at 2023-09-02 + - grakn # failure in job https://hydra.nixos.org/build/233218052 at 2023-09-02 + - grammatical-parsers # failure in job https://hydra.nixos.org/build/233252219 at 2023-09-02 + - Graph500 # failure in job https://hydra.nixos.org/build/233257715 at 2023-09-02 + - Graphalyze # failure in job https://hydra.nixos.org/build/233194082 at 2023-09-02 + - graphbuilder # failure in job https://hydra.nixos.org/build/233190797 at 2023-09-02 + - graphene # failure in job https://hydra.nixos.org/build/233250729 at 2023-09-02 + - graphics-drawingcombinators # failure in job https://hydra.nixos.org/build/233242920 at 2023-09-02 + - graphics-formats-collada # failure in job https://hydra.nixos.org/build/233199422 at 2023-09-02 + - graph-matchings # failure in job https://hydra.nixos.org/build/233245821 at 2023-09-02 + - graphmod-plugin # failure in job https://hydra.nixos.org/build/233192543 at 2023-09-02 + - graphql-api # failure in job https://hydra.nixos.org/build/233254333 at 2023-09-02 + - graphql-utils # failure in job https://hydra.nixos.org/build/233221340 at 2023-09-02 + - graphql-w-persistent # failure in job https://hydra.nixos.org/build/233228956 at 2023-09-02 + - graph-rewriting # failure in job https://hydra.nixos.org/build/233191278 at 2023-09-02 + - graph-serialize # failure in job https://hydra.nixos.org/build/233192162 at 2023-09-02 + - graphted # failure in job https://hydra.nixos.org/build/233227052 at 2023-09-02 + - graphula-core # failure in job https://hydra.nixos.org/build/233259608 at 2023-09-02 + - graph-utils # failure in job https://hydra.nixos.org/build/233224932 at 2023-09-02 + - graql # failure in job https://hydra.nixos.org/build/233219809 at 2023-09-02 + - grasp # failure in job https://hydra.nixos.org/build/233213280 at 2023-09-02 + - gray-code # failure in job https://hydra.nixos.org/build/233234283 at 2023-09-02 + - graylog # failure in job https://hydra.nixos.org/build/233197050 at 2023-09-02 + - greencard # failure in job https://hydra.nixos.org/build/233252292 at 2023-09-02 + - greg-client # failure in job https://hydra.nixos.org/build/233207343 at 2023-09-02 + - gremlin-haskell # failure in job https://hydra.nixos.org/build/233243223 at 2023-09-02 + - Grempa # failure in job https://hydra.nixos.org/build/233256440 at 2023-09-02 + - greplicate # failure in job https://hydra.nixos.org/build/233215148 at 2023-09-02 + - greskell-core # failure in job https://hydra.nixos.org/build/233241303 at 2023-09-02 + - gridfs # failure in job https://hydra.nixos.org/build/233213958 at 2023-09-02 + - grids # failure in job https://hydra.nixos.org/build/233218294 at 2023-09-02 + - grm # failure in job https://hydra.nixos.org/build/233259788 at 2023-09-02 + - GroteTrap # failure in job https://hydra.nixos.org/build/233203176 at 2023-09-02 + - groundhog # failure in job https://hydra.nixos.org/build/233199269 at 2023-09-02 + - grouped-list # failure in job https://hydra.nixos.org/build/233240891 at 2023-09-02 + - group-theory # failure in job https://hydra.nixos.org/build/233235833 at 2023-09-02 + - group-with # failure in job https://hydra.nixos.org/build/233196589 at 2023-09-02 + - growable-vector # failure in job https://hydra.nixos.org/build/233253891 at 2023-09-02 + - growler # failure in job https://hydra.nixos.org/build/233207497 at 2023-09-02 + - grow-vector # failure in job https://hydra.nixos.org/build/233196279 at 2023-09-02 + - grpc-api-etcd # failure in job https://hydra.nixos.org/build/233239600 at 2023-09-02 + - gsl-random # failure in job https://hydra.nixos.org/build/233191503 at 2023-09-02 + - gstreamer # failure in job https://hydra.nixos.org/build/233239224 at 2023-09-02 + - GTALib # failure in job https://hydra.nixos.org/build/233250568 at 2023-09-02 + - gtk2hs-cast-th # failure in job https://hydra.nixos.org/build/233244841 at 2023-09-02 + - gtk2hs-hello # failure in job https://hydra.nixos.org/build/233232522 at 2023-09-02 + - gtk2hs-rpn # failure in job https://hydra.nixos.org/build/233208312 at 2023-09-02 + - gtk3-helpers # failure in job https://hydra.nixos.org/build/233221005 at 2023-09-02 + - gtkglext # failure in job https://hydra.nixos.org/build/233230364 at 2023-09-02 + - gtk-helpers # failure in job https://hydra.nixos.org/build/233244213 at 2023-09-02 + - gtksourceview2 # failure in job https://hydra.nixos.org/build/233195456 at 2023-09-02 + - gtksourceview3 # failure in job https://hydra.nixos.org/build/233209745 at 2023-09-02 + - gtk-toy # failure in job https://hydra.nixos.org/build/233208132 at 2023-09-02 + - guardian # failure in job https://hydra.nixos.org/build/233662964 at 2023-09-02 + - gulcii # failure in job https://hydra.nixos.org/build/233253472 at 2023-09-02 + - gw # failure in job https://hydra.nixos.org/build/233252652 at 2023-09-02 + - gyah-bin # failure in job https://hydra.nixos.org/build/233206981 at 2023-09-02 + - gym-http-api # failure in job https://hydra.nixos.org/build/233219968 at 2023-09-02 + - h2048 # failure in job https://hydra.nixos.org/build/233231384 at 2023-09-02 + - h2c # failure in job https://hydra.nixos.org/build/233196032 at 2023-09-02 + - haar # failure in job https://hydra.nixos.org/build/233217259 at 2023-09-02 + - HABQT # failure in job https://hydra.nixos.org/build/233256547 at 2023-09-02 + - hack2-contrib # failure in job https://hydra.nixos.org/build/233251090 at 2023-09-02 + - hack2-handler-warp # failure in job https://hydra.nixos.org/build/233226457 at 2023-09-02 + - hackage2hwn # failure in job https://hydra.nixos.org/build/233246308 at 2023-09-02 + - hackage-api # failure in job https://hydra.nixos.org/build/233246132 at 2023-09-02 + - hackage-diff # failure in job https://hydra.nixos.org/build/233243364 at 2023-09-02 + - hackage-mirror # failure in job https://hydra.nixos.org/build/233240852 at 2023-09-02 + - hackage-processing # failure in job https://hydra.nixos.org/build/233243914 at 2023-09-02 + - hackage-proxy # failure in job https://hydra.nixos.org/build/233221269 at 2023-09-02 + - hackager # failure in job https://hydra.nixos.org/build/233247230 at 2023-09-02 + - hackage-server # failure in job https://hydra.nixos.org/build/233254343 at 2023-09-02 + - hackage-whatsnew # failure in job https://hydra.nixos.org/build/233194915 at 2023-09-02 + - hackernews # failure in job https://hydra.nixos.org/build/233215522 at 2023-09-02 + - hack-frontend-happstack # failure in job https://hydra.nixos.org/build/233251834 at 2023-09-02 + - hack-handler-cgi # failure in job https://hydra.nixos.org/build/233200753 at 2023-09-02 + - hack-handler-happstack # failure in job https://hydra.nixos.org/build/233225525 at 2023-09-02 + - hack-handler-kibro # failure in job https://hydra.nixos.org/build/233219102 at 2023-09-02 + - HackMail # failure in job https://hydra.nixos.org/build/233215224 at 2023-09-02 + - hactor # failure in job https://hydra.nixos.org/build/233254977 at 2023-09-02 + - hactors # failure in job https://hydra.nixos.org/build/233218599 at 2023-09-02 + - haddock-api # failure in job https://hydra.nixos.org/build/233216515 at 2023-09-02 + - haddock-leksah # failure in job https://hydra.nixos.org/build/233206878 at 2023-09-02 + - haddock-test # failure in job https://hydra.nixos.org/build/233246641 at 2023-09-02 + - hadoop-formats # failure in job https://hydra.nixos.org/build/233224647 at 2023-09-02 + - hadoop-rpc # failure in job https://hydra.nixos.org/build/233247222 at 2023-09-02 + - hadoop-streaming # failure in job https://hydra.nixos.org/build/233229895 at 2023-09-02 + - hafar # failure in job https://hydra.nixos.org/build/233231237 at 2023-09-02 + - haggle # failure in job https://hydra.nixos.org/build/233198660 at 2023-09-02 + - Haggressive # failure in job https://hydra.nixos.org/build/233235332 at 2023-09-02 + - HaGL # failure in job https://hydra.nixos.org/build/234457220 at 2023-09-13 + - hahp # failure in job https://hydra.nixos.org/build/233250101 at 2023-09-02 + - haiji # failure in job https://hydra.nixos.org/build/233232272 at 2023-09-02 + - hail # failure in job https://hydra.nixos.org/build/233219127 at 2023-09-02 + - hailgun # failure in job https://hydra.nixos.org/build/233242996 at 2023-09-02 + - hairy # failure in job https://hydra.nixos.org/build/233226903 at 2023-09-02 + - hakaru # failure in job https://hydra.nixos.org/build/233231467 at 2023-09-02 + - hakismet # failure in job https://hydra.nixos.org/build/233212497 at 2023-09-02 + - hakka # failure in job https://hydra.nixos.org/build/233196395 at 2023-09-02 + - hako # failure in job https://hydra.nixos.org/build/233257679 at 2023-09-02 + - hakyll-agda # failure in job https://hydra.nixos.org/build/233332603 at 2023-09-02 + - hakyll-blaze-templates # failure in job https://hydra.nixos.org/build/233207117 at 2023-09-02 + - hakyll-contrib-csv # failure in job https://hydra.nixos.org/build/233229781 at 2023-09-02 + - hakyll-contrib-elm # failure in job https://hydra.nixos.org/build/233234686 at 2023-09-02 + - hakyll-contrib # failure in job https://hydra.nixos.org/build/233195056 at 2023-09-02 + - hakyll-contrib-i18n # failure in job https://hydra.nixos.org/build/233218608 at 2023-09-02 + - hakyll-contrib-links # failure in job https://hydra.nixos.org/build/233218456 at 2023-09-02 + - hakyll-dhall # failure in job https://hydra.nixos.org/build/233226092 at 2023-09-02 + - hakyll-dir-list # failure in job https://hydra.nixos.org/build/233221518 at 2023-09-02 + - hakyll-R # failure in job https://hydra.nixos.org/build/233230132 at 2023-09-02 + - hakyll-series # failure in job https://hydra.nixos.org/build/233257506 at 2023-09-02 + - hakyll-shortcode # failure in job https://hydra.nixos.org/build/233240216 at 2023-09-02 + - hakyll-typescript # failure in job https://hydra.nixos.org/build/233218630 at 2023-09-02 + - HaLeX # failure in job https://hydra.nixos.org/build/233233225 at 2023-09-02 + - hal # failure in job https://hydra.nixos.org/build/233243847 at 2023-09-02 + - halfs # failure in job https://hydra.nixos.org/build/233235337 at 2023-09-02 + - halide-haskell # failure in job https://hydra.nixos.org/build/233244282 at 2023-09-02 + - halipeto # failure in job https://hydra.nixos.org/build/233223245 at 2023-09-02 + - halive # failure in job https://hydra.nixos.org/build/233215317 at 2023-09-02 + - halma # failure in job https://hydra.nixos.org/build/233245758 at 2023-09-02 + - halves # failure in job https://hydra.nixos.org/build/233214240 at 2023-09-02 + - hampp # failure in job https://hydra.nixos.org/build/233239269 at 2023-09-02 + - hamsql # failure in job https://hydra.nixos.org/build/233241294 at 2023-09-02 + - hamtmap # failure in job https://hydra.nixos.org/build/233225685 at 2023-09-02 + - hanabi-dealer # failure in job https://hydra.nixos.org/build/233231209 at 2023-09-02 + - handa-gdata # failure in job https://hydra.nixos.org/build/233257022 at 2023-09-02 + - handle-like # failure in job https://hydra.nixos.org/build/233245789 at 2023-09-02 + - HandlerSocketClient # failure in job https://hydra.nixos.org/build/233239906 at 2023-09-02 + - handsy # failure in job https://hydra.nixos.org/build/233192732 at 2023-09-02 + - HangmanAscii # failure in job https://hydra.nixos.org/build/233192660 at 2023-09-02 + - Hangman # failure in job https://hydra.nixos.org/build/233257262 at 2023-09-02 + - hannahci # failure in job https://hydra.nixos.org/build/233201493 at 2023-09-02 + - hans # failure in job https://hydra.nixos.org/build/233214027 at 2023-09-02 + - hanspell # failure in job https://hydra.nixos.org/build/233238153 at 2023-09-02 + - haphviz # failure in job https://hydra.nixos.org/build/233206220 at 2023-09-02 + - hapistrano # failure in job https://hydra.nixos.org/build/233209900 at 2023-09-02 + - happindicator3 # failure in job https://hydra.nixos.org/build/233191315 at 2023-09-02 + - happindicator # failure in job https://hydra.nixos.org/build/233212275 at 2023-09-02 + - happlets # failure in job https://hydra.nixos.org/build/233208337 at 2023-09-02 + - happraise # failure in job https://hydra.nixos.org/build/233251808 at 2023-09-02 + - happstack-clientsession # failure in job https://hydra.nixos.org/build/233224969 at 2023-09-02 + - happstack # failure in job https://hydra.nixos.org/build/233196813 at 2023-09-02 + - happstack-hamlet # failure in job https://hydra.nixos.org/build/233227835 at 2023-09-02 + - happstack-hstringtemplate # failure in job https://hydra.nixos.org/build/233201171 at 2023-09-02 + - happstack-lite # failure in job https://hydra.nixos.org/build/233196392 at 2023-09-02 + - happstack-monad-peel # failure in job https://hydra.nixos.org/build/233247282 at 2023-09-02 + - happstack-server-tls-cryptonite # failure in job https://hydra.nixos.org/build/233236252 at 2023-09-02 + - happstack-util # failure in job https://hydra.nixos.org/build/233202063 at 2023-09-02 + - happstack-yui # failure in job https://hydra.nixos.org/build/233221482 at 2023-09-02 + - HAppS-Util # failure in job https://hydra.nixos.org/build/233237912 at 2023-09-02 + - happybara # failure in job https://hydra.nixos.org/build/233236198 at 2023-09-02 + - happybara-webkit-server # failure in job https://hydra.nixos.org/build/233247667 at 2023-09-02 + - HappyTree # failure in job https://hydra.nixos.org/build/233249720 at 2023-09-02 + - hapstone # failure in job https://hydra.nixos.org/build/233190701 at 2023-09-02 + - HaPy # failure in job https://hydra.nixos.org/build/233256693 at 2023-09-02 + - haquery # failure in job https://hydra.nixos.org/build/233216714 at 2023-09-02 + - harchive # failure in job https://hydra.nixos.org/build/233259819 at 2023-09-02 + - harg # failure in job https://hydra.nixos.org/build/233232453 at 2023-09-02 + - HARM # failure in job https://hydra.nixos.org/build/233232650 at 2023-09-02 + - HarmTrace-Base # failure in job https://hydra.nixos.org/build/233213843 at 2023-09-02 + - haroonga # failure in job https://hydra.nixos.org/build/233226376 at 2023-09-02 + - harpy # failure in job https://hydra.nixos.org/build/233225779 at 2023-09-02 + - harvest-api # failure in job https://hydra.nixos.org/build/233213054 at 2023-09-02 + - hasbolt-extras # failure in job https://hydra.nixos.org/build/233211734 at 2023-09-02 + - HasCacBDD # failure in job https://hydra.nixos.org/build/233238688 at 2023-09-02 + - hascard # failure in job https://hydra.nixos.org/build/233238626 at 2023-09-02 + - hascar # failure in job https://hydra.nixos.org/build/233197274 at 2023-09-02 + - hascas # failure in job https://hydra.nixos.org/build/233250350 at 2023-09-02 + - has # failure in job https://hydra.nixos.org/build/233193689 at 2023-09-02 + - hashable-extras # failure in job https://hydra.nixos.org/build/233191748 at 2023-09-02 + - hashable-generics # failure in job https://hydra.nixos.org/build/233209175 at 2023-09-02 + - hashabler # failure in job https://hydra.nixos.org/build/233236154 at 2023-09-02 + - hashed-storage # failure in job https://hydra.nixos.org/build/233193382 at 2023-09-02 + - hash # failure in job https://hydra.nixos.org/build/233219137 at 2023-09-02 + - hashring # failure in job https://hydra.nixos.org/build/233231092 at 2023-09-02 + - hashtables-plus # failure in job https://hydra.nixos.org/build/233234463 at 2023-09-02 + - hasim # failure in job https://hydra.nixos.org/build/233248675 at 2023-09-02 + - haskades # failure in job https://hydra.nixos.org/build/233191525 at 2023-09-02 + - haskarrow # failure in job https://hydra.nixos.org/build/233223366 at 2023-09-02 + - haskbot-core # failure in job https://hydra.nixos.org/build/233194787 at 2023-09-02 + - haskeline-class # failure in job https://hydra.nixos.org/build/233214356 at 2023-09-02 + - haskelisp # failure in job https://hydra.nixos.org/build/233234452 at 2023-09-02 + - haskell2010 # failure in job https://hydra.nixos.org/build/233255384 at 2023-09-02 + - haskell2020 # failure in job https://hydra.nixos.org/build/233251111 at 2023-09-02 + - haskell98 # failure in job https://hydra.nixos.org/build/233231799 at 2023-09-02 + - haskell98libraries # failure in job https://hydra.nixos.org/build/233255099 at 2023-09-02 + - haskell-admin-core # failure in job https://hydra.nixos.org/build/233242655 at 2023-09-02 + - HaskellAnalysisProgram # failure in job https://hydra.nixos.org/build/233235074 at 2023-09-02 + - haskell-awk # failure in job https://hydra.nixos.org/build/233235921 at 2023-09-02 + - haskell-bcrypt # failure in job https://hydra.nixos.org/build/233258991 at 2023-09-02 + - haskell-bitmex-rest # failure in job https://hydra.nixos.org/build/233244003 at 2023-09-02 + - haskell-brainfuck # failure in job https://hydra.nixos.org/build/233233282 at 2023-09-02 + - haskell-cnc # failure in job https://hydra.nixos.org/build/233229233 at 2023-09-02 + - haskell-compression # failure in job https://hydra.nixos.org/build/233212749 at 2023-09-02 + - haskell-conll # failure in job https://hydra.nixos.org/build/233203484 at 2023-09-02 + - haskell-course-preludes # failure in job https://hydra.nixos.org/build/233196306 at 2023-09-02 + - haskelldb # failure in job https://hydra.nixos.org/build/233248300 at 2023-09-02 + - haskelldb-wx # failure in job https://hydra.nixos.org/build/233197525 at 2023-09-02 + - haskell-disque # failure in job https://hydra.nixos.org/build/233226200 at 2023-09-02 + - HaskellForMaths # failure in job https://hydra.nixos.org/build/233237608 at 2023-09-02 + - haskell-formatter # failure in job https://hydra.nixos.org/build/233237167 at 2023-09-02 + - haskell-generate # failure in job https://hydra.nixos.org/build/233197927 at 2023-09-02 + - haskell-go-checkers # failure in job https://hydra.nixos.org/build/234459896 at 2023-09-13 + - haskell-holes-th # failure in job https://hydra.nixos.org/build/233238457 at 2023-09-02 + - haskell-igraph # failure in job https://hydra.nixos.org/build/233201209 at 2023-09-02 + - haskell-import-graph # failure in job https://hydra.nixos.org/build/233225328 at 2023-09-02 + - haskell-in-space # failure in job https://hydra.nixos.org/build/233207121 at 2023-09-02 + - haskell-kubernetes # failure in job https://hydra.nixos.org/build/233214499 at 2023-09-02 + - HaskellLM # failure in job https://hydra.nixos.org/build/233237641 at 2023-09-02 + - haskell-lsp-types # failure in job https://hydra.nixos.org/build/233226386 at 2023-09-02 + - haskell-ml # failure in job https://hydra.nixos.org/build/233199372 at 2023-09-02 + - haskell-mpfr # failure in job https://hydra.nixos.org/build/233211691 at 2023-09-02 + - haskell-names # failure in job https://hydra.nixos.org/build/233191174 at 2023-09-02 + - haskell-neo4j-client # failure in job https://hydra.nixos.org/build/233202206 at 2023-09-02 + - HaskellNN # failure in job https://hydra.nixos.org/build/233209323 at 2023-09-02 + - Haskelloids # failure in job https://hydra.nixos.org/build/233204861 at 2023-09-02 + - haskell-openflow # failure in job https://hydra.nixos.org/build/233235229 at 2023-09-02 + - haskell-overridez # failure in job https://hydra.nixos.org/build/233215398 at 2023-09-02 + - haskell-packages # failure in job https://hydra.nixos.org/build/233192989 at 2023-09-02 + - haskell-player # failure in job https://hydra.nixos.org/build/233206419 at 2023-09-02 + - haskell-plot # failure in job https://hydra.nixos.org/build/233256531 at 2023-09-02 + - haskell-postal # failure in job https://hydra.nixos.org/build/233241454 at 2023-09-02 + - haskell-postgis # failure in job https://hydra.nixos.org/build/233258634 at 2023-09-02 + - haskell-read-editor # failure in job https://hydra.nixos.org/build/233225002 at 2023-09-02 + - haskell-rules # failure in job https://hydra.nixos.org/build/233225854 at 2023-09-02 + - haskellscrabble # failure in job https://hydra.nixos.org/build/233251248 at 2023-09-02 + - haskellscript # failure in job https://hydra.nixos.org/build/233193435 at 2023-09-02 + - haskell-spacegoo # failure in job https://hydra.nixos.org/build/233206108 at 2023-09-02 + - haskell-src-exts-prisms # failure in job https://hydra.nixos.org/build/233197742 at 2023-09-02 + - haskell-src-exts-qq # failure in job https://hydra.nixos.org/build/233234764 at 2023-09-02 + - haskell-src-exts-sc # failure in job https://hydra.nixos.org/build/233257890 at 2023-09-02 + - haskell-src-match # failure in job https://hydra.nixos.org/build/233233529 at 2023-09-02 + - haskell-src-meta-mwotton # failure in job https://hydra.nixos.org/build/233251914 at 2023-09-02 + - haskell-stack-trace-plugin # failure in job https://hydra.nixos.org/build/233231305 at 2023-09-02 + - haskell-to-elm # failure in job https://hydra.nixos.org/build/233210318 at 2023-09-02 + - HaskellTorrent # failure in job https://hydra.nixos.org/build/233231874 at 2023-09-02 + - HaskellTutorials # failure in job https://hydra.nixos.org/build/233209605 at 2023-09-02 + - haskell-type-exts # failure in job https://hydra.nixos.org/build/233209731 at 2023-09-02 + - haskell-typescript # failure in job https://hydra.nixos.org/build/233239625 at 2023-09-02 + - haskell-tyrant # failure in job https://hydra.nixos.org/build/233230507 at 2023-09-02 + - haskelzinc # failure in job https://hydra.nixos.org/build/233207478 at 2023-09-02 + - haskeme # failure in job https://hydra.nixos.org/build/233232706 at 2023-09-02 + - haskey-btree # failure in job https://hydra.nixos.org/build/233213529 at 2023-09-02 + - hask # failure in job https://hydra.nixos.org/build/233215974 at 2023-09-02 + - haskheap # failure in job https://hydra.nixos.org/build/233231657 at 2023-09-02 + - haskhol-core # failure in job https://hydra.nixos.org/build/233232550 at 2023-09-02 + - haskintex # failure in job https://hydra.nixos.org/build/233238166 at 2023-09-02 + - haskmon # failure in job https://hydra.nixos.org/build/233228390 at 2023-09-02 + - haskoin # failure in job https://hydra.nixos.org/build/233201668 at 2023-09-02 + - haskoin-util # failure in job https://hydra.nixos.org/build/233222171 at 2023-09-02 + - haskoin-wallet # failure in job https://hydra.nixos.org/build/233206922 at 2023-09-02 + - haskore # failure in job https://hydra.nixos.org/build/233238668 at 2023-09-02 + - haskore-vintage # failure in job https://hydra.nixos.org/build/233230742 at 2023-09-02 + - hasktorch-codegen # failure in job https://hydra.nixos.org/build/233232876 at 2023-09-02 + - hasktorch-ffi-th # failure in job https://hydra.nixos.org/build/233252974 at 2023-09-02 + - hasktorch-signatures-partial # failure in job https://hydra.nixos.org/build/233197869 at 2023-09-02 + - hasktorch-signatures-support # failure in job https://hydra.nixos.org/build/233212137 at 2023-09-02 + - haskus-utils-variant # failure in job https://hydra.nixos.org/build/233218226 at 2023-09-02 + - haskyapi # failure in job https://hydra.nixos.org/build/233247040 at 2023-09-02 + - hasmin # failure in job https://hydra.nixos.org/build/233256557 at 2023-09-02 + - haspara # failure in job https://hydra.nixos.org/build/233208502 at 2023-09-02 + - hasparql-client # failure in job https://hydra.nixos.org/build/233191734 at 2023-09-02 + - hasql-backend # failure in job https://hydra.nixos.org/build/233255310 at 2023-09-02 + - hasql-class # failure in job https://hydra.nixos.org/build/233191053 at 2023-09-02 + - hasql-cursor-transaction # failure in job https://hydra.nixos.org/build/233240886 at 2023-09-02 + - hasql-explain-tests # failure in job https://hydra.nixos.org/build/233247034 at 2023-09-02 + - hasql-generic # failure in job https://hydra.nixos.org/build/233204654 at 2023-09-02 + - hasql-resource-pool # failure in job https://hydra.nixos.org/build/233217667 at 2023-09-02 + - hasql-simple # failure in job https://hydra.nixos.org/build/233249588 at 2023-09-02 + - hasql-transaction-io # failure in job https://hydra.nixos.org/build/233211964 at 2023-09-02 + - hasql-url # failure in job https://hydra.nixos.org/build/233201809 at 2023-09-02 + - hastache # failure in job https://hydra.nixos.org/build/233224317 at 2023-09-02 + - haste # failure in job https://hydra.nixos.org/build/233238510 at 2023-09-02 + - haste-prim # failure in job https://hydra.nixos.org/build/233203281 at 2023-09-02 + - hasura-ekg-core # failure in job https://hydra.nixos.org/build/233211397 at 2023-09-02 + - hasura-ekg-core # failure in job https://hydra.nixos.org/build/234439842 at 2023-09-13 + - hatex-guide # failure in job https://hydra.nixos.org/build/233258593 at 2023-09-02 + - hat # failure in job https://hydra.nixos.org/build/233243655 at 2023-09-02 + - hats # failure in job https://hydra.nixos.org/build/233256724 at 2023-09-02 + - hatt # failure in job https://hydra.nixos.org/build/233195039 at 2023-09-02 + - haveibeenpwned # failure in job https://hydra.nixos.org/build/233253058 at 2023-09-02 + - haven # failure in job https://hydra.nixos.org/build/233216806 at 2023-09-02 + - haverer # failure in job https://hydra.nixos.org/build/233210491 at 2023-09-02 + - hax # failure in job https://hydra.nixos.org/build/233212147 at 2023-09-02 + - haxl # failure in job https://hydra.nixos.org/build/233243740 at 2023-09-02 + - haxparse # failure in job https://hydra.nixos.org/build/233205900 at 2023-09-02 + - haxr-th # failure in job https://hydra.nixos.org/build/233250109 at 2023-09-02 + - hayland # failure in job https://hydra.nixos.org/build/233201482 at 2023-09-02 + - hayoo-cli # failure in job https://hydra.nixos.org/build/233245478 at 2023-09-02 + - hBDD-CMUBDD # failure in job https://hydra.nixos.org/build/233210132 at 2023-09-02 + - hBDD-CUDD # failure in job https://hydra.nixos.org/build/233243982 at 2023-09-02 + - hbeanstalk # failure in job https://hydra.nixos.org/build/233229856 at 2023-09-02 + - hbeat # failure in job https://hydra.nixos.org/build/233228628 at 2023-09-02 + - hblas # failure in job https://hydra.nixos.org/build/233232561 at 2023-09-02 + - hblock # failure in job https://hydra.nixos.org/build/233205351 at 2023-09-02 + - h-booru # failure in job https://hydra.nixos.org/build/233258209 at 2023-09-02 + - hburg # failure in job https://hydra.nixos.org/build/233247429 at 2023-09-02 + - hcad # failure in job https://hydra.nixos.org/build/233219976 at 2023-09-02 + - HCard # failure in job https://hydra.nixos.org/build/233231922 at 2023-09-02 + - hcc # failure in job https://hydra.nixos.org/build/233235578 at 2023-09-02 + - hcg-minus # failure in job https://hydra.nixos.org/build/233205053 at 2023-09-02 + - hchesslib # failure in job https://hydra.nixos.org/build/233203219 at 2023-09-02 + - hcltest # failure in job https://hydra.nixos.org/build/233230099 at 2023-09-02 + - hcoap # failure in job https://hydra.nixos.org/build/233233393 at 2023-09-02 + - hcobs # failure in job https://hydra.nixos.org/build/233230173 at 2023-09-02 + - hcom # failure in job https://hydra.nixos.org/build/233201664 at 2023-09-02 + - hcron # failure in job https://hydra.nixos.org/build/233235518 at 2023-09-02 + - hCsound # failure in job https://hydra.nixos.org/build/233254871 at 2023-09-02 + - hdaemonize-buildfix # failure in job https://hydra.nixos.org/build/233225678 at 2023-09-02 + - hdbc-aeson # failure in job https://hydra.nixos.org/build/233240596 at 2023-09-02 + - HDBC-mysql # failure in job https://hydra.nixos.org/build/233205323 at 2023-09-02 + - hdbc-postgresql-hstore # failure in job https://hydra.nixos.org/build/233201143 at 2023-09-02 + - HDBC-postgresql-hstore # failure in job https://hydra.nixos.org/build/233243932 at 2023-09-02 + - hdevtools # failure in job https://hydra.nixos.org/build/233229115 at 2023-09-02 + - hdf5 # failure in job https://hydra.nixos.org/build/233244523 at 2023-09-02 + - hDFA # failure in job https://hydra.nixos.org/build/233229180 at 2023-09-02 + - hdf # failure in job https://hydra.nixos.org/build/233221986 at 2023-09-02 + - hdigest # failure in job https://hydra.nixos.org/build/233257809 at 2023-09-02 + - hdis86 # failure in job https://hydra.nixos.org/build/233229636 at 2023-09-02 + - hdiscount # failure in job https://hydra.nixos.org/build/233236884 at 2023-09-02 + - hdm # failure in job https://hydra.nixos.org/build/233238354 at 2023-09-02 + - hdo # failure in job https://hydra.nixos.org/build/233218112 at 2023-09-02 + - hdph-closure # failure in job https://hydra.nixos.org/build/233191583 at 2023-09-02 + - hdr-histogram # failure in job https://hydra.nixos.org/build/233196278 at 2023-09-02 + - headergen # failure in job https://hydra.nixos.org/build/233229610 at 2023-09-02 + - heap-console # failure in job https://hydra.nixos.org/build/233191563 at 2023-09-02 + - heapsort # failure in job https://hydra.nixos.org/build/233197726 at 2023-09-02 + - heartbeat-streams # failure in job https://hydra.nixos.org/build/233214515 at 2023-09-02 + - heart-core # failure in job https://hydra.nixos.org/build/233216585 at 2023-09-02 + - heatitup-complete # failure in job https://hydra.nixos.org/build/233218404 at 2023-09-02 + - hebrew-time # failure in job https://hydra.nixos.org/build/233250014 at 2023-09-02 + - heckle # failure in job https://hydra.nixos.org/build/233228954 at 2023-09-02 + - heddit # failure in job https://hydra.nixos.org/build/233229058 at 2023-09-02 + - hedgehog-checkers # failure in job https://hydra.nixos.org/build/233229405 at 2023-09-02 + - hedgehog-generic # failure in job https://hydra.nixos.org/build/233204695 at 2023-09-02 + - hedgehog-golden # failure in job https://hydra.nixos.org/build/233219619 at 2023-09-02 + - hedgehog-lens # failure in job https://hydra.nixos.org/build/233251825 at 2023-09-02 + - hedgehog-servant # failure in job https://hydra.nixos.org/build/233258223 at 2023-09-02 + - hedis-config # failure in job https://hydra.nixos.org/build/233198326 at 2023-09-02 + - hedis-namespace # failure in job https://hydra.nixos.org/build/233256546 at 2023-09-02 + - hedis-simple # failure in job https://hydra.nixos.org/build/233231466 at 2023-09-02 + - hedis-tags # failure in job https://hydra.nixos.org/build/233246456 at 2023-09-02 + - hedra # failure in job https://hydra.nixos.org/build/233217122 at 2023-09-02 + - heidi # failure in job https://hydra.nixos.org/build/233199241 at 2023-09-02 + - hein # failure in job https://hydra.nixos.org/build/233241797 at 2023-09-02 + - heist-emanote # failure in job https://hydra.nixos.org/build/233242732 at 2023-09-02 + - heist # failure in job https://hydra.nixos.org/build/233217810 at 2023-09-02 + - helisp # failure in job https://hydra.nixos.org/build/233221194 at 2023-09-02 + - helix # failure in job https://hydra.nixos.org/build/233254335 at 2023-09-02 + - helm # failure in job https://hydra.nixos.org/build/233251620 at 2023-09-02 + - help-esb # failure in job https://hydra.nixos.org/build/233202622 at 2023-09-02 + - hemkay # failure in job https://hydra.nixos.org/build/233227889 at 2023-09-02 + - HERA # failure in job https://hydra.nixos.org/build/233204724 at 2023-09-02 + - herbalizer # failure in job https://hydra.nixos.org/build/233214866 at 2023-09-02 + - HerbiePlugin # failure in job https://hydra.nixos.org/build/233193018 at 2023-09-02 + - heredocs # failure in job https://hydra.nixos.org/build/233238862 at 2023-09-02 + - her-lexer-parsec # failure in job https://hydra.nixos.org/build/233237312 at 2023-09-02 + - Hermes # failure in job https://hydra.nixos.org/build/233223694 at 2023-09-02 + - herms # failure in job https://hydra.nixos.org/build/233217216 at 2023-09-02 + - heroku-persistent # failure in job https://hydra.nixos.org/build/233253569 at 2023-09-02 + - hetero-dict # failure in job https://hydra.nixos.org/build/233250917 at 2023-09-02 + - heterogeneous-list-literals # failure in job https://hydra.nixos.org/build/233212297 at 2023-09-02 + - hetris # failure in job https://hydra.nixos.org/build/233256814 at 2023-09-02 + - heukarya # failure in job https://hydra.nixos.org/build/233247440 at 2023-09-02 + - HExcel # failure in job https://hydra.nixos.org/build/233211971 at 2023-09-02 + - hexchat # failure in job https://hydra.nixos.org/build/233234161 at 2023-09-02 + - hexif # failure in job https://hydra.nixos.org/build/233245470 at 2023-09-02 + - hexmino # failure in job https://hydra.nixos.org/build/234458679 at 2023-09-13 + - hexml-lens # failure in job https://hydra.nixos.org/build/233247930 at 2023-09-02 + - hexpat-lens # failure in job https://hydra.nixos.org/build/233199827 at 2023-09-02 + - hexpat-pickle-generic # failure in job https://hydra.nixos.org/build/233219898 at 2023-09-02 + - hexpat-streamparser # failure in job https://hydra.nixos.org/build/233249543 at 2023-09-02 + - hexpress # failure in job https://hydra.nixos.org/build/233219047 at 2023-09-02 + - hexpr # failure in job https://hydra.nixos.org/build/233198146 at 2023-09-02 + - hexquote # failure in job https://hydra.nixos.org/build/233230240 at 2023-09-02 + - hexstring # failure in job https://hydra.nixos.org/build/233193009 at 2023-09-02 + - hext # failure in job https://hydra.nixos.org/build/233221345 at 2023-09-02 + - hextra # failure in job https://hydra.nixos.org/build/233238071 at 2023-09-02 + - heyefi # failure in job https://hydra.nixos.org/build/233221712 at 2023-09-02 + - heyting-algebras # failure in job https://hydra.nixos.org/build/233259836 at 2023-09-02 + - hF2 # failure in job https://hydra.nixos.org/build/233208978 at 2023-09-02 + - hfann # failure in job https://hydra.nixos.org/build/233198657 at 2023-09-02 + - HFitUI # failure in job https://hydra.nixos.org/build/233218009 at 2023-09-02 + - hfmt # failure in job https://hydra.nixos.org/build/233246648 at 2023-09-02 + - hfoil # failure in job https://hydra.nixos.org/build/234467169 at 2023-09-13 + - hfov # failure in job https://hydra.nixos.org/build/233227082 at 2023-09-02 + - HFrequencyQueue # failure in job https://hydra.nixos.org/build/233218262 at 2023-09-02 + - hfusion # failure in job https://hydra.nixos.org/build/233198044 at 2023-09-02 + - HGamer3D-Data # failure in job https://hydra.nixos.org/build/233248475 at 2023-09-02 + - HGamer3D # failure in job https://hydra.nixos.org/build/233200642 at 2023-09-02 + - hg-buildpackage # failure in job https://hydra.nixos.org/build/233244725 at 2023-09-02 + - hgdbmi # failure in job https://hydra.nixos.org/build/233227678 at 2023-09-02 + - HGE2D # failure in job https://hydra.nixos.org/build/234449154 at 2023-09-13 + - hgearman # failure in job https://hydra.nixos.org/build/233231063 at 2023-09-02 + - hGelf # failure in job https://hydra.nixos.org/build/233203909 at 2023-09-02 + - hgeometric # failure in job https://hydra.nixos.org/build/233197856 at 2023-09-02 + - hgis # failure in job https://hydra.nixos.org/build/233200418 at 2023-09-02 + - hgom # failure in job https://hydra.nixos.org/build/233255569 at 2023-09-02 + - hgopher # failure in job https://hydra.nixos.org/build/233222066 at 2023-09-02 + - h-gpgme # failure in job https://hydra.nixos.org/build/233240826 at 2023-09-02 + - HGraphStorage # failure in job https://hydra.nixos.org/build/233217988 at 2023-09-02 + - hgreet # failure in job https://hydra.nixos.org/build/233247614 at 2023-09-02 + - hgrep # failure in job https://hydra.nixos.org/build/233219726 at 2023-09-02 + - hgrev # failure in job https://hydra.nixos.org/build/233241115 at 2023-09-02 + - hgrib # failure in job https://hydra.nixos.org/build/233226885 at 2023-09-02 + - hharp # failure in job https://hydra.nixos.org/build/233237395 at 2023-09-02 + - HHDL # failure in job https://hydra.nixos.org/build/233197917 at 2023-09-02 + - hhp # failure in job https://hydra.nixos.org/build/233244322 at 2023-09-02 + - hhwloc # failure in job https://hydra.nixos.org/build/233215132 at 2023-09-02 + - hi3status # failure in job https://hydra.nixos.org/build/233217321 at 2023-09-02 + - hichi # failure in job https://hydra.nixos.org/build/233208897 at 2023-09-02 + - hidden-char # failure in job https://hydra.nixos.org/build/233206791 at 2023-09-02 + - hid-examples # failure in job https://hydra.nixos.org/build/233221413 at 2023-09-02 + - hid # failure in job https://hydra.nixos.org/build/233209289 at 2023-09-02 + - hieraclus # failure in job https://hydra.nixos.org/build/233241310 at 2023-09-02 + - hierarchical-clustering # failure in job https://hydra.nixos.org/build/233226120 at 2023-09-02 + - hierarchical-exceptions # failure in job https://hydra.nixos.org/build/233195047 at 2023-09-02 + - hierarchy # failure in job https://hydra.nixos.org/build/233203253 at 2023-09-02 + - hiernotify # failure in job https://hydra.nixos.org/build/233223185 at 2023-09-02 + - hifi # failure in job https://hydra.nixos.org/build/233192325 at 2023-09-02 + - higher-leveldb # failure in job https://hydra.nixos.org/build/233237992 at 2023-09-02 + - higherorder # failure in job https://hydra.nixos.org/build/233201392 at 2023-09-02 + - highjson # failure in job https://hydra.nixos.org/build/233221080 at 2023-09-02 + - highlight-versions # failure in job https://hydra.nixos.org/build/233240502 at 2023-09-02 + - highWaterMark # failure in job https://hydra.nixos.org/build/233244731 at 2023-09-02 + - hills # failure in job https://hydra.nixos.org/build/233215201 at 2023-09-02 + - himg # failure in job https://hydra.nixos.org/build/233213810 at 2023-09-02 + - hindley-milner # failure in job https://hydra.nixos.org/build/233195252 at 2023-09-02 + - hindley-milner-type-check # failure in job https://hydra.nixos.org/build/233216545 at 2023-09-02 + - hinquire # failure in job https://hydra.nixos.org/build/233235549 at 2023-09-02 + - hinstaller # failure in job https://hydra.nixos.org/build/233244650 at 2023-09-02 + - hinterface # failure in job https://hydra.nixos.org/build/233250383 at 2023-09-02 + - hinter # failure in job https://hydra.nixos.org/build/233245954 at 2023-09-02 + - hint-server # failure in job https://hydra.nixos.org/build/233240346 at 2023-09-02 + - hipchat-hs # failure in job https://hydra.nixos.org/build/233198550 at 2023-09-02 + - Hipmunk # failure in job https://hydra.nixos.org/build/233259272 at 2023-09-02 + - hipsql-api # failure in job https://hydra.nixos.org/build/233222961 at 2023-09-02 + - hircules # failure in job https://hydra.nixos.org/build/233221393 at 2023-09-02 + - Hish # failure in job https://hydra.nixos.org/build/233226443 at 2023-09-02 + - hissmetrics # failure in job https://hydra.nixos.org/build/233206890 at 2023-09-02 + - historian # failure in job https://hydra.nixos.org/build/233210703 at 2023-09-02 + - hist-pl-types # failure in job https://hydra.nixos.org/build/233245977 at 2023-09-02 + - hit-on # failure in job https://hydra.nixos.org/build/233233939 at 2023-09-02 + - HJavaScript # failure in job https://hydra.nixos.org/build/233191718 at 2023-09-02 + - hjcase # failure in job https://hydra.nixos.org/build/233195095 at 2023-09-02 + - hjs # failure in job https://hydra.nixos.org/build/233215541 at 2023-09-02 + - hjsonpointer # failure in job https://hydra.nixos.org/build/233238177 at 2023-09-02 + - hjson-query # failure in job https://hydra.nixos.org/build/233202240 at 2023-09-02 + - hjugement-protocol # failure in job https://hydra.nixos.org/build/233227109 at 2023-09-02 + - HJVM # failure in job https://hydra.nixos.org/build/233238646 at 2023-09-02 + - hkd-delta # failure in job https://hydra.nixos.org/build/233204318 at 2023-09-02 + - hkd-lens # failure in job https://hydra.nixos.org/build/233242120 at 2023-09-02 + - hkd-records # failure in job https://hydra.nixos.org/build/233234491 at 2023-09-02 + - hkt # failure in job https://hydra.nixos.org/build/233244808 at 2023-09-02 + - hlbfgsb # failure in job https://hydra.nixos.org/build/233260021 at 2023-09-02 + - hleap # failure in job https://hydra.nixos.org/build/233229908 at 2023-09-02 + - hledger-chart # failure in job https://hydra.nixos.org/build/233205387 at 2023-09-02 + - hledger-diff # failure in job https://hydra.nixos.org/build/233199639 at 2023-09-02 + - hledger-flow # failure in job https://hydra.nixos.org/build/233252169 at 2023-09-02 + - hledger-iadd # failure in job https://hydra.nixos.org/build/233198670 at 2023-09-02 + - hledger-irr # failure in job https://hydra.nixos.org/build/233230276 at 2023-09-02 + - hledger-makeitso # failure in job https://hydra.nixos.org/build/233213046 at 2023-09-02 + - hledger-vty # failure in job https://hydra.nixos.org/build/233191782 at 2023-09-02 + - hlibBladeRF # failure in job https://hydra.nixos.org/build/233237204 at 2023-09-02 + - hlibev # failure in job https://hydra.nixos.org/build/233256218 at 2023-09-02 + - hlibfam # failure in job https://hydra.nixos.org/build/233244702 at 2023-09-02 + - HList # failure in job https://hydra.nixos.org/build/233193236 at 2023-09-02 + - hlivy # failure in job https://hydra.nixos.org/build/233222495 at 2023-09-02 + - hlogger # failure in job https://hydra.nixos.org/build/233197314 at 2023-09-02 + - HLogger # failure in job https://hydra.nixos.org/build/233247351 at 2023-09-02 + - hlongurl # failure in job https://hydra.nixos.org/build/233227204 at 2023-09-02 + - hls-brittany-plugin # failure in job https://hydra.nixos.org/build/233201998 at 2023-09-02 + - hls-haddock-comments-plugin # failure in job https://hydra.nixos.org/build/233233944 at 2023-09-02 + - hls-refine-imports-plugin # failure in job https://hydra.nixos.org/build/233211155 at 2023-09-02 + - hls-selection-range-plugin # failure in job https://hydra.nixos.org/build/233205582 at 2023-09-02 + - hls-stan-plugin # failure in job https://hydra.nixos.org/build/233246900 at 2023-09-02 + - hls-tactics-plugin # failure in job https://hydra.nixos.org/build/233238907 at 2023-09-02 + - hlwm # failure in job https://hydra.nixos.org/build/233235119 at 2023-09-02 + - hly # failure in job https://hydra.nixos.org/build/233206910 at 2023-09-02 + - hmarkup # failure in job https://hydra.nixos.org/build/233234817 at 2023-09-02 + - hmatrix-banded # failure in job https://hydra.nixos.org/build/233244249 at 2023-09-02 + - hmatrix-mmap # failure in job https://hydra.nixos.org/build/233233046 at 2023-09-02 + - hmatrix-nipals # failure in job https://hydra.nixos.org/build/233197543 at 2023-09-02 + - hmatrix-sparse # failure in job https://hydra.nixos.org/build/233224288 at 2023-09-02 + - hmatrix-static # failure in job https://hydra.nixos.org/build/233193039 at 2023-09-02 + - hmatrix-svdlibc # failure in job https://hydra.nixos.org/build/233216875 at 2023-09-02 + - hmatrix-syntax # failure in job https://hydra.nixos.org/build/233234153 at 2023-09-02 + - hmatrix-tests # failure in job https://hydra.nixos.org/build/233230077 at 2023-09-02 + - hmenu # failure in job https://hydra.nixos.org/build/233219510 at 2023-09-02 + - hmk # failure in job https://hydra.nixos.org/build/233226029 at 2023-09-02 + - hmm # failure in job https://hydra.nixos.org/build/233191368 at 2023-09-02 + - HMM # failure in job https://hydra.nixos.org/build/233233312 at 2023-09-02 + - hmm-hmatrix # failure in job https://hydra.nixos.org/build/233208947 at 2023-09-02 + - hMollom # failure in job https://hydra.nixos.org/build/233214138 at 2023-09-02 + - hmp3 # failure in job https://hydra.nixos.org/build/233240864 at 2023-09-02 + - Hmpf # failure in job https://hydra.nixos.org/build/233212948 at 2023-09-02 + - hmumps # failure in job https://hydra.nixos.org/build/233209336 at 2023-09-02 + - hnn # failure in job https://hydra.nixos.org/build/233253882 at 2023-09-02 + - hnock # failure in job https://hydra.nixos.org/build/233247419 at 2023-09-02 + - hnop # failure in job https://hydra.nixos.org/build/233214340 at 2023-09-02 + - hoauth2-providers # failure in job https://hydra.nixos.org/build/233231128 at 2023-09-02 + - hoauth2-tutorial # failure in job https://hydra.nixos.org/build/233198819 at 2023-09-02 + - hoauth # failure in job https://hydra.nixos.org/build/233191191 at 2023-09-02 + - hobbes # failure in job https://hydra.nixos.org/build/233211279 at 2023-09-02 + - hobbits # failure in job https://hydra.nixos.org/build/233209874 at 2023-09-02 + - hocilib # failure in job https://hydra.nixos.org/build/233256688 at 2023-09-02 + - hocker # failure in job https://hydra.nixos.org/build/233235020 at 2023-09-02 + - hocon # failure in job https://hydra.nixos.org/build/233235831 at 2023-09-02 + - hodatime # failure in job https://hydra.nixos.org/build/233213965 at 2023-09-02 + - HODE # failure in job https://hydra.nixos.org/build/233214875 at 2023-09-02 + - hoe # failure in job https://hydra.nixos.org/build/233218776 at 2023-09-02 + - hofix-mtl # failure in job https://hydra.nixos.org/build/233225185 at 2023-09-02 + - hog # failure in job https://hydra.nixos.org/build/233220359 at 2023-09-02 + - hogg # failure in job https://hydra.nixos.org/build/233257874 at 2023-09-02 + - hoggl # failure in job https://hydra.nixos.org/build/233194252 at 2023-09-02 + - hois # failure in job https://hydra.nixos.org/build/233250712 at 2023-09-02 + - hold-em # failure in job https://hydra.nixos.org/build/233236968 at 2023-09-02 + - hole # failure in job https://hydra.nixos.org/build/233226698 at 2023-09-02 + - holmes # failure in job https://hydra.nixos.org/build/233257690 at 2023-09-02 + - Holumbus-Searchengine # failure in job https://hydra.nixos.org/build/233203951 at 2023-09-02 + - homeomorphic # failure in job https://hydra.nixos.org/build/233203853 at 2023-09-02 + - homoiconic # failure in job https://hydra.nixos.org/build/233205548 at 2023-09-02 + - homplexity # failure in job https://hydra.nixos.org/build/233195616 at 2023-09-02 + - honi # failure in job https://hydra.nixos.org/build/233241731 at 2023-09-02 + - hoobuddy # failure in job https://hydra.nixos.org/build/233252172 at 2023-09-02 + - hood2 # failure in job https://hydra.nixos.org/build/233204179 at 2023-09-02 + - hoodle-types # failure in job https://hydra.nixos.org/build/233195584 at 2023-09-02 + - hood-off # failure in job https://hydra.nixos.org/build/233249500 at 2023-09-02 + - hoogle-index # failure in job https://hydra.nixos.org/build/233251874 at 2023-09-02 + - hooks-dir # failure in job https://hydra.nixos.org/build/233254014 at 2023-09-02 + - hoop # failure in job https://hydra.nixos.org/build/233214206 at 2023-09-02 + - hoopl # failure in job https://hydra.nixos.org/build/233250120 at 2023-09-02 + - hopencc # failure in job https://hydra.nixos.org/build/233192954 at 2023-09-02 + - hopencl # failure in job https://hydra.nixos.org/build/233249443 at 2023-09-02 + - HOpenCV # failure in job https://hydra.nixos.org/build/233255422 at 2023-09-02 + - hopenpgp-tools # failure in job https://hydra.nixos.org/build/233259304 at 2023-09-02 + - hopfield # failure in job https://hydra.nixos.org/build/233598214 at 2023-09-02 + - hoppy-generator # failure in job https://hydra.nixos.org/build/233240608 at 2023-09-02 + - hops # failure in job https://hydra.nixos.org/build/233207172 at 2023-09-02 + - hoq # failure in job https://hydra.nixos.org/build/233234499 at 2023-09-02 + - ho-rewriting # failure in job https://hydra.nixos.org/build/233253726 at 2023-09-02 + - horizon # failure in job https://hydra.nixos.org/build/233215473 at 2023-09-02 + - horizon-gen-nix # failure in job https://hydra.nixos.org/build/233663130 at 2023-09-02 + - horizon-spec-lens # failure in job https://hydra.nixos.org/build/233221337 at 2023-09-02 + - horizon-spec-pretty # failure in job https://hydra.nixos.org/build/233227612 at 2023-09-02 + - horname # failure in job https://hydra.nixos.org/build/233198123 at 2023-09-02 + - hosc-json # failure in job https://hydra.nixos.org/build/233220569 at 2023-09-02 + - hosts-server # failure in job https://hydra.nixos.org/build/233249074 at 2023-09-02 + - hothasktags # failure in job https://hydra.nixos.org/build/233207150 at 2023-09-02 + - hourglass-fuzzy-parsing # failure in job https://hydra.nixos.org/build/233200977 at 2023-09-02 + - houseman # failure in job https://hydra.nixos.org/build/233247185 at 2023-09-02 + - hp2any-core # failure in job https://hydra.nixos.org/build/233205070 at 2023-09-02 + - hpack-convert # failure in job https://hydra.nixos.org/build/233257334 at 2023-09-02 + - hpack-dhall # failure in job https://hydra.nixos.org/build/233663050 at 2023-09-02 + - hpapi # failure in job https://hydra.nixos.org/build/233208886 at 2023-09-02 + - hpasteit # failure in job https://hydra.nixos.org/build/233197680 at 2023-09-02 + - HPath # failure in job https://hydra.nixos.org/build/233257819 at 2023-09-02 + - hpc-coveralls # failure in job https://hydra.nixos.org/build/233255685 at 2023-09-02 + - hpg # failure in job https://hydra.nixos.org/build/233243212 at 2023-09-02 + - HPi # failure in job https://hydra.nixos.org/build/233257411 at 2023-09-02 + - hpio # failure in job https://hydra.nixos.org/build/233215789 at 2023-09-02 + - hplaylist # failure in job https://hydra.nixos.org/build/233219978 at 2023-09-02 + - hpodder # failure in job https://hydra.nixos.org/build/233207276 at 2023-09-02 + - hpqtypes # failure in job https://hydra.nixos.org/build/233256283 at 2023-09-02 + - hps-kmeans # failure in job https://hydra.nixos.org/build/233207461 at 2023-09-02 + - hPushover # failure in job https://hydra.nixos.org/build/233215804 at 2023-09-02 + - hpygments # failure in job https://hydra.nixos.org/build/233258827 at 2023-09-02 + - hpylos # failure in job https://hydra.nixos.org/build/234451400 at 2023-09-13 + - hpyrg # failure in job https://hydra.nixos.org/build/233225042 at 2023-09-02 + - hquantlib-time # failure in job https://hydra.nixos.org/build/233192009 at 2023-09-02 + - hquery # failure in job https://hydra.nixos.org/build/233203709 at 2023-09-02 + - hreq-core # failure in job https://hydra.nixos.org/build/233259937 at 2023-09-02 + - hRESP # failure in job https://hydra.nixos.org/build/233229961 at 2023-09-02 + - h-reversi # failure in job https://hydra.nixos.org/build/233235617 at 2023-09-02 + - hR # failure in job https://hydra.nixos.org/build/233205131 at 2023-09-02 + - Hricket # failure in job https://hydra.nixos.org/build/233226183 at 2023-09-02 + - hricket # failure in job https://hydra.nixos.org/build/233247261 at 2023-09-02 + - HROOT-core # failure in job https://hydra.nixos.org/build/233217487 at 2023-09-02 + - hruby # failure in job https://hydra.nixos.org/build/233210664 at 2023-09-02 + - hs2bf # failure in job https://hydra.nixos.org/build/233251996 at 2023-09-02 + - hs2ps # failure in job https://hydra.nixos.org/build/233258362 at 2023-09-02 + - hsaml2 # failure in job https://hydra.nixos.org/build/233252618 at 2023-09-02 + - hsay # failure in job https://hydra.nixos.org/build/233218925 at 2023-09-02 + - hsbc # failure in job https://hydra.nixos.org/build/233206310 at 2023-09-02 + - hsbencher # failure in job https://hydra.nixos.org/build/233214962 at 2023-09-02 + - hs-brotli # failure in job https://hydra.nixos.org/build/233215213 at 2023-09-02 + - hsc3-cairo # failure in job https://hydra.nixos.org/build/233215926 at 2023-09-02 + - hsc3-db # failure in job https://hydra.nixos.org/build/233191679 at 2023-09-02 + - hsc3-dot # failure in job https://hydra.nixos.org/build/233219452 at 2023-09-02 + - hsc3-lang # failure in job https://hydra.nixos.org/build/233198291 at 2023-09-02 + - hsc3-process # failure in job https://hydra.nixos.org/build/233196358 at 2023-09-02 + - hsc3-rec # failure in job https://hydra.nixos.org/build/233206364 at 2023-09-02 + - hsc3-rw # failure in job https://hydra.nixos.org/build/233244499 at 2023-09-02 + - hsc3-sf # failure in job https://hydra.nixos.org/build/233208404 at 2023-09-02 + - hsc3-unsafe # failure in job https://hydra.nixos.org/build/233202606 at 2023-09-02 + - hscaffold # failure in job https://hydra.nixos.org/build/233207380 at 2023-09-02 + - hscamwire # failure in job https://hydra.nixos.org/build/233194329 at 2023-09-02 + - hs-carbon-examples # failure in job https://hydra.nixos.org/build/234440337 at 2023-09-13 + - hscd # failure in job https://hydra.nixos.org/build/233195644 at 2023-09-02 + - hscdio # failure in job https://hydra.nixos.org/build/233195843 at 2023-09-02 + - hschema-aeson # failure in job https://hydra.nixos.org/build/233196871 at 2023-09-02 + - hscim # failure in job https://hydra.nixos.org/build/233208569 at 2023-09-02 + - hsclock # failure in job https://hydra.nixos.org/build/233220439 at 2023-09-02 + - hScraper # failure in job https://hydra.nixos.org/build/233239652 at 2023-09-02 + - hscuid # failure in job https://hydra.nixos.org/build/233245223 at 2023-09-02 + - hs-di # failure in job https://hydra.nixos.org/build/233254606 at 2023-09-02 + - hsdif # failure in job https://hydra.nixos.org/build/233239725 at 2023-09-02 + - hsdip # failure in job https://hydra.nixos.org/build/233229128 at 2023-09-02 + - hsdns-cache # failure in job https://hydra.nixos.org/build/233225611 at 2023-09-02 + - hs-dotnet # failure in job https://hydra.nixos.org/build/233232755 at 2023-09-02 + - hs-duktape # failure in job https://hydra.nixos.org/build/233223882 at 2023-09-02 + - hsebaysdk # failure in job https://hydra.nixos.org/build/233251126 at 2023-09-02 + - hsenv # failure in job https://hydra.nixos.org/build/233196846 at 2023-09-02 + - HSet # failure in job https://hydra.nixos.org/build/233202216 at 2023-09-02 + - hs-excelx # failure in job https://hydra.nixos.org/build/233230761 at 2023-09-02 + - hsfcsh # failure in job https://hydra.nixos.org/build/233245258 at 2023-09-02 + - HSFFIG # failure in job https://hydra.nixos.org/build/233257379 at 2023-09-02 + - hsfilt # failure in job https://hydra.nixos.org/build/233242295 at 2023-09-02 + - hs-fltk # failure in job https://hydra.nixos.org/build/233225244 at 2023-09-02 + - hsforce # failure in job https://hydra.nixos.org/build/233242016 at 2023-09-02 + - hs-gizapp # failure in job https://hydra.nixos.org/build/233248733 at 2023-09-02 + - hsgnutls # failure in job https://hydra.nixos.org/build/233199419 at 2023-09-02 + - hsgnutls-yj # failure in job https://hydra.nixos.org/build/233220680 at 2023-09-02 + - hsgsom # failure in job https://hydra.nixos.org/build/233192340 at 2023-09-02 + - HsHaruPDF # failure in job https://hydra.nixos.org/build/233220916 at 2023-09-02 + - HsHyperEstraier # failure in job https://hydra.nixos.org/build/233253787 at 2023-09-02 + - hsI2C # failure in job https://hydra.nixos.org/build/233247520 at 2023-09-02 + - hSimpleDB # failure in job https://hydra.nixos.org/build/233236736 at 2023-09-02 + - hsimport # failure in job https://hydra.nixos.org/build/233219578 at 2023-09-02 + - hs-java # failure in job https://hydra.nixos.org/build/233224973 at 2023-09-02 + - hs-json-rpc # failure in job https://hydra.nixos.org/build/233217334 at 2023-09-02 + - HsJudy # failure in job https://hydra.nixos.org/build/233243273 at 2023-09-02 + - hskeleton # failure in job https://hydra.nixos.org/build/233220443 at 2023-09-02 + - hslackbuilder # failure in job https://hydra.nixos.org/build/233230141 at 2023-09-02 + - hslibsvm # failure in job https://hydra.nixos.org/build/233255959 at 2023-09-02 + - hslinks # failure in job https://hydra.nixos.org/build/233221444 at 2023-09-02 + - hslogger-reader # failure in job https://hydra.nixos.org/build/233226346 at 2023-09-02 + - hslogger-template # failure in job https://hydra.nixos.org/build/233192309 at 2023-09-02 + - hs-logo # failure in job https://hydra.nixos.org/build/233211298 at 2023-09-02 + - hsluv-haskell # failure in job https://hydra.nixos.org/build/233239548 at 2023-09-02 + - hsmagick # failure in job https://hydra.nixos.org/build/233235964 at 2023-09-02 + - hsmodetweaks # failure in job https://hydra.nixos.org/build/233663004 at 2023-09-02 + - Hsmtlib # failure in job https://hydra.nixos.org/build/233213073 at 2023-09-02 + - hsmtpclient # failure in job https://hydra.nixos.org/build/233224596 at 2023-09-02 + - hsnock # failure in job https://hydra.nixos.org/build/233194525 at 2023-09-02 + - hs-nombre-generator # failure in job https://hydra.nixos.org/build/233246102 at 2023-09-02 + - hsns # failure in job https://hydra.nixos.org/build/233240328 at 2023-09-02 + - hsnsq # failure in job https://hydra.nixos.org/build/233215245 at 2023-09-02 + - hsntp # failure in job https://hydra.nixos.org/build/233206211 at 2023-09-02 + - hsoptions # failure in job https://hydra.nixos.org/build/233198363 at 2023-09-02 + - hsoz # failure in job https://hydra.nixos.org/build/233217005 at 2023-09-02 + - hs-pattrans # failure in job https://hydra.nixos.org/build/233230577 at 2023-09-02 + - hsp-cgi # failure in job https://hydra.nixos.org/build/233254927 at 2023-09-02 + - hspear # failure in job https://hydra.nixos.org/build/233192286 at 2023-09-02 + - hspec2 # failure in job https://hydra.nixos.org/build/233251459 at 2023-09-02 + - hspec-api # failure in job https://hydra.nixos.org/build/233201204 at 2023-09-02 + - hspec-expectations-match # failure in job https://hydra.nixos.org/build/233210221 at 2023-09-02 + - hspec-experimental # failure in job https://hydra.nixos.org/build/233216119 at 2023-09-02 + - hspec-jenkins # failure in job https://hydra.nixos.org/build/233213269 at 2023-09-02 + - hspec-junit-formatter # failure in job https://hydra.nixos.org/build/233218310 at 2023-09-02 + - hspec-monad-control # failure in job https://hydra.nixos.org/build/233223942 at 2023-09-02 + - hspec-need-env # failure in job https://hydra.nixos.org/build/233208310 at 2023-09-02 + - hspec-slow # failure in job https://hydra.nixos.org/build/233248782 at 2023-09-02 + - hspec-structured-formatter # failure in job https://hydra.nixos.org/build/233199636 at 2023-09-02 + - hspec-tables # failure in job https://hydra.nixos.org/build/233221785 at 2023-09-02 + - HsPerl5 # failure in job https://hydra.nixos.org/build/233256038 at 2023-09-02 + - hs-pgms # failure in job https://hydra.nixos.org/build/233237693 at 2023-09-02 + - hspkcs11 # failure in job https://hydra.nixos.org/build/233225806 at 2023-09-02 + - hs-pkg-config # failure in job https://hydra.nixos.org/build/233240839 at 2023-09-02 + - hspread # failure in job https://hydra.nixos.org/build/233217578 at 2023-09-02 + - hspresent # failure in job https://hydra.nixos.org/build/233191185 at 2023-09-02 + - hspretty # failure in job https://hydra.nixos.org/build/233253394 at 2023-09-02 + - hsql # failure in job https://hydra.nixos.org/build/233217626 at 2023-09-02 + - hs-re # failure in job https://hydra.nixos.org/build/233253430 at 2023-09-02 + - hsrelp # failure in job https://hydra.nixos.org/build/233255841 at 2023-09-02 + - hs-rqlite # failure in job https://hydra.nixos.org/build/233191478 at 2023-09-02 + - hs-rs-notify # failure in job https://hydra.nixos.org/build/233253577 at 2023-09-02 + - hs-scrape # failure in job https://hydra.nixos.org/build/233244221 at 2023-09-02 + - hsseccomp # failure in job https://hydra.nixos.org/build/233194411 at 2023-09-02 + - hsshellscript # failure in job https://hydra.nixos.org/build/233197858 at 2023-09-02 + - hs-snowtify # failure in job https://hydra.nixos.org/build/233200511 at 2023-09-02 + - hsSqlite3 # failure in job https://hydra.nixos.org/build/233238549 at 2023-09-02 + - hssqlppp # failure in job https://hydra.nixos.org/build/233216888 at 2023-09-02 + - HsSVN # failure in job https://hydra.nixos.org/build/233213151 at 2023-09-02 + - hs-tags # failure in job https://hydra.nixos.org/build/233258358 at 2023-09-02 + - hstatsd # failure in job https://hydra.nixos.org/build/233232363 at 2023-09-02 + - hstats # failure in job https://hydra.nixos.org/build/233239963 at 2023-09-02 + - hs-term-emulator # failure in job https://hydra.nixos.org/build/233252262 at 2023-09-02 + - hstest # failure in job https://hydra.nixos.org/build/233237803 at 2023-09-02 + - hstidy # failure in job https://hydra.nixos.org/build/233194232 at 2023-09-02 + - HsTools # failure in job https://hydra.nixos.org/build/233234724 at 2023-09-02 + - hs-twitterarchiver # failure in job https://hydra.nixos.org/build/233241847 at 2023-09-02 + - hs-twitter # failure in job https://hydra.nixos.org/build/233214283 at 2023-09-02 + - hstyle # failure in job https://hydra.nixos.org/build/233232861 at 2023-09-02 + - hsudoku # failure in job https://hydra.nixos.org/build/233214738 at 2023-09-02 + - hs-vcard # failure in job https://hydra.nixos.org/build/233228457 at 2023-09-02 + - hs-watchman # failure in job https://hydra.nixos.org/build/233217591 at 2023-09-02 + - hsXenCtrl # failure in job https://hydra.nixos.org/build/233193837 at 2023-09-02 + - hsx # failure in job https://hydra.nixos.org/build/233211907 at 2023-09-02 + - hsyscall # failure in job https://hydra.nixos.org/build/233190699 at 2023-09-02 + - hsyslog-udp # failure in job https://hydra.nixos.org/build/233205524 at 2023-09-02 + - hszephyr # failure in job https://hydra.nixos.org/build/233204818 at 2023-09-02 + - hTalos # failure in job https://hydra.nixos.org/build/233246583 at 2023-09-02 + - htar # failure in job https://hydra.nixos.org/build/233218013 at 2023-09-02 + - htdp-image # failure in job https://hydra.nixos.org/build/234460283 at 2023-09-13 + - hTensor # failure in job https://hydra.nixos.org/build/233205733 at 2023-09-02 + - htestu # failure in job https://hydra.nixos.org/build/233234583 at 2023-09-02 + - HTicTacToe # failure in job https://hydra.nixos.org/build/233235397 at 2023-09-02 + - htiled # failure in job https://hydra.nixos.org/build/233219305 at 2023-09-02 + - htlset # failure in job https://hydra.nixos.org/build/233203886 at 2023-09-02 + - html-parse # failure in job https://hydra.nixos.org/build/233241759 at 2023-09-02 + - html-rules # failure in job https://hydra.nixos.org/build/233200615 at 2023-09-02 + - html-tokenizer # failure in job https://hydra.nixos.org/build/233243581 at 2023-09-02 + - htoml # failure in job https://hydra.nixos.org/build/233246670 at 2023-09-02 + - htoml-megaparsec # failure in job https://hydra.nixos.org/build/233211134 at 2023-09-02 + - hts # failure in job https://hydra.nixos.org/build/233256407 at 2023-09-02 + - htsn # failure in job https://hydra.nixos.org/build/233197004 at 2023-09-02 + - htssets # failure in job https://hydra.nixos.org/build/233195420 at 2023-09-02 + - http2-client # failure in job https://hydra.nixos.org/build/233214193 at 2023-09-02 + - http2-grpc-types # failure in job https://hydra.nixos.org/build/233197769 at 2023-09-02 + - http2-tls # failure in job https://hydra.nixos.org/build/233227095 at 2023-09-02 + - http-attoparsec # failure in job https://hydra.nixos.org/build/233233534 at 2023-09-02 + - http-client-lens # failure in job https://hydra.nixos.org/build/233259168 at 2023-09-02 + - http-client-request-modifiers # failure in job https://hydra.nixos.org/build/233231339 at 2023-09-02 + - http-client-session # failure in job https://hydra.nixos.org/build/233221185 at 2023-09-02 + - http-client-streams # failure in job https://hydra.nixos.org/build/233233493 at 2023-09-02 + - http-conduit-browser # failure in job https://hydra.nixos.org/build/233213208 at 2023-09-02 + - http-conduit-downloader # failure in job https://hydra.nixos.org/build/233242604 at 2023-09-02 + - http-directory # failure in job https://hydra.nixos.org/build/233252965 at 2023-09-02 + - http-dispatch # failure in job https://hydra.nixos.org/build/233238880 at 2023-09-02 + - http-encodings # failure in job https://hydra.nixos.org/build/233232289 at 2023-09-02 + - http-grammar # failure in job https://hydra.nixos.org/build/233254392 at 2023-09-02 + - http-interchange # failure in job https://hydra.nixos.org/build/233213341 at 2023-09-02 + - http-kinder # failure in job https://hydra.nixos.org/build/233205030 at 2023-09-02 + - http-kit # failure in job https://hydra.nixos.org/build/233244992 at 2023-09-02 + - http-listen # failure in job https://hydra.nixos.org/build/233244011 at 2023-09-02 + - http-pony # failure in job https://hydra.nixos.org/build/233233492 at 2023-09-02 + - http-pony-serve-wai # failure in job https://hydra.nixos.org/build/233222241 at 2023-09-02 + - http-proxy # failure in job https://hydra.nixos.org/build/233218875 at 2023-09-02 + - http-querystring # failure in job https://hydra.nixos.org/build/233202925 at 2023-09-02 + - http-rfc7807 # failure in job https://hydra.nixos.org/build/233191433 at 2023-09-02 + - http-server # failure in job https://hydra.nixos.org/build/233209925 at 2023-09-02 + - http-shed # failure in job https://hydra.nixos.org/build/233236325 at 2023-09-02 + - httpstan # failure in job https://hydra.nixos.org/build/233202072 at 2023-09-02 + - http-wget # failure in job https://hydra.nixos.org/build/233236793 at 2023-09-02 + - htune # failure in job https://hydra.nixos.org/build/234447885 at 2023-09-13 + - htvm # failure in job https://hydra.nixos.org/build/233218993 at 2023-09-02 + - htzaar # failure in job https://hydra.nixos.org/build/233197602 at 2023-09-02 + - huck # failure in job https://hydra.nixos.org/build/233235354 at 2023-09-02 + - HueAPI # failure in job https://hydra.nixos.org/build/233234528 at 2023-09-02 + - huff # failure in job https://hydra.nixos.org/build/233194394 at 2023-09-02 + - huffman # failure in job https://hydra.nixos.org/build/233230189 at 2023-09-02 + - HulkImport # failure in job https://hydra.nixos.org/build/233193212 at 2023-09-02 + - human-parse # failure in job https://hydra.nixos.org/build/233212317 at 2023-09-02 + - human-text # failure in job https://hydra.nixos.org/build/233237802 at 2023-09-02 + - humble-prelude # failure in job https://hydra.nixos.org/build/233196934 at 2023-09-02 + - hum # failure in job https://hydra.nixos.org/build/233203730 at 2023-09-02 + - hums # failure in job https://hydra.nixos.org/build/233196188 at 2023-09-02 + - hunch # failure in job https://hydra.nixos.org/build/233215770 at 2023-09-02 + - HUnit-Diff # failure in job https://hydra.nixos.org/build/233221147 at 2023-09-02 + - HUnit-Plus # failure in job https://hydra.nixos.org/build/233208597 at 2023-09-02 + - hunit-rematch # failure in job https://hydra.nixos.org/build/233232138 at 2023-09-02 + - hup # failure in job https://hydra.nixos.org/build/233246919 at 2023-09-02 + - hurriyet # failure in job https://hydra.nixos.org/build/233200609 at 2023-09-02 + - husky # failure in job https://hydra.nixos.org/build/233214877 at 2023-09-02 + - hutton # failure in job https://hydra.nixos.org/build/233211451 at 2023-09-02 + - huttons-razor # failure in job https://hydra.nixos.org/build/233224736 at 2023-09-02 + - hVOIDP # failure in job https://hydra.nixos.org/build/233227778 at 2023-09-02 + - hwall-auth-iitk # failure in job https://hydra.nixos.org/build/233217629 at 2023-09-02 + - hw-ci-assist # failure in job https://hydra.nixos.org/build/233207990 at 2023-09-02 + - hw-dsv # failure in job https://hydra.nixos.org/build/233252280 at 2023-09-02 + - hw-dump # failure in job https://hydra.nixos.org/build/233208818 at 2023-09-02 + - hweblib # failure in job https://hydra.nixos.org/build/233250183 at 2023-09-02 + - hwhile # failure in job https://hydra.nixos.org/build/233206114 at 2023-09-02 + - hw-json-simd # failure in job https://hydra.nixos.org/build/233240490 at 2023-09-02 + - hworker # failure in job https://hydra.nixos.org/build/233220098 at 2023-09-02 + - hw-playground-linear # failure in job https://hydra.nixos.org/build/233208994 at 2023-09-02 + - hw-prim-bits # failure in job https://hydra.nixos.org/build/233246627 at 2023-09-02 + - hw-simd-cli # failure in job https://hydra.nixos.org/build/233223251 at 2023-09-02 + - hwsl2 # failure in job https://hydra.nixos.org/build/233203941 at 2023-09-02 + - hw-streams # failure in job https://hydra.nixos.org/build/233250370 at 2023-09-02 + - hw-tar # failure in job https://hydra.nixos.org/build/233219650 at 2023-09-02 + - hw-xml # failure in job https://hydra.nixos.org/build/233197758 at 2023-09-02 + - hx # failure in job https://hydra.nixos.org/build/233225223 at 2023-09-02 + - hxmppc # failure in job https://hydra.nixos.org/build/233253810 at 2023-09-02 + - HXQ # failure in job https://hydra.nixos.org/build/233218116 at 2023-09-02 + - hxt-pickle-utils # failure in job https://hydra.nixos.org/build/233212654 at 2023-09-02 + - hyakko # failure in job https://hydra.nixos.org/build/233199297 at 2023-09-02 + - hydra # failure in job https://hydra.nixos.org/build/233212477 at 2023-09-02 + - hydra-hs # failure in job https://hydra.nixos.org/build/233238247 at 2023-09-02 + - hydrogen # failure in job https://hydra.nixos.org/build/233219053 at 2023-09-02 + - hydrogen-multimap # failure in job https://hydra.nixos.org/build/233241591 at 2023-09-02 + - hylide # failure in job https://hydra.nixos.org/build/233251582 at 2023-09-02 + - hylolib # failure in job https://hydra.nixos.org/build/233197340 at 2023-09-02 + - hyperdrive # failure in job https://hydra.nixos.org/build/233223556 at 2023-09-02 + - hyper-extra # failure in job https://hydra.nixos.org/build/233216785 at 2023-09-02 + - hyperfunctions # failure in job https://hydra.nixos.org/build/233195544 at 2023-09-02 + - hyper-haskell-server # failure in job https://hydra.nixos.org/build/233244991 at 2023-09-02 + - hyperion # failure in job https://hydra.nixos.org/build/233218339 at 2023-09-02 + - hyperloglogplus # failure in job https://hydra.nixos.org/build/233259772 at 2023-09-02 + - hyperscript # failure in job https://hydra.nixos.org/build/233222333 at 2023-09-02 + - hypher # failure in job https://hydra.nixos.org/build/233233236 at 2023-09-02 + - hyraxAbif # failure in job https://hydra.nixos.org/build/233195246 at 2023-09-02 + - hzulip # failure in job https://hydra.nixos.org/build/233191086 at 2023-09-02 + - i18n # failure in job https://hydra.nixos.org/build/233247578 at 2023-09-02 + - I1M # failure in job https://hydra.nixos.org/build/233258307 at 2023-09-02 + - i3blocks-hs-contrib # failure in job https://hydra.nixos.org/build/233231742 at 2023-09-02 + - i3ipc # failure in job https://hydra.nixos.org/build/233213992 at 2023-09-02 + - iap-verifier # failure in job https://hydra.nixos.org/build/233202491 at 2023-09-02 + - iban # failure in job https://hydra.nixos.org/build/233236424 at 2023-09-02 + - ib-api # failure in job https://hydra.nixos.org/build/233223054 at 2023-09-02 + - ical # failure in job https://hydra.nixos.org/build/233200229 at 2023-09-02 + - icepeak # failure in job https://hydra.nixos.org/build/233242326 at 2023-09-02 + - icfpc2020-galaxy # failure in job https://hydra.nixos.org/build/233208746 at 2023-09-02 + - IcoGrid # failure in job https://hydra.nixos.org/build/233202038 at 2023-09-02 + - iconv-typed # failure in job https://hydra.nixos.org/build/233211253 at 2023-09-02 + - ideas # failure in job https://hydra.nixos.org/build/233248212 at 2023-09-02 + - ide-backend-common # failure in job https://hydra.nixos.org/build/233251470 at 2023-09-02 + - idempotent # failure in job https://hydra.nixos.org/build/233244413 at 2023-09-02 + - identifiers # failure in job https://hydra.nixos.org/build/233251845 at 2023-09-02 + - idiii # failure in job https://hydra.nixos.org/build/233228586 at 2023-09-02 + - idna2008 # failure in job https://hydra.nixos.org/build/233206444 at 2023-09-02 + - IDynamic # failure in job https://hydra.nixos.org/build/233196222 at 2023-09-02 + - ieee-utils # failure in job https://hydra.nixos.org/build/233224430 at 2023-09-02 + - iexcloud # failure in job https://hydra.nixos.org/build/233224874 at 2023-09-02 + - ifcxt # failure in job https://hydra.nixos.org/build/233196911 at 2023-09-02 + - IFS # failure in job https://hydra.nixos.org/build/233246865 at 2023-09-02 + - ige # failure in job https://hydra.nixos.org/build/233224389 at 2023-09-02 + - ig # failure in job https://hydra.nixos.org/build/233203872 at 2023-09-02 + - ignore # failure in job https://hydra.nixos.org/build/233238874 at 2023-09-02 + - igraph # failure in job https://hydra.nixos.org/build/233192875 at 2023-09-02 + - ihaskell-charts # failure in job https://hydra.nixos.org/build/233193328 at 2023-09-02 + - ihaskell-diagrams # failure in job https://hydra.nixos.org/build/233230959 at 2023-09-02 + - ihaskell-gnuplot # failure in job https://hydra.nixos.org/build/233223675 at 2023-09-02 + - ihaskell-graphviz # failure in job https://hydra.nixos.org/build/233200671 at 2023-09-02 + - ihaskell-parsec # failure in job https://hydra.nixos.org/build/233244271 at 2023-09-02 + - ihaskell-plot # failure in job https://hydra.nixos.org/build/233255936 at 2023-09-02 + - ihaskell-widgets # failure in job https://hydra.nixos.org/build/233198849 at 2023-09-02 + - ihp-hsx # failure in job https://hydra.nixos.org/build/233211401 at 2023-09-02 + - illuminate # failure in job https://hydra.nixos.org/build/233219478 at 2023-09-02 + - imagemagick # failure in job https://hydra.nixos.org/build/233598237 at 2023-09-02 + - imagepaste # failure in job https://hydra.nixos.org/build/233211716 at 2023-09-02 + - image-type # failure in job https://hydra.nixos.org/build/233251466 at 2023-09-02 + - imapget # failure in job https://hydra.nixos.org/build/233235664 at 2023-09-02 + - imgur # failure in job https://hydra.nixos.org/build/233191096 at 2023-09-02 + - imj-prelude # failure in job https://hydra.nixos.org/build/233234877 at 2023-09-02 + - immortal-worker # failure in job https://hydra.nixos.org/build/233246961 at 2023-09-02 + - ImperativeHaskell # failure in job https://hydra.nixos.org/build/233240920 at 2023-09-02 + - impl # failure in job https://hydra.nixos.org/build/233203425 at 2023-09-02 + - implicit-logging # failure in job https://hydra.nixos.org/build/233194358 at 2023-09-02 + - implicit-params # failure in job https://hydra.nixos.org/build/233201527 at 2023-09-02 + - imports # failure in job https://hydra.nixos.org/build/233227469 at 2023-09-02 + - impossible # failure in job https://hydra.nixos.org/build/233216237 at 2023-09-02 + - imprint # failure in job https://hydra.nixos.org/build/233246314 at 2023-09-02 + - impure-containers # failure in job https://hydra.nixos.org/build/233251408 at 2023-09-02 + - inchworm # failure in job https://hydra.nixos.org/build/233219017 at 2023-09-02 + - indentation-core # failure in job https://hydra.nixos.org/build/233257946 at 2023-09-02 + - index-core # failure in job https://hydra.nixos.org/build/233255196 at 2023-09-02 + - indexed-containers # failure in job https://hydra.nixos.org/build/233236806 at 2023-09-02 + - indexed-do-notation # failure in job https://hydra.nixos.org/build/233259970 at 2023-09-02 + - indextype # failure in job https://hydra.nixos.org/build/233230257 at 2023-09-02 + - indices # failure in job https://hydra.nixos.org/build/233198223 at 2023-09-02 + - infernal # failure in job https://hydra.nixos.org/build/233228571 at 2023-09-02 + - inferno-types # failure in job https://hydra.nixos.org/build/233225968 at 2023-09-02 + - infernu # failure in job https://hydra.nixos.org/build/233238697 at 2023-09-02 + - infer-upstream # failure in job https://hydra.nixos.org/build/233191739 at 2023-09-02 + - inf-interval # failure in job https://hydra.nixos.org/build/233193217 at 2023-09-02 + - infix # failure in job https://hydra.nixos.org/build/233256976 at 2023-09-02 + - inflist # failure in job https://hydra.nixos.org/build/233223710 at 2023-09-02 + - informative # failure in job https://hydra.nixos.org/build/233215837 at 2023-09-02 + - inilist # failure in job https://hydra.nixos.org/build/233203791 at 2023-09-02 + - ini-qq # failure in job https://hydra.nixos.org/build/233201946 at 2023-09-02 + - initialize # failure in job https://hydra.nixos.org/build/233228739 at 2023-09-02 + - inj-base # failure in job https://hydra.nixos.org/build/233198720 at 2023-09-02 + - inject-function # failure in job https://hydra.nixos.org/build/233252462 at 2023-09-02 + - injections # failure in job https://hydra.nixos.org/build/233207796 at 2023-09-02 + - inline-c-objc # failure in job https://hydra.nixos.org/build/233223704 at 2023-09-02 + - inline-r # failure in job https://hydra.nixos.org/build/233210520 at 2023-09-02 + - in-other-words-plugin # failure in job https://hydra.nixos.org/build/233202396 at 2023-09-02 + - inserts # failure in job https://hydra.nixos.org/build/233198758 at 2023-09-02 + - instana-haskell-trace-sdk # failure in job https://hydra.nixos.org/build/233211339 at 2023-09-02 + - instance-map # failure in job https://hydra.nixos.org/build/233209014 at 2023-09-02 + - instant-generics # failure in job https://hydra.nixos.org/build/233209385 at 2023-09-02 + - instapaper-sender # failure in job https://hydra.nixos.org/build/233225390 at 2023-09-02 + - instinct # failure in job https://hydra.nixos.org/build/233203632 at 2023-09-02 + - intcode # failure in job https://hydra.nixos.org/build/233198813 at 2023-09-02 + - integer-pure # failure in job https://hydra.nixos.org/build/233216037 at 2023-09-02 + - integer-simple # failure in job https://hydra.nixos.org/build/233192893 at 2023-09-02 + - intensional-datatys # failure in job https://hydra.nixos.org/build/233218337 at 2023-09-02 + - interact # failure in job https://hydra.nixos.org/build/233228146 at 2023-09-02 + - interactive-plot # failure in job https://hydra.nixos.org/build/233212280 at 2023-09-02 + - interleavableGen # failure in job https://hydra.nixos.org/build/233226525 at 2023-09-02 + - interleavableIO # failure in job https://hydra.nixos.org/build/233257474 at 2023-09-02 + - interlude-l # failure in job https://hydra.nixos.org/build/233204840 at 2023-09-02 + - internetmarke # failure in job https://hydra.nixos.org/build/233229638 at 2023-09-02 + - intero # failure in job https://hydra.nixos.org/build/233250290 at 2023-09-02 + - interp # failure in job https://hydra.nixos.org/build/233225966 at 2023-09-02 + - interpolatedstring-qq2 # failure in job https://hydra.nixos.org/build/233231621 at 2023-09-02 + - interpolator # failure in job https://hydra.nixos.org/build/233218382 at 2023-09-02 + - interpol # failure in job https://hydra.nixos.org/build/233218430 at 2023-09-02 + - interruptible # failure in job https://hydra.nixos.org/build/233209873 at 2023-09-02 + - interval-algebra # failure in job https://hydra.nixos.org/build/233208487 at 2023-09-02 + - interval # failure in job https://hydra.nixos.org/build/233239434 at 2023-09-02 + - interval-tree-clock # failure in job https://hydra.nixos.org/build/233234316 at 2023-09-02 + - IntFormats # failure in job https://hydra.nixos.org/build/233195190 at 2023-09-02 + - int-interval-map # failure in job https://hydra.nixos.org/build/233244556 at 2023-09-02 + - int-like # failure in job https://hydra.nixos.org/build/233245537 at 2023-09-02 + - int-multimap # failure in job https://hydra.nixos.org/build/233210427 at 2023-09-02 + - intrinsic-superclasses # failure in job https://hydra.nixos.org/build/233228909 at 2023-09-02 + - introduction # failure in job https://hydra.nixos.org/build/233223585 at 2023-09-02 + - intro # failure in job https://hydra.nixos.org/build/233192297 at 2023-09-02 + - invertible-syntax # failure in job https://hydra.nixos.org/build/233230924 at 2023-09-02 + - involutive-semigroups # failure in job https://hydra.nixos.org/build/233239156 at 2023-09-02 + - io-capture # failure in job https://hydra.nixos.org/build/233196311 at 2023-09-02 + - io-choice # failure in job https://hydra.nixos.org/build/233218388 at 2023-09-02 + - ioctl # failure in job https://hydra.nixos.org/build/233191634 at 2023-09-02 + - io-reactive # failure in job https://hydra.nixos.org/build/233205095 at 2023-09-02 + - IOR # failure in job https://hydra.nixos.org/build/233206346 at 2023-09-02 + - iostring # failure in job https://hydra.nixos.org/build/233195232 at 2023-09-02 + - iothread # failure in job https://hydra.nixos.org/build/233255761 at 2023-09-02 + - iotransaction # failure in job https://hydra.nixos.org/build/233226259 at 2023-09-02 + - ip2location # failure in job https://hydra.nixos.org/build/233208648 at 2023-09-02 + - ip2proxy # failure in job https://hydra.nixos.org/build/233218808 at 2023-09-02 + - ipa # failure in job https://hydra.nixos.org/build/233242228 at 2023-09-02 + - ipfs # failure in job https://hydra.nixos.org/build/233598054 at 2023-09-02 + - ipopt-hs # failure in job https://hydra.nixos.org/build/233210102 at 2023-09-02 + - ip-quoter # failure in job https://hydra.nixos.org/build/233234581 at 2023-09-02 + - iptables-helpers # failure in job https://hydra.nixos.org/build/233198949 at 2023-09-02 + - IPv6DB # failure in job https://hydra.nixos.org/build/233199983 at 2023-09-02 + - ircbot # failure in job https://hydra.nixos.org/build/233237219 at 2023-09-02 + - irc-core # failure in job https://hydra.nixos.org/build/233242138 at 2023-09-02 + - irc-dcc # failure in job https://hydra.nixos.org/build/233230181 at 2023-09-02 + - Irc # failure in job https://hydra.nixos.org/build/233230852 at 2023-09-02 + - irc-fun-types # failure in job https://hydra.nixos.org/build/233255910 at 2023-09-02 + - ireal # failure in job https://hydra.nixos.org/build/233239811 at 2023-09-02 + - iridium # failure in job https://hydra.nixos.org/build/233211261 at 2023-09-02 + - iri # failure in job https://hydra.nixos.org/build/233197230 at 2023-09-02 + - iris # failure in job https://hydra.nixos.org/build/233212713 at 2023-09-02 + - iron-mq # failure in job https://hydra.nixos.org/build/233200693 at 2023-09-02 + - irt # failure in job https://hydra.nixos.org/build/233216553 at 2023-09-02 + - isdicom # failure in job https://hydra.nixos.org/build/233214249 at 2023-09-02 + - IsNull # failure in job https://hydra.nixos.org/build/233233011 at 2023-09-02 + - iso8601-duration # failure in job https://hydra.nixos.org/build/233190968 at 2023-09-02 + - isobmff # failure in job https://hydra.nixos.org/build/233237273 at 2023-09-02 + - isotope # failure in job https://hydra.nixos.org/build/233204650 at 2023-09-02 + - itcli # failure in job https://hydra.nixos.org/build/233201572 at 2023-09-02 + - itemfield # failure in job https://hydra.nixos.org/build/233203571 at 2023-09-02 + - iteratee # failure in job https://hydra.nixos.org/build/233241290 at 2023-09-02 + - iterative-forward-search # failure in job https://hydra.nixos.org/build/233256255 at 2023-09-02 + - iterIO # failure in job https://hydra.nixos.org/build/233199156 at 2023-09-02 + - iterm-show # failure in job https://hydra.nixos.org/build/233215093 at 2023-09-02 + - it-has # failure in job https://hydra.nixos.org/build/233212395 at 2023-09-02 + - ivory # failure in job https://hydra.nixos.org/build/233236762 at 2023-09-02 + - ixdopp # failure in job https://hydra.nixos.org/build/233204986 at 2023-09-02 + - ixmonad # failure in job https://hydra.nixos.org/build/233252940 at 2023-09-02 + - ixshader # failure in job https://hydra.nixos.org/build/233247507 at 2023-09-02 + - jack-bindings # failure in job https://hydra.nixos.org/build/233211671 at 2023-09-02 + - JackMiniMix # failure in job https://hydra.nixos.org/build/233224671 at 2023-09-02 + - jackminimix # failure in job https://hydra.nixos.org/build/233259985 at 2023-09-02 + - jacobi-roots # failure in job https://hydra.nixos.org/build/233208856 at 2023-09-02 + - jaeger-flamegraph # failure in job https://hydra.nixos.org/build/233249468 at 2023-09-02 + - jail # failure in job https://hydra.nixos.org/build/233251033 at 2023-09-02 + - jalla # failure in job https://hydra.nixos.org/build/233197939 at 2023-09-02 + - jammittools # failure in job https://hydra.nixos.org/build/233192227 at 2023-09-02 + - jarfind # failure in job https://hydra.nixos.org/build/233205367 at 2023-09-02 + - jarify # failure in job https://hydra.nixos.org/build/233228020 at 2023-09-02 + - jaskell # failure in job https://hydra.nixos.org/build/233236416 at 2023-09-02 + - jason # failure in job https://hydra.nixos.org/build/233260078 at 2023-09-02 + - java-adt # failure in job https://hydra.nixos.org/build/233256402 at 2023-09-02 + - java-bridge # failure in job https://hydra.nixos.org/build/233219258 at 2023-09-02 + - javascript-bridge # failure in job https://hydra.nixos.org/build/233217052 at 2023-09-02 + - Javav # failure in job https://hydra.nixos.org/build/233243825 at 2023-09-02 + - javav # failure in job https://hydra.nixos.org/build/233246644 at 2023-09-02 + - jbi # failure in job https://hydra.nixos.org/build/233221466 at 2023-09-02 + - jcdecaux-vls # failure in job https://hydra.nixos.org/build/233225142 at 2023-09-02 + - Jdh # failure in job https://hydra.nixos.org/build/233251434 at 2023-09-02 + - jdi # failure in job https://hydra.nixos.org/build/233238540 at 2023-09-02 + - jenga # failure in job https://hydra.nixos.org/build/233191848 at 2023-09-02 + - jenkinsPlugins2nix # failure in job https://hydra.nixos.org/build/233259520 at 2023-09-02 + - jet-stream # failure in job https://hydra.nixos.org/build/233242983 at 2023-09-02 + - Jikka # failure in job https://hydra.nixos.org/build/233204253 at 2023-09-02 + - jinquantities # failure in job https://hydra.nixos.org/build/233205834 at 2023-09-02 + - jmacro-rpc # failure in job https://hydra.nixos.org/build/233236314 at 2023-09-02 + - jml-web-service # failure in job https://hydra.nixos.org/build/233225466 at 2023-09-02 + - jni # failure in job https://hydra.nixos.org/build/233201106 at 2023-09-02 + - join-api # failure in job https://hydra.nixos.org/build/233195265 at 2023-09-02 + - joinlist # failure in job https://hydra.nixos.org/build/233248471 at 2023-09-02 + - jonathanscard # failure in job https://hydra.nixos.org/build/233234239 at 2023-09-02 + - jordan # failure in job https://hydra.nixos.org/build/233224727 at 2023-09-02 + - jort # failure in job https://hydra.nixos.org/build/233195250 at 2023-09-02 + - joy-rewrite # failure in job https://hydra.nixos.org/build/233201002 at 2023-09-02 + - jpeg # failure in job https://hydra.nixos.org/build/233204056 at 2023-09-02 + - jsaddle-clib # failure in job https://hydra.nixos.org/build/233203899 at 2023-09-02 + - jsaddle-warp # failure in job https://hydra.nixos.org/build/233252373 at 2023-09-02 + - jsaddle-wkwebview # failure in job https://hydra.nixos.org/build/233242986 at 2023-09-02 + - js-good-parts # failure in job https://hydra.nixos.org/build/233198958 at 2023-09-02 + - json2 # failure in job https://hydra.nixos.org/build/233242447 at 2023-09-02 + - json-alt # failure in job https://hydra.nixos.org/build/233242230 at 2023-09-02 + - json-api # failure in job https://hydra.nixos.org/build/233198171 at 2023-09-02 + - json-api-lib # failure in job https://hydra.nixos.org/build/233210901 at 2023-09-02 + - json-assertions # failure in job https://hydra.nixos.org/build/233196774 at 2023-09-02 + - json-ast-quickcheck # failure in job https://hydra.nixos.org/build/233249099 at 2023-09-02 + - JSONb # failure in job https://hydra.nixos.org/build/233231060 at 2023-09-02 + - json-builder # failure in job https://hydra.nixos.org/build/233222400 at 2023-09-02 + - json-bytes-builder # failure in job https://hydra.nixos.org/build/233238428 at 2023-09-02 + - json-encoder # failure in job https://hydra.nixos.org/build/233194614 at 2023-09-02 + - jsonextfilter # failure in job https://hydra.nixos.org/build/233194770 at 2023-09-02 + - json-extra # failure in job https://hydra.nixos.org/build/233212026 at 2023-09-02 + - json-fu # failure in job https://hydra.nixos.org/build/233221469 at 2023-09-02 + - json-litobj # failure in job https://hydra.nixos.org/build/233234388 at 2023-09-02 + - jsonlogic-aeson # failure in job https://hydra.nixos.org/build/233246828 at 2023-09-02 + - jsonnet # failure in job https://hydra.nixos.org/build/233254884 at 2023-09-02 + - json-pointer # failure in job https://hydra.nixos.org/build/233229617 at 2023-09-02 + - json-pointy # failure in job https://hydra.nixos.org/build/233255533 at 2023-09-02 + - json-python # failure in job https://hydra.nixos.org/build/233200964 at 2023-09-02 + - json-qq # failure in job https://hydra.nixos.org/build/233196259 at 2023-09-02 + - jsonresume # failure in job https://hydra.nixos.org/build/233202350 at 2023-09-02 + - jsonrpc-conduit # failure in job https://hydra.nixos.org/build/233195089 at 2023-09-02 + - json-rpc-generic # failure in job https://hydra.nixos.org/build/233201371 at 2023-09-02 + - json-rpc-server # failure in job https://hydra.nixos.org/build/233201284 at 2023-09-02 + - jsonrpc-tinyclient # failure in job https://hydra.nixos.org/build/233214174 at 2023-09-02 + - jsonschema-gen # failure in job https://hydra.nixos.org/build/233225063 at 2023-09-02 + - jsonsql # failure in job https://hydra.nixos.org/build/233255704 at 2023-09-02 + - json-syntax # failure in job https://hydra.nixos.org/build/233250639 at 2023-09-02 + - json-tools # failure in job https://hydra.nixos.org/build/233247019 at 2023-09-02 + - json-tracer # failure in job https://hydra.nixos.org/build/233196632 at 2023-09-02 + - jsontsv # failure in job https://hydra.nixos.org/build/233234129 at 2023-09-02 + - jsonxlsx # failure in job https://hydra.nixos.org/build/233201772 at 2023-09-02 + - jsop # failure in job https://hydra.nixos.org/build/233239247 at 2023-09-02 + - judge # failure in job https://hydra.nixos.org/build/233231777 at 2023-09-02 + - judy # failure in job https://hydra.nixos.org/build/233259826 at 2023-09-02 + - JuicyPixels-blp # failure in job https://hydra.nixos.org/build/233220427 at 2023-09-02 + - JuicyPixels-blurhash # failure in job https://hydra.nixos.org/build/233228377 at 2023-09-02 + - JuicyPixels-canvas # failure in job https://hydra.nixos.org/build/233198693 at 2023-09-02 + - JuicyPixels-util # failure in job https://hydra.nixos.org/build/233200460 at 2023-09-02 + - jukebox # failure in job https://hydra.nixos.org/build/233195186 at 2023-09-02 + - JunkDB # failure in job https://hydra.nixos.org/build/233203494 at 2023-09-02 + - jupyter # failure in job https://hydra.nixos.org/build/233232429 at 2023-09-02 + - justified-containers # failure in job https://hydra.nixos.org/build/233240362 at 2023-09-02 + - JustParse # failure in job https://hydra.nixos.org/build/233257181 at 2023-09-02 + - jvm-binary # failure in job https://hydra.nixos.org/build/233208537 at 2023-09-02 + - jvm-parser # failure in job https://hydra.nixos.org/build/233201395 at 2023-09-02 + - JYU-Utils # failure in job https://hydra.nixos.org/build/233204548 at 2023-09-02 + - k8s-wrapper # failure in job https://hydra.nixos.org/build/233202485 at 2023-09-02 + - kademlia # failure in job https://hydra.nixos.org/build/233250935 at 2023-09-02 + - kafka-client # failure in job https://hydra.nixos.org/build/233243580 at 2023-09-02 + - kafka-client-sync # failure in job https://hydra.nixos.org/build/233208699 at 2023-09-02 + - Kalman # failure in job https://hydra.nixos.org/build/233210601 at 2023-09-02 + - kalman # failure in job https://hydra.nixos.org/build/233226292 at 2023-09-02 + - kangaroo # failure in job https://hydra.nixos.org/build/233222234 at 2023-09-02 + - kanji # failure in job https://hydra.nixos.org/build/233208078 at 2023-09-02 + - karabiner-config # failure in job https://hydra.nixos.org/build/233217813 at 2023-09-02 + - karps # failure in job https://hydra.nixos.org/build/233243155 at 2023-09-02 + - katip-datadog # failure in job https://hydra.nixos.org/build/233214346 at 2023-09-02 + - katip-elasticsearch # failure in job https://hydra.nixos.org/build/233208410 at 2023-09-02 + - katip-kafka # failure in job https://hydra.nixos.org/build/233241819 at 2023-09-02 + - katip-logzio # failure in job https://hydra.nixos.org/build/233237068 at 2023-09-02 + - katip-raven # failure in job https://hydra.nixos.org/build/233200300 at 2023-09-02 + - katip-scalyr-scribe # failure in job https://hydra.nixos.org/build/233257038 at 2023-09-02 + - katip-syslog # failure in job https://hydra.nixos.org/build/233238787 at 2023-09-02 + - katt # failure in job https://hydra.nixos.org/build/233241058 at 2023-09-02 + - katydid # failure in job https://hydra.nixos.org/build/233227524 at 2023-09-02 + - kawaii # failure in job https://hydra.nixos.org/build/233205134 at 2023-09-02 + - Kawaii-Parser # failure in job https://hydra.nixos.org/build/233222574 at 2023-09-02 + - kawhi # failure in job https://hydra.nixos.org/build/233193086 at 2023-09-02 + - kdesrc-build-extra # failure in job https://hydra.nixos.org/build/233193718 at 2023-09-02 + - kd-tree # failure in job https://hydra.nixos.org/build/233207928 at 2023-09-02 + - keccak # failure in job https://hydra.nixos.org/build/233200469 at 2023-09-02 + - keenser # failure in job https://hydra.nixos.org/build/233200021 at 2023-09-02 + - keera-hails-reactivevalues # failure in job https://hydra.nixos.org/build/233258391 at 2023-09-02 + - keid-render-basic # failure in job https://hydra.nixos.org/build/233258215 at 2023-09-02 + - keiretsu # failure in job https://hydra.nixos.org/build/233195563 at 2023-09-02 + - kempe # failure in job https://hydra.nixos.org/build/233221290 at 2023-09-02 + - kesha # failure in job https://hydra.nixos.org/build/233215581 at 2023-09-02 + - Ketchup # failure in job https://hydra.nixos.org/build/233211862 at 2023-09-02 + - kewar # failure in job https://hydra.nixos.org/build/233237574 at 2023-09-02 + - keycloak-hs # failure in job https://hydra.nixos.org/build/233193019 at 2023-09-02 + - keyed # failure in job https://hydra.nixos.org/build/233251186 at 2023-09-02 + - khph # failure in job https://hydra.nixos.org/build/233258109 at 2023-09-02 + - kickass-torrents-dump-parser # failure in job https://hydra.nixos.org/build/233210355 at 2023-09-02 + - kickchan # failure in job https://hydra.nixos.org/build/233216091 at 2023-09-02 + - kind-integer # failure in job https://hydra.nixos.org/build/233250066 at 2023-09-02 + - kleene-list # failure in job https://hydra.nixos.org/build/233237651 at 2023-09-02 + - kmn-programming # failure in job https://hydra.nixos.org/build/233258328 at 2023-09-02 + - kmonad # failure in job https://hydra.nixos.org/build/233239997 at 2023-09-02 + - kmp-dfa # failure in job https://hydra.nixos.org/build/233237266 at 2023-09-02 + - knots # failure in job https://hydra.nixos.org/build/233209153 at 2023-09-02 + - koellner-phonetic # failure in job https://hydra.nixos.org/build/233217750 at 2023-09-02 + - koneko # failure in job https://hydra.nixos.org/build/233204838 at 2023-09-02 + - Konf # failure in job https://hydra.nixos.org/build/233244880 at 2023-09-02 + - konnakol # failure in job https://hydra.nixos.org/build/233221792 at 2023-09-02 + - kontra-config # failure in job https://hydra.nixos.org/build/233193800 at 2023-09-02 + - koofr-client # failure in job https://hydra.nixos.org/build/233255749 at 2023-09-02 + - korea-holidays # failure in job https://hydra.nixos.org/build/233222677 at 2023-09-02 + - kraken # failure in job https://hydra.nixos.org/build/233202384 at 2023-09-02 + - krapsh # failure in job https://hydra.nixos.org/build/233219887 at 2023-09-02 + - Kriens # failure in job https://hydra.nixos.org/build/233251673 at 2023-09-02 + - krpc # failure in job https://hydra.nixos.org/build/233231587 at 2023-09-02 + - KSP # failure in job https://hydra.nixos.org/build/233225102 at 2023-09-02 + - ktx # failure in job https://hydra.nixos.org/build/233235924 at 2023-09-02 + - kubernetes-client-core # failure in job https://hydra.nixos.org/build/233235252 at 2023-09-02 + - kubernetes-webhook-haskell # failure in job https://hydra.nixos.org/build/233207675 at 2023-09-02 + - kudzu # failure in job https://hydra.nixos.org/build/233230306 at 2023-09-02 + - kuifje # failure in job https://hydra.nixos.org/build/233210240 at 2023-09-02 + - kure # failure in job https://hydra.nixos.org/build/233197164 at 2023-09-02 + - KyotoCabinet # failure in job https://hydra.nixos.org/build/233252460 at 2023-09-02 + - l10n # failure in job https://hydra.nixos.org/build/233199064 at 2023-09-02 + - labeled-graph # failure in job https://hydra.nixos.org/build/233241327 at 2023-09-02 + - lambda2js # failure in job https://hydra.nixos.org/build/233221862 at 2023-09-02 + - lambdaBase # failure in job https://hydra.nixos.org/build/233194002 at 2023-09-02 + - lambdabot-telegram-plugins # failure in job https://hydra.nixos.org/build/234444260 at 2023-09-13 + - lambdabot-utils # failure in job https://hydra.nixos.org/build/233224842 at 2023-09-02 + - lambdabot-xmpp # failure in job https://hydra.nixos.org/build/233212018 at 2023-09-02 + - lambda-bridge # failure in job https://hydra.nixos.org/build/233230630 at 2023-09-02 + - lambda-calculator # failure in job https://hydra.nixos.org/build/233243971 at 2023-09-02 + - lambda-canvas # failure in job https://hydra.nixos.org/build/234451929 at 2023-09-13 + - lambdacms-core # failure in job https://hydra.nixos.org/build/233217257 at 2023-09-02 + - lambdacube-core # failure in job https://hydra.nixos.org/build/233233440 at 2023-09-02 + - lambdacube-engine # failure in job https://hydra.nixos.org/build/233223079 at 2023-09-02 + - lambda-cube # failure in job https://hydra.nixos.org/build/233226812 at 2023-09-02 + - lambdacube-ir # failure in job https://hydra.nixos.org/build/233210019 at 2023-09-02 + - LambdaDesigner # failure in job https://hydra.nixos.org/build/233216338 at 2023-09-02 + - Lambdajudge # failure in job https://hydra.nixos.org/build/233227016 at 2023-09-02 + - LambdaNet # failure in job https://hydra.nixos.org/build/233197999 at 2023-09-02 + - lambda-sampler # failure in job https://hydra.nixos.org/build/233205734 at 2023-09-02 + - lambdatex # failure in job https://hydra.nixos.org/build/233215389 at 2023-09-02 + - lambda-toolbox # failure in job https://hydra.nixos.org/build/233194921 at 2023-09-02 + - lambdatwit # failure in job https://hydra.nixos.org/build/233219603 at 2023-09-02 + - Lambdaya # failure in job https://hydra.nixos.org/build/233227702 at 2023-09-02 + - lame # failure in job https://hydra.nixos.org/build/233250932 at 2023-09-02 + - language-asn # failure in job https://hydra.nixos.org/build/233227929 at 2023-09-02 + - language-c-comments # failure in job https://hydra.nixos.org/build/233234112 at 2023-09-02 + - language-c-inline # failure in job https://hydra.nixos.org/build/233245990 at 2023-09-02 + - language-conf # failure in job https://hydra.nixos.org/build/233208178 at 2023-09-02 + - language-csharp # failure in job https://hydra.nixos.org/build/233240911 at 2023-09-02 + - language-dart # failure in job https://hydra.nixos.org/build/233220340 at 2023-09-02 + - language-dockerfile # failure in job https://hydra.nixos.org/build/233197924 at 2023-09-02 + - language-elm # failure in job https://hydra.nixos.org/build/233214012 at 2023-09-02 + - language-fortran # failure in job https://hydra.nixos.org/build/233205480 at 2023-09-02 + - language-gcl # failure in job https://hydra.nixos.org/build/233218957 at 2023-09-02 + - language-gemini # failure in job https://hydra.nixos.org/build/233211812 at 2023-09-02 + - language-go # failure in job https://hydra.nixos.org/build/233194866 at 2023-09-02 + - language-guess # failure in job https://hydra.nixos.org/build/233257420 at 2023-09-02 + - language-hcl # failure in job https://hydra.nixos.org/build/233212998 at 2023-09-02 + - language-java-classfile # failure in job https://hydra.nixos.org/build/233257021 at 2023-09-02 + - language-js # failure in job https://hydra.nixos.org/build/233190676 at 2023-09-02 + - language-lua2 # failure in job https://hydra.nixos.org/build/233197435 at 2023-09-02 + - language-lua-qq # failure in job https://hydra.nixos.org/build/233194697 at 2023-09-02 + - language-mixal # failure in job https://hydra.nixos.org/build/233226763 at 2023-09-02 + - language-objc # failure in job https://hydra.nixos.org/build/233258340 at 2023-09-02 + - language-ocaml # failure in job https://hydra.nixos.org/build/233238127 at 2023-09-02 + - language-openscad # failure in job https://hydra.nixos.org/build/233201773 at 2023-09-02 + - language-pig # failure in job https://hydra.nixos.org/build/233249886 at 2023-09-02 + - language-rust # failure in job https://hydra.nixos.org/build/233194292 at 2023-09-02 + - language-sally # failure in job https://hydra.nixos.org/build/233250891 at 2023-09-02 + - language-sh # failure in job https://hydra.nixos.org/build/233249709 at 2023-09-02 + - language-sqlite # failure in job https://hydra.nixos.org/build/233248845 at 2023-09-02 + - language-sygus # failure in job https://hydra.nixos.org/build/233192608 at 2023-09-02 + - language-typescript # failure in job https://hydra.nixos.org/build/233247703 at 2023-09-02 + - language-webidl # failure in job https://hydra.nixos.org/build/233194656 at 2023-09-02 + - laop # failure in job https://hydra.nixos.org/build/233204106 at 2023-09-02 + - LargeCardinalHierarchy # failure in job https://hydra.nixos.org/build/233250339 at 2023-09-02 + - large-generics # failure in job https://hydra.nixos.org/build/233210324 at 2023-09-02 + - Lastik # failure in job https://hydra.nixos.org/build/233194460 at 2023-09-02 + - latest-npm-version # failure in job https://hydra.nixos.org/build/233239108 at 2023-09-02 + - latex-formulae-image # failure in job https://hydra.nixos.org/build/233251243 at 2023-09-02 + - latex-svg-image # failure in job https://hydra.nixos.org/build/233239345 at 2023-09-02 + - LATS # failure in job https://hydra.nixos.org/build/233248029 at 2023-09-02 + - launchdarkly-server-sdk # failure in job https://hydra.nixos.org/build/233190791 at 2023-09-02 + - launchpad-control # failure in job https://hydra.nixos.org/build/233219502 at 2023-09-02 + - lawless-concurrent-machines # failure in job https://hydra.nixos.org/build/233225327 at 2023-09-02 + - layers # failure in job https://hydra.nixos.org/build/233196766 at 2023-09-02 + - layout-bootstrap # failure in job https://hydra.nixos.org/build/233223699 at 2023-09-02 + - layout # failure in job https://hydra.nixos.org/build/233259626 at 2023-09-02 + - layout-rules # failure in job https://hydra.nixos.org/build/233250716 at 2023-09-02 + - lazify # failure in job https://hydra.nixos.org/build/233203655 at 2023-09-02 + - lazyarray # failure in job https://hydra.nixos.org/build/233192440 at 2023-09-02 + - lazyboy # failure in job https://hydra.nixos.org/build/233201158 at 2023-09-02 + - lazy-hash # failure in job https://hydra.nixos.org/build/233211405 at 2023-09-02 + - lazy-priority-queue # failure in job https://hydra.nixos.org/build/233211457 at 2023-09-02 + - lazyset # failure in job https://hydra.nixos.org/build/233248383 at 2023-09-02 + - l-bfgs-b # failure in job https://hydra.nixos.org/build/233258769 at 2023-09-02 + - LC3 # failure in job https://hydra.nixos.org/build/233192513 at 2023-09-02 + - lcs # failure in job https://hydra.nixos.org/build/233232193 at 2023-09-02 + - ldif # failure in job https://hydra.nixos.org/build/233195577 at 2023-09-02 + - ld-intervals # failure in job https://hydra.nixos.org/build/233216344 at 2023-09-02 + - leaf # failure in job https://hydra.nixos.org/build/233212614 at 2023-09-02 + - leapseconds # failure in job https://hydra.nixos.org/build/233253640 at 2023-09-02 + - learn # failure in job https://hydra.nixos.org/build/233247385 at 2023-09-02 + - Learning # failure in job https://hydra.nixos.org/build/233237137 at 2023-09-02 + - learn-physics-examples # failure in job https://hydra.nixos.org/build/234444204 at 2023-09-13 + - leetify # failure in job https://hydra.nixos.org/build/233200752 at 2023-09-02 + - lendingclub # failure in job https://hydra.nixos.org/build/233239123 at 2023-09-02 + - lens-datetime # failure in job https://hydra.nixos.org/build/233252409 at 2023-09-02 + - lenses # failure in job https://hydra.nixos.org/build/233239109 at 2023-09-02 + - lens-filesystem # failure in job https://hydra.nixos.org/build/233205074 at 2023-09-02 + - lens-labels # failure in job https://hydra.nixos.org/build/233216003 at 2023-09-02 + - lens-prelude # failure in job https://hydra.nixos.org/build/233220321 at 2023-09-02 + - lens-process # failure in job https://hydra.nixos.org/build/233242948 at 2023-09-02 + - lensref # failure in job https://hydra.nixos.org/build/233205479 at 2023-09-02 + - lens-simple # failure in job https://hydra.nixos.org/build/233245452 at 2023-09-02 + - lens-tell # failure in job https://hydra.nixos.org/build/233234619 at 2023-09-02 + - lens-text-encoding # failure in job https://hydra.nixos.org/build/233222713 at 2023-09-02 + - lens-th-rewrite # failure in job https://hydra.nixos.org/build/233201025 at 2023-09-02 + - lens-time # failure in job https://hydra.nixos.org/build/233260007 at 2023-09-02 + - lens-toml-parser # failure in job https://hydra.nixos.org/build/233216950 at 2023-09-02 + - lens-tutorial # failure in job https://hydra.nixos.org/build/233220488 at 2023-09-02 + - lens-typelevel # failure in job https://hydra.nixos.org/build/233225963 at 2023-09-02 + - lens-xml # failure in job https://hydra.nixos.org/build/233245746 at 2023-09-02 + - lenz-template # failure in job https://hydra.nixos.org/build/233206822 at 2023-09-02 + - less-arbitrary # failure in job https://hydra.nixos.org/build/233231412 at 2023-09-02 + - Level0 # failure in job https://hydra.nixos.org/build/233220758 at 2023-09-02 + - level-monad # failure in job https://hydra.nixos.org/build/233257036 at 2023-09-02 + - levmar # failure in job https://hydra.nixos.org/build/233254731 at 2023-09-02 + - lfst # failure in job https://hydra.nixos.org/build/233240622 at 2023-09-02 + - lhc # failure in job https://hydra.nixos.org/build/233220731 at 2023-09-02 + - lhs2TeX-hl # failure in job https://hydra.nixos.org/build/233221405 at 2023-09-02 + - lhslatex # failure in job https://hydra.nixos.org/build/233246375 at 2023-09-02 + - LibClang # failure in job https://hydra.nixos.org/build/233194732 at 2023-09-02 + - libexpect # failure in job https://hydra.nixos.org/build/233226545 at 2023-09-02 + - libGenI # failure in job https://hydra.nixos.org/build/233240857 at 2023-09-02 + - libhbb # failure in job https://hydra.nixos.org/build/233232186 at 2023-09-02 + - libinfluxdb # failure in job https://hydra.nixos.org/build/233199457 at 2023-09-02 + - libjenkins # failure in job https://hydra.nixos.org/build/233198788 at 2023-09-02 + - libjwt-typed # failure in job https://hydra.nixos.org/build/233230163 at 2023-09-02 + - libltdl # failure in job https://hydra.nixos.org/build/233225728 at 2023-09-02 + - libmdbx # failure in job https://hydra.nixos.org/build/233208793 at 2023-09-02 + - liboath-hs # failure in job https://hydra.nixos.org/build/233229056 at 2023-09-02 + - liboleg # failure in job https://hydra.nixos.org/build/233226133 at 2023-09-02 + - libpafe # failure in job https://hydra.nixos.org/build/233202070 at 2023-09-02 + - libphonenumber # failure in job https://hydra.nixos.org/build/233251839 at 2023-09-02 + - libpq # failure in job https://hydra.nixos.org/build/233192542 at 2023-09-02 + - librandomorg # failure in job https://hydra.nixos.org/build/233232749 at 2023-09-02 + - libsecp256k1 # failure in job https://hydra.nixos.org/build/234441559 at 2023-09-13 + - libsystemd-daemon # failure in job https://hydra.nixos.org/build/233207090 at 2023-09-02 + - libtagc # failure in job https://hydra.nixos.org/build/233223631 at 2023-09-02 + - libtelnet # failure in job https://hydra.nixos.org/build/233209594 at 2023-09-02 + - libxls # failure in job https://hydra.nixos.org/build/233257847 at 2023-09-02 + - libxlsxwriter-hs # failure in job https://hydra.nixos.org/build/233244798 at 2023-09-02 + - libxslt # failure in job https://hydra.nixos.org/build/233248464 at 2023-09-02 + - libyaml-streamly # failure in job https://hydra.nixos.org/build/233203611 at 2023-09-02 + - libzfs # failure in job https://hydra.nixos.org/build/233662945 at 2023-09-02 + - licensor # failure in job https://hydra.nixos.org/build/233248660 at 2023-09-02 + - lie # failure in job https://hydra.nixos.org/build/233228953 at 2023-09-02 + - life-sync # failure in job https://hydra.nixos.org/build/233241402 at 2023-09-02 + - lifetimes # failure in job https://hydra.nixos.org/build/233259483 at 2023-09-02 + - lifted-protolude # failure in job https://hydra.nixos.org/build/233253040 at 2023-09-02 + - lifter # failure in job https://hydra.nixos.org/build/234448104 at 2023-09-13 + - ligature # failure in job https://hydra.nixos.org/build/233212688 at 2023-09-02 + - light # failure in job https://hydra.nixos.org/build/233193643 at 2023-09-02 + - lilypond # failure in job https://hydra.nixos.org/build/233221478 at 2023-09-02 + - Limit # failure in job https://hydra.nixos.org/build/233229268 at 2023-09-02 + - limp-cbc # failure in job https://hydra.nixos.org/build/233201076 at 2023-09-02 + - linda # failure in job https://hydra.nixos.org/build/233249512 at 2023-09-02 + - linden # failure in job https://hydra.nixos.org/build/233198590 at 2023-09-02 + - linear-algebra-cblas # failure in job https://hydra.nixos.org/build/233239710 at 2023-09-02 + - linear-maps # failure in job https://hydra.nixos.org/build/233258332 at 2023-09-02 + - linear-opengl # failure in job https://hydra.nixos.org/build/233237268 at 2023-09-02 + - linearscan # failure in job https://hydra.nixos.org/build/233257541 at 2023-09-02 + - linear-socket # failure in job https://hydra.nixos.org/build/233192053 at 2023-09-02 + - linear-vect # failure in job https://hydra.nixos.org/build/233252054 at 2023-09-02 + - line-bot-sdk # failure in job https://hydra.nixos.org/build/233195852 at 2023-09-02 + - line-drawing # failure in job https://hydra.nixos.org/build/233228389 at 2023-09-02 + - line-indexed-cursor # failure in job https://hydra.nixos.org/build/233210607 at 2023-09-02 + - linenoise # failure in job https://hydra.nixos.org/build/233224730 at 2023-09-02 + - lines-of-action # failure in job https://hydra.nixos.org/build/233244937 at 2023-09-02 + - lingo # failure in job https://hydra.nixos.org/build/233254522 at 2023-09-02 + - linguistic-ordinals # failure in job https://hydra.nixos.org/build/233228974 at 2023-09-02 + - linkedhashmap # failure in job https://hydra.nixos.org/build/233234634 at 2023-09-02 + - linked-list-with-iterator # failure in job https://hydra.nixos.org/build/233220466 at 2023-09-02 + - linklater # failure in job https://hydra.nixos.org/build/233220508 at 2023-09-02 + - linode # failure in job https://hydra.nixos.org/build/233256512 at 2023-09-02 + - linode-v4 # failure in job https://hydra.nixos.org/build/233238195 at 2023-09-02 + - linux-blkid # failure in job https://hydra.nixos.org/build/233220151 at 2023-09-02 + - linux-cgroup # failure in job https://hydra.nixos.org/build/233224448 at 2023-09-02 + - linux-evdev # failure in job https://hydra.nixos.org/build/233259196 at 2023-09-02 + - linux-kmod # failure in job https://hydra.nixos.org/build/233205933 at 2023-09-02 + - linux-perf # failure in job https://hydra.nixos.org/build/233193731 at 2023-09-02 + - linux-xattr # failure in job https://hydra.nixos.org/build/233226493 at 2023-09-02 + - linx-gateway # failure in job https://hydra.nixos.org/build/233220744 at 2023-09-02 + - lio-simple # failure in job https://hydra.nixos.org/build/233200711 at 2023-09-02 + - lipsum-gen # failure in job https://hydra.nixos.org/build/233233734 at 2023-09-02 + - liquid # failure in job https://hydra.nixos.org/build/233255883 at 2023-09-02 + - liquid-fixpoint # failure in job https://hydra.nixos.org/build/233213637 at 2023-09-02 + - liquidhaskell-cabal # failure in job https://hydra.nixos.org/build/233249946 at 2023-09-02 + - Liquorice # failure in job https://hydra.nixos.org/build/233193923 at 2023-09-02 + - list-fusion-probe # failure in job https://hydra.nixos.org/build/233236587 at 2023-09-02 + - listlike-instances # failure in job https://hydra.nixos.org/build/233238303 at 2023-09-02 + - list-mux # failure in job https://hydra.nixos.org/build/233206407 at 2023-09-02 + - list-prompt # failure in job https://hydra.nixos.org/build/233235855 at 2023-09-02 + - list-t-http-client # failure in job https://hydra.nixos.org/build/233239262 at 2023-09-02 + - list-t-libcurl # failure in job https://hydra.nixos.org/build/233237389 at 2023-09-02 + - list-tries # failure in job https://hydra.nixos.org/build/233250041 at 2023-09-02 + - list-t-text # failure in job https://hydra.nixos.org/build/233235451 at 2023-09-02 + - list-zip-def # failure in job https://hydra.nixos.org/build/233202564 at 2023-09-02 + - list-zipper # failure in job https://hydra.nixos.org/build/233250766 at 2023-09-02 + - literals # failure in job https://hydra.nixos.org/build/233233709 at 2023-09-02 + - LiterateMarkdown # failure in job https://hydra.nixos.org/build/233233229 at 2023-09-02 + - little-earley # failure in job https://hydra.nixos.org/build/233197880 at 2023-09-02 + - ll-picosat # failure in job https://hydra.nixos.org/build/233206257 at 2023-09-02 + - llsd # failure in job https://hydra.nixos.org/build/233241590 at 2023-09-02 + - llvm-base # failure in job https://hydra.nixos.org/build/233244366 at 2023-09-02 + - llvm-general-pure # failure in job https://hydra.nixos.org/build/233246430 at 2023-09-02 + - llvm-hs # failure in job https://hydra.nixos.org/build/233205149 at 2023-09-02 + - llvm-ht # failure in job https://hydra.nixos.org/build/233203770 at 2023-09-02 + - llvm-party # failure in job https://hydra.nixos.org/build/233221113 at 2023-09-02 + - llvm-pretty # failure in job https://hydra.nixos.org/build/233206445 at 2023-09-02 + - llvm-tf # failure in job https://hydra.nixos.org/build/233211770 at 2023-09-02 + - lmdb-high-level # failure in job https://hydra.nixos.org/build/233238988 at 2023-09-02 + - lmdb-simple # failure in job https://hydra.nixos.org/build/233206781 at 2023-09-02 + - lmonad # failure in job https://hydra.nixos.org/build/233246737 at 2023-09-02 + - lnurl # failure in job https://hydra.nixos.org/build/233217638 at 2023-09-02 + - load-balancing # failure in job https://hydra.nixos.org/build/233206458 at 2023-09-02 + - load-font # failure in job https://hydra.nixos.org/build/233255666 at 2023-09-02 + - local-address # failure in job https://hydra.nixos.org/build/233247765 at 2023-09-02 + - located # failure in job https://hydra.nixos.org/build/233234945 at 2023-09-02 + - located-monad-logger # failure in job https://hydra.nixos.org/build/233194551 at 2023-09-02 + - loch # failure in job https://hydra.nixos.org/build/233248876 at 2023-09-02 + - loc-test # failure in job https://hydra.nixos.org/build/233198477 at 2023-09-02 + - log2json # failure in job https://hydra.nixos.org/build/233211819 at 2023-09-02 + - log-effect # failure in job https://hydra.nixos.org/build/233211329 at 2023-09-02 + - logentries # failure in job https://hydra.nixos.org/build/233215590 at 2023-09-02 + - logger # failure in job https://hydra.nixos.org/build/233237524 at 2023-09-02 + - logging-effect-extra-file # failure in job https://hydra.nixos.org/build/233225200 at 2023-09-02 + - logging-effect-extra-handler # failure in job https://hydra.nixos.org/build/233232054 at 2023-09-02 + - Logic # failure in job https://hydra.nixos.org/build/233206217 at 2023-09-02 + - logicst # failure in job https://hydra.nixos.org/build/233250253 at 2023-09-02 + - logict-sequence # failure in job https://hydra.nixos.org/build/233224789 at 2023-09-02 + - logplex-parse # failure in job https://hydra.nixos.org/build/233248727 at 2023-09-02 + - log-warper # failure in job https://hydra.nixos.org/build/233220417 at 2023-09-02 + - lojbanParser # failure in job https://hydra.nixos.org/build/233236082 at 2023-09-02 + - lojbanXiragan # failure in job https://hydra.nixos.org/build/233258779 at 2023-09-02 + - lol-calculus # failure in job https://hydra.nixos.org/build/233233910 at 2023-09-02 + - longboi # failure in job https://hydra.nixos.org/build/233233913 at 2023-09-02 + - long-double # failure in job https://hydra.nixos.org/build/233246069 at 2023-09-02 + - lookup-tables # failure in job https://hydra.nixos.org/build/233196965 at 2023-09-02 + - loopbreaker # failure in job https://hydra.nixos.org/build/233235857 at 2023-09-02 + - loop-dsl # failure in job https://hydra.nixos.org/build/233198743 at 2023-09-02 + - looper # failure in job https://hydra.nixos.org/build/233254482 at 2023-09-02 + - loops # failure in job https://hydra.nixos.org/build/233238771 at 2023-09-02 + - loop-while # failure in job https://hydra.nixos.org/build/233198041 at 2023-09-02 + - loopy # failure in job https://hydra.nixos.org/build/233227154 at 2023-09-02 + - lord # failure in job https://hydra.nixos.org/build/233226478 at 2023-09-02 + - lorem # failure in job https://hydra.nixos.org/build/233255922 at 2023-09-02 + - loris # failure in job https://hydra.nixos.org/build/233250801 at 2023-09-02 + - loshadka # failure in job https://hydra.nixos.org/build/233239546 at 2023-09-02 + - louis # failure in job https://hydra.nixos.org/build/233227705 at 2023-09-02 + - lowgl # failure in job https://hydra.nixos.org/build/233216216 at 2023-09-02 + - lp-diagrams-svg # failure in job https://hydra.nixos.org/build/233220097 at 2023-09-02 + - lrucaching # failure in job https://hydra.nixos.org/build/233252034 at 2023-09-02 + - LRU # failure in job https://hydra.nixos.org/build/233206273 at 2023-09-02 + - lscabal # failure in job https://hydra.nixos.org/build/233253536 at 2023-09-02 + - L-seed # failure in job https://hydra.nixos.org/build/233222324 at 2023-09-02 + - lsfrom # failure in job https://hydra.nixos.org/build/233211705 at 2023-09-02 + - lsh # failure in job https://hydra.nixos.org/build/233256686 at 2023-09-02 + - lsp-client # failure in job https://hydra.nixos.org/build/233219871 at 2023-09-02 + - ltiv1p1 # failure in job https://hydra.nixos.org/build/233200883 at 2023-09-02 + - ltk # failure in job https://hydra.nixos.org/build/233244152 at 2023-09-02 + - LTS # failure in job https://hydra.nixos.org/build/233206427 at 2023-09-02 + - lua-bc # failure in job https://hydra.nixos.org/build/233214532 at 2023-09-02 + - luautils # failure in job https://hydra.nixos.org/build/233250269 at 2023-09-02 + - lucid2-htmx # failure in job https://hydra.nixos.org/build/233196674 at 2023-09-02 + - lucid-alpine # failure in job https://hydra.nixos.org/build/233199500 at 2023-09-02 + - lucid-aria # failure in job https://hydra.nixos.org/build/233231489 at 2023-09-02 + - lucid-hyperscript # failure in job https://hydra.nixos.org/build/233191616 at 2023-09-02 + - luhn # failure in job https://hydra.nixos.org/build/233237661 at 2023-09-02 + - luis-client # failure in job https://hydra.nixos.org/build/233244540 at 2023-09-02 + - luka # failure in job https://hydra.nixos.org/build/233235403 at 2023-09-02 + - luminance # failure in job https://hydra.nixos.org/build/233233551 at 2023-09-02 + - lushtags # failure in job https://hydra.nixos.org/build/233225015 at 2023-09-02 + - luthor # failure in job https://hydra.nixos.org/build/233210797 at 2023-09-02 + - lvmlib # failure in job https://hydra.nixos.org/build/233200386 at 2023-09-02 + - lvmrun # failure in job https://hydra.nixos.org/build/233235284 at 2023-09-02 + - lxd-client-config # failure in job https://hydra.nixos.org/build/233225008 at 2023-09-02 + - lxd-client # failure in job https://hydra.nixos.org/build/233231826 at 2023-09-02 + - lye # failure in job https://hydra.nixos.org/build/233229866 at 2023-09-02 + - lz4-frame-conduit # failure in job https://hydra.nixos.org/build/233225578 at 2023-09-02 + - lzip # failure in job https://hydra.nixos.org/build/233215027 at 2023-09-02 + - lzlib # failure in job https://hydra.nixos.org/build/233203879 at 2023-09-02 + - lzma-streams # failure in job https://hydra.nixos.org/build/233229106 at 2023-09-02 + - lzo # failure in job https://hydra.nixos.org/build/233200657 at 2023-09-02 + - maam # failure in job https://hydra.nixos.org/build/233228184 at 2023-09-02 + - macaroon-shop # failure in job https://hydra.nixos.org/build/233204165 at 2023-09-02 + - mac # failure in job https://hydra.nixos.org/build/233231421 at 2023-09-02 + - machinecell # failure in job https://hydra.nixos.org/build/233222871 at 2023-09-02 + - machines-attoparsec # failure in job https://hydra.nixos.org/build/233253893 at 2023-09-02 + - machines-binary # failure in job https://hydra.nixos.org/build/233238332 at 2023-09-02 + - machines-bytestring # failure in job https://hydra.nixos.org/build/233256615 at 2023-09-02 + - machines-encoding # failure in job https://hydra.nixos.org/build/233225978 at 2023-09-02 + - machines-io # failure in job https://hydra.nixos.org/build/233214374 at 2023-09-02 + - machines-zlib # failure in job https://hydra.nixos.org/build/233204363 at 2023-09-02 + - macho # failure in job https://hydra.nixos.org/build/233192820 at 2023-09-02 + - maclight # failure in job https://hydra.nixos.org/build/233230574 at 2023-09-02 + - macos-corelibs # failure in job https://hydra.nixos.org/build/233211307 at 2023-09-02 + - macrm # failure in job https://hydra.nixos.org/build/233222582 at 2023-09-02 + - madlang # failure in job https://hydra.nixos.org/build/233253603 at 2023-09-02 + - mage # failure in job https://hydra.nixos.org/build/233226696 at 2023-09-02 + - MagicHaskeller # failure in job https://hydra.nixos.org/build/233192169 at 2023-09-02 + - magic-tyfams # failure in job https://hydra.nixos.org/build/233218287 at 2023-09-02 + - mailchimp # failure in job https://hydra.nixos.org/build/233222458 at 2023-09-02 + - mailchimp-subscribe # failure in job https://hydra.nixos.org/build/233192581 at 2023-09-02 + - makedo # failure in job https://hydra.nixos.org/build/233244893 at 2023-09-02 + - makefile # failure in job https://hydra.nixos.org/build/233207515 at 2023-09-02 + - make-hard-links # failure in job https://hydra.nixos.org/build/233213014 at 2023-09-02 + - make-monofoldable-foldable # failure in job https://hydra.nixos.org/build/233235683 at 2023-09-02 + - mallard # failure in job https://hydra.nixos.org/build/233208248 at 2023-09-02 + - mandulia # failure in job https://hydra.nixos.org/build/234446158 at 2023-09-13 + - manifolds # failure in job https://hydra.nixos.org/build/233244103 at 2023-09-02 + - mapalgebra # failure in job https://hydra.nixos.org/build/233215542 at 2023-09-02 + - map-exts # failure in job https://hydra.nixos.org/build/233247423 at 2023-09-02 + - Mapping # failure in job https://hydra.nixos.org/build/233248158 at 2023-09-02 + - mappy # failure in job https://hydra.nixos.org/build/233250202 at 2023-09-02 + - map-reduce-folds # failure in job https://hydra.nixos.org/build/233245163 at 2023-09-02 + - MapWith # failure in job https://hydra.nixos.org/build/233237146 at 2023-09-02 + - markdown-kate # failure in job https://hydra.nixos.org/build/233227051 at 2023-09-02 + - marked-pretty # failure in job https://hydra.nixos.org/build/233193892 at 2023-09-02 + - markov-realization # failure in job https://hydra.nixos.org/build/233234901 at 2023-09-02 + - mars # failure in job https://hydra.nixos.org/build/234449730 at 2023-09-13 + - marshal-contt # failure in job https://hydra.nixos.org/build/233231755 at 2023-09-02 + - marvin-interpolate # failure in job https://hydra.nixos.org/build/233201000 at 2023-09-02 + - MASMGen # failure in job https://hydra.nixos.org/build/233213454 at 2023-09-02 + - massiv-scheduler # failure in job https://hydra.nixos.org/build/233196778 at 2023-09-02 + - massiv-serialise # failure in job https://hydra.nixos.org/build/233242284 at 2023-09-02 + - master-plan # failure in job https://hydra.nixos.org/build/233208718 at 2023-09-02 + - matcher # failure in job https://hydra.nixos.org/build/233198640 at 2023-09-02 + - mathflow # failure in job https://hydra.nixos.org/build/233195457 at 2023-09-02 + - math-grads # failure in job https://hydra.nixos.org/build/233222629 at 2023-09-02 + - math-interpolate # failure in job https://hydra.nixos.org/build/233225629 at 2023-09-02 + - math-metric # failure in job https://hydra.nixos.org/build/233225394 at 2023-09-02 + - math-programming # failure in job https://hydra.nixos.org/build/233217072 at 2023-09-02 + - matrix-as-xyz # failure in job https://hydra.nixos.org/build/233196953 at 2023-09-02 + - matrix-lens # failure in job https://hydra.nixos.org/build/233259955 at 2023-09-02 + - matrix-market # failure in job https://hydra.nixos.org/build/233237011 at 2023-09-02 + - matrix-sized # failure in job https://hydra.nixos.org/build/233211908 at 2023-09-02 + - matroid # failure in job https://hydra.nixos.org/build/233244169 at 2023-09-02 + - maude # failure in job https://hydra.nixos.org/build/233204879 at 2023-09-02 + - maxent-learner-hw # failure in job https://hydra.nixos.org/build/233239586 at 2023-09-02 + - maybench # failure in job https://hydra.nixos.org/build/233239576 at 2023-09-02 + - MaybeT # failure in job https://hydra.nixos.org/build/233253183 at 2023-09-02 + - MaybeT-monads-tf # failure in job https://hydra.nixos.org/build/233238946 at 2023-09-02 + - MaybeT-transformers # failure in job https://hydra.nixos.org/build/233191283 at 2023-09-02 + - MazesOfMonad # failure in job https://hydra.nixos.org/build/233200182 at 2023-09-02 + - MBot # failure in job https://hydra.nixos.org/build/233248312 at 2023-09-02 + - mbox-tools # failure in job https://hydra.nixos.org/build/233207558 at 2023-09-02 + - mbtiles # failure in job https://hydra.nixos.org/build/233237721 at 2023-09-02 + - mbug # failure in job https://hydra.nixos.org/build/233242212 at 2023-09-02 + - mcl # failure in job https://hydra.nixos.org/build/233193943 at 2023-09-02 + - mcmaster-gloss-examples # failure in job https://hydra.nixos.org/build/234457610 at 2023-09-13 + - mcmc-synthesis # failure in job https://hydra.nixos.org/build/233208414 at 2023-09-02 + - mcm # failure in job https://hydra.nixos.org/build/233229087 at 2023-09-02 + - mcpi # failure in job https://hydra.nixos.org/build/233231465 at 2023-09-02 + - mdapi # failure in job https://hydra.nixos.org/build/233257724 at 2023-09-02 + - mdcat # failure in job https://hydra.nixos.org/build/233249429 at 2023-09-02 + - mdp # failure in job https://hydra.nixos.org/build/233246226 at 2023-09-02 + - mealstrom # failure in job https://hydra.nixos.org/build/233253540 at 2023-09-02 + - mealy # failure in job https://hydra.nixos.org/build/233260135 at 2023-09-02 + - MeanShift # failure in job https://hydra.nixos.org/build/233194760 at 2023-09-02 + - Measure # failure in job https://hydra.nixos.org/build/233231838 at 2023-09-02 + - mecab # failure in job https://hydra.nixos.org/build/233194280 at 2023-09-02 + - Mecha # failure in job https://hydra.nixos.org/build/233243480 at 2023-09-02 + - mech # failure in job https://hydra.nixos.org/build/233238723 at 2023-09-02 + - mechs # failure in job https://hydra.nixos.org/build/233241727 at 2023-09-02 + - Mechs # failure in job https://hydra.nixos.org/build/233254531 at 2023-09-02 + - mediabus # failure in job https://hydra.nixos.org/build/233259544 at 2023-09-02 + - mediawiki # failure in job https://hydra.nixos.org/build/233213178 at 2023-09-02 + - medium-sdk-haskell # failure in job https://hydra.nixos.org/build/233195507 at 2023-09-02 + - meep # failure in job https://hydra.nixos.org/build/233193144 at 2023-09-02 + - megalisp # failure in job https://hydra.nixos.org/build/233234992 at 2023-09-02 + - megastore # failure in job https://hydra.nixos.org/build/233222876 at 2023-09-02 + - mellon-core # failure in job https://hydra.nixos.org/build/233221666 at 2023-09-02 + - melody # failure in job https://hydra.nixos.org/build/233223241 at 2023-09-02 + - membrain # failure in job https://hydra.nixos.org/build/233248480 at 2023-09-02 + - memcached-binary # failure in job https://hydra.nixos.org/build/233192281 at 2023-09-02 + - memcached # failure in job https://hydra.nixos.org/build/233190993 at 2023-09-02 + - memcache-haskell # failure in job https://hydra.nixos.org/build/233211839 at 2023-09-02 + - meminfo # failure in job https://hydra.nixos.org/build/233201130 at 2023-09-02 + - memis # failure in job https://hydra.nixos.org/build/233207107 at 2023-09-02 + - memoization-utils # failure in job https://hydra.nixos.org/build/233220093 at 2023-09-02 + - memo-ptr # failure in job https://hydra.nixos.org/build/233216357 at 2023-09-02 + - memorable-bits # failure in job https://hydra.nixos.org/build/233247877 at 2023-09-02 + - memorypool # failure in job https://hydra.nixos.org/build/233193107 at 2023-09-02 + - memo-sqlite # failure in job https://hydra.nixos.org/build/233243897 at 2023-09-02 + - menoh # failure in job https://hydra.nixos.org/build/233238503 at 2023-09-02 + - menshen # failure in job https://hydra.nixos.org/build/233217324 at 2023-09-02 + - mercury-api # failure in job https://hydra.nixos.org/build/233229812 at 2023-09-02 + - mergeful-persistent # failure in job https://hydra.nixos.org/build/233221803 at 2023-09-02 + - mergeless-persistent # failure in job https://hydra.nixos.org/build/233235114 at 2023-09-02 + - messagepack-rpc # failure in job https://hydra.nixos.org/build/233254653 at 2023-09-02 + - messente # failure in job https://hydra.nixos.org/build/233195598 at 2023-09-02 + - metadata # failure in job https://hydra.nixos.org/build/233246079 at 2023-09-02 + - MetaHDBC # failure in job https://hydra.nixos.org/build/233258864 at 2023-09-02 + - metaheuristics # failure in job https://hydra.nixos.org/build/233248251 at 2023-09-02 + - meta-misc # failure in job https://hydra.nixos.org/build/233248305 at 2023-09-02 + - meta-par # failure in job https://hydra.nixos.org/build/233227886 at 2023-09-02 + - method # failure in job https://hydra.nixos.org/build/233230721 at 2023-09-02 + - metric # failure in job https://hydra.nixos.org/build/233224295 at 2023-09-02 + - metricsd-client # failure in job https://hydra.nixos.org/build/233217951 at 2023-09-02 + - mezzo # failure in job https://hydra.nixos.org/build/233223341 at 2023-09-02 + - mezzolens # failure in job https://hydra.nixos.org/build/233248307 at 2023-09-02 + - mgeneric # failure in job https://hydra.nixos.org/build/233197809 at 2023-09-02 + - MHask # failure in job https://hydra.nixos.org/build/233232401 at 2023-09-02 + - miconix-test # failure in job https://hydra.nixos.org/build/233230738 at 2023-09-02 + - microbase # failure in job https://hydra.nixos.org/build/233204368 at 2023-09-02 + - microformats2-parser # failure in job https://hydra.nixos.org/build/233238485 at 2023-09-02 + - microgroove # failure in job https://hydra.nixos.org/build/233196933 at 2023-09-02 + - microlens-each # failure in job https://hydra.nixos.org/build/233253621 at 2023-09-02 + - microlens-process # failure in job https://hydra.nixos.org/build/233190805 at 2023-09-02 + - micrologger # failure in job https://hydra.nixos.org/build/233196212 at 2023-09-02 + - micro-recursion-schemes # failure in job https://hydra.nixos.org/build/233214118 at 2023-09-02 + - microsoft-translator # failure in job https://hydra.nixos.org/build/233235928 at 2023-09-02 + - mida # failure in job https://hydra.nixos.org/build/233223244 at 2023-09-02 + - midi-simple # failure in job https://hydra.nixos.org/build/233219079 at 2023-09-02 + - midisurface # failure in job https://hydra.nixos.org/build/233224559 at 2023-09-02 + - midi-utils # failure in job https://hydra.nixos.org/build/233222257 at 2023-09-02 + - mi # failure in job https://hydra.nixos.org/build/233227735 at 2023-09-02 + - mighttpd2 # failure in job https://hydra.nixos.org/build/233213125 at 2023-09-02 + - migrant-postgresql-simple # failure in job https://hydra.nixos.org/build/233191795 at 2023-09-02 + - mikmod # failure in job https://hydra.nixos.org/build/233247364 at 2023-09-02 + - mikrokosmos # failure in job https://hydra.nixos.org/build/233232143 at 2023-09-02 + - miku # failure in job https://hydra.nixos.org/build/233212186 at 2023-09-02 + - milena # failure in job https://hydra.nixos.org/build/233257533 at 2023-09-02 + - mime-directory # failure in job https://hydra.nixos.org/build/233209691 at 2023-09-02 + - MiniAgda # failure in job https://hydra.nixos.org/build/233259586 at 2023-09-02 + - miniforth # failure in job https://hydra.nixos.org/build/233220853 at 2023-09-02 + - minilens # failure in job https://hydra.nixos.org/build/233191347 at 2023-09-02 + - minions # failure in job https://hydra.nixos.org/build/233246840 at 2023-09-02 + - miniplex # failure in job https://hydra.nixos.org/build/233241976 at 2023-09-02 + - ministg # failure in job https://hydra.nixos.org/build/233214109 at 2023-09-02 + - minizinc-process # failure in job https://hydra.nixos.org/build/233211497 at 2023-09-02 + - minst-idx # failure in job https://hydra.nixos.org/build/233259901 at 2023-09-02 + - mios # failure in job https://hydra.nixos.org/build/233251863 at 2023-09-02 + - MIP # failure in job https://hydra.nixos.org/build/233199688 at 2023-09-02 + - mirror-tweet # failure in job https://hydra.nixos.org/build/233216951 at 2023-09-02 + - mismi-p # failure in job https://hydra.nixos.org/build/233257227 at 2023-09-02 + - miso-action-logger # failure in job https://hydra.nixos.org/build/233229061 at 2023-09-02 + - miso-examples # failure in job https://hydra.nixos.org/build/233237380 at 2023-09-02 + - mit-3qvpPyAi6mH # failure in job https://hydra.nixos.org/build/233229967 at 2023-09-02 + - mix-arrows # failure in job https://hydra.nixos.org/build/233257720 at 2023-09-02 + - mixpanel-client # failure in job https://hydra.nixos.org/build/233220132 at 2023-09-02 + - mkcabal # failure in job https://hydra.nixos.org/build/233202466 at 2023-09-02 + - mltool # failure in job https://hydra.nixos.org/build/233203849 at 2023-09-02 + - ml-w # failure in job https://hydra.nixos.org/build/233251342 at 2023-09-02 + - mm2 # failure in job https://hydra.nixos.org/build/233260048 at 2023-09-02 + - mmsyn2 # failure in job https://hydra.nixos.org/build/233201519 at 2023-09-02 + - mmsyn4 # failure in job https://hydra.nixos.org/build/233241446 at 2023-09-02 + - mmsyn6ukr-array # failure in job https://hydra.nixos.org/build/233212068 at 2023-09-02 + - mmsyn7ukr-common # failure in job https://hydra.nixos.org/build/233249446 at 2023-09-02 + - mmtf # failure in job https://hydra.nixos.org/build/233190851 at 2023-09-02 + - mmtl # failure in job https://hydra.nixos.org/build/233235862 at 2023-09-02 + - mmzk-typeid # failure in job https://hydra.nixos.org/build/233258612 at 2023-09-02 + - Mobile-Legends-Hack-Cheats # failure in job https://hydra.nixos.org/build/233194849 at 2023-09-02 + - mockazo # failure in job https://hydra.nixos.org/build/233234923 at 2023-09-02 + - mock-httpd # failure in job https://hydra.nixos.org/build/233191481 at 2023-09-02 + - modbus-tcp # failure in job https://hydra.nixos.org/build/233230661 at 2023-09-02 + - model # failure in job https://hydra.nixos.org/build/233211330 at 2023-09-02 + - modelicaparser # failure in job https://hydra.nixos.org/build/233207575 at 2023-09-02 + - modular-prelude # failure in job https://hydra.nixos.org/build/233210510 at 2023-09-02 + - module-management # failure in job https://hydra.nixos.org/build/233198407 at 2023-09-02 + - modulespection # failure in job https://hydra.nixos.org/build/233206138 at 2023-09-02 + - MoeDict # failure in job https://hydra.nixos.org/build/233255252 at 2023-09-02 + - moe # failure in job https://hydra.nixos.org/build/233253151 at 2023-09-02 + - Moe # failure in job https://hydra.nixos.org/build/234446604 at 2023-09-13 + - moesocks # failure in job https://hydra.nixos.org/build/233258741 at 2023-09-02 + - mohws # failure in job https://hydra.nixos.org/build/233246088 at 2023-09-02 + - mollie-api-haskell # failure in job https://hydra.nixos.org/build/233200867 at 2023-09-02 + - monadacme # failure in job https://hydra.nixos.org/build/233218330 at 2023-09-02 + - monad-atom # failure in job https://hydra.nixos.org/build/233243367 at 2023-09-02 + - monad-atom-simple # failure in job https://hydra.nixos.org/build/233259038 at 2023-09-02 + - monad-branch # failure in job https://hydra.nixos.org/build/233251253 at 2023-09-02 + - MonadCatchIO-mtl # failure in job https://hydra.nixos.org/build/233228214 at 2023-09-02 + - MonadCatchIO-transformers-foreign # failure in job https://hydra.nixos.org/build/233251635 at 2023-09-02 + - monad-choice # failure in job https://hydra.nixos.org/build/233255987 at 2023-09-02 + - MonadCompose # failure in job https://hydra.nixos.org/build/233204478 at 2023-09-02 + - monad-control-aligned # failure in job https://hydra.nixos.org/build/233240714 at 2023-09-02 + - monadcryptorandom # failure in job https://hydra.nixos.org/build/233235604 at 2023-09-02 + - monad-fork # failure in job https://hydra.nixos.org/build/233206855 at 2023-09-02 + - monadiccp # failure in job https://hydra.nixos.org/build/233191794 at 2023-09-02 + - monad-introspect # failure in job https://hydra.nixos.org/build/233248261 at 2023-09-02 + - Monadius # failure in job https://hydra.nixos.org/build/234456746 at 2023-09-13 + - monad-levels # failure in job https://hydra.nixos.org/build/233230433 at 2023-09-02 + - monad-lgbt # failure in job https://hydra.nixos.org/build/233207652 at 2023-09-02 + - monadLib-compose # failure in job https://hydra.nixos.org/build/233237652 at 2023-09-02 + - monadloc-pp # failure in job https://hydra.nixos.org/build/233229067 at 2023-09-02 + - monadlog # failure in job https://hydra.nixos.org/build/233210391 at 2023-09-02 + - monad-log # failure in job https://hydra.nixos.org/build/233235588 at 2023-09-02 + - monad-logger-prefix # failure in job https://hydra.nixos.org/build/233194845 at 2023-09-02 + - monad-logger-syslog # failure in job https://hydra.nixos.org/build/233238581 at 2023-09-02 + - monad-lrs # failure in job https://hydra.nixos.org/build/233204729 at 2023-09-02 + - monad-mersenne-random # failure in job https://hydra.nixos.org/build/233219918 at 2023-09-02 + - monad-metrics # failure in job https://hydra.nixos.org/build/233213287 at 2023-09-02 + - monad-mock # failure in job https://hydra.nixos.org/build/233211936 at 2023-09-02 + - monad-open # failure in job https://hydra.nixos.org/build/233242922 at 2023-09-02 + - monad-parallel-progressbar # failure in job https://hydra.nixos.org/build/233240035 at 2023-09-02 + - monad-param # failure in job https://hydra.nixos.org/build/233257283 at 2023-09-02 + - monad-persist # failure in job https://hydra.nixos.org/build/233214035 at 2023-09-02 + - MonadRandomLazy # failure in job https://hydra.nixos.org/build/233247540 at 2023-09-02 + - monad-ran # failure in job https://hydra.nixos.org/build/233251596 at 2023-09-02 + - monad-recorder # failure in job https://hydra.nixos.org/build/233222665 at 2023-09-02 + - monad-skeleton # failure in job https://hydra.nixos.org/build/233234306 at 2023-09-02 + - MonadStack # failure in job https://hydra.nixos.org/build/233239920 at 2023-09-02 + - monad-statevar # failure in job https://hydra.nixos.org/build/233215896 at 2023-09-02 + - monad-ste # failure in job https://hydra.nixos.org/build/233226514 at 2023-09-02 + - monad-stlike-io # failure in job https://hydra.nixos.org/build/233254724 at 2023-09-02 + - monad-task # failure in job https://hydra.nixos.org/build/233199661 at 2023-09-02 + - monad-throw-exit # failure in job https://hydra.nixos.org/build/233205411 at 2023-09-02 + - monad-timing # failure in job https://hydra.nixos.org/build/233213441 at 2023-09-02 + - monad-tree # failure in job https://hydra.nixos.org/build/233259264 at 2023-09-02 + - monad-tx # failure in job https://hydra.nixos.org/build/233232176 at 2023-09-02 + - monad-unify # failure in job https://hydra.nixos.org/build/233202833 at 2023-09-02 + - monad-wrap # failure in job https://hydra.nixos.org/build/233247808 at 2023-09-02 + - Monatron # failure in job https://hydra.nixos.org/build/233211361 at 2023-09-02 + - mondo # failure in job https://hydra.nixos.org/build/233207379 at 2023-09-02 + - money # failure in job https://hydra.nixos.org/build/233236545 at 2023-09-02 + - mongodb-queue # failure in job https://hydra.nixos.org/build/233216248 at 2023-09-02 + - monitor # failure in job https://hydra.nixos.org/build/233229021 at 2023-09-02 + - monocypher # failure in job https://hydra.nixos.org/build/233195745 at 2023-09-02 + - mono-foldable # failure in job https://hydra.nixos.org/build/233238824 at 2023-09-02 + - monoid-absorbing # failure in job https://hydra.nixos.org/build/233236465 at 2023-09-02 + - monoidal-functors # failure in job https://hydra.nixos.org/build/233203234 at 2023-09-02 + - monoid # failure in job https://hydra.nixos.org/build/233252888 at 2023-09-02 + - monoidmap # failure in job https://hydra.nixos.org/build/233252527 at 2023-09-02 + - monoid-owns # failure in job https://hydra.nixos.org/build/233259043 at 2023-09-02 + - monoidplus # failure in job https://hydra.nixos.org/build/233226759 at 2023-09-02 + - monoids # failure in job https://hydra.nixos.org/build/233231684 at 2023-09-02 + - monopati # failure in job https://hydra.nixos.org/build/233234119 at 2023-09-02 + - monus # failure in job https://hydra.nixos.org/build/233252424 at 2023-09-02 + - monzo # failure in job https://hydra.nixos.org/build/233254681 at 2023-09-02 + - morfette # failure in job https://hydra.nixos.org/build/233249575 at 2023-09-02 + - morfeusz # failure in job https://hydra.nixos.org/build/233232351 at 2023-09-02 + - morpheus-graphql-cli # failure in job https://hydra.nixos.org/build/233249063 at 2023-09-02 + - morphisms-functors # failure in job https://hydra.nixos.org/build/233255311 at 2023-09-02 + - morphisms-objects # failure in job https://hydra.nixos.org/build/233216076 at 2023-09-02 + - morte # failure in job https://hydra.nixos.org/build/233212193 at 2023-09-02 + - mortred # failure in job https://hydra.nixos.org/build/233216766 at 2023-09-02 + - mosaico-lib # failure in job https://hydra.nixos.org/build/233253717 at 2023-09-02 + - motor-reflection # failure in job https://hydra.nixos.org/build/233247530 at 2023-09-02 + - mount # failure in job https://hydra.nixos.org/build/233220302 at 2023-09-02 + - movie-monad # failure in job https://hydra.nixos.org/build/233215402 at 2023-09-02 + - mpppc # failure in job https://hydra.nixos.org/build/233223008 at 2023-09-02 + - mpris # failure in job https://hydra.nixos.org/build/233259241 at 2023-09-02 + - mpvguihs # failure in job https://hydra.nixos.org/build/233196650 at 2023-09-02 + - mqtt # failure in job https://hydra.nixos.org/build/233202067 at 2023-09-02 + - mqtt-hs # failure in job https://hydra.nixos.org/build/233239399 at 2023-09-02 + - mrifk # failure in job https://hydra.nixos.org/build/233201109 at 2023-09-02 + - mrm # failure in job https://hydra.nixos.org/build/233191612 at 2023-09-02 + - ms-auth # failure in job https://hydra.nixos.org/build/233193383 at 2023-09-02 + - ms-azure-api # failure in job https://hydra.nixos.org/build/233202229 at 2023-09-02 + - ms # failure in job https://hydra.nixos.org/build/233237728 at 2023-09-02 + - msgpack # failure in job https://hydra.nixos.org/build/233258131 at 2023-09-02 + - msgpack-types # failure in job https://hydra.nixos.org/build/233235351 at 2023-09-02 + - ms-graph-api # failure in job https://hydra.nixos.org/build/233219042 at 2023-09-02 + - msh # failure in job https://hydra.nixos.org/build/233196466 at 2023-09-02 + - MTGBuilder # failure in job https://hydra.nixos.org/build/233227528 at 2023-09-02 + - mtl-c # failure in job https://hydra.nixos.org/build/233196798 at 2023-09-02 + - mtl-evil-instances # failure in job https://hydra.nixos.org/build/233239976 at 2023-09-02 + - mtl-extras # failure in job https://hydra.nixos.org/build/233199852 at 2023-09-02 + - mtl-tf # failure in job https://hydra.nixos.org/build/233254943 at 2023-09-02 + - mtlx # failure in job https://hydra.nixos.org/build/233221631 at 2023-09-02 + - mtp # failure in job https://hydra.nixos.org/build/233212895 at 2023-09-02 + - mtsl # failure in job https://hydra.nixos.org/build/233207653 at 2023-09-02 + - MuCheck # failure in job https://hydra.nixos.org/build/233254207 at 2023-09-02 + - mudbath # failure in job https://hydra.nixos.org/build/233198648 at 2023-09-02 + - mud # failure in job https://hydra.nixos.org/build/233229445 at 2023-09-02 + - mulang # failure in job https://hydra.nixos.org/build/233211001 at 2023-09-02 + - multext-east-msd # failure in job https://hydra.nixos.org/build/233191007 at 2023-09-02 + - multiaddr # failure in job https://hydra.nixos.org/build/233223452 at 2023-09-02 + - multiarg # failure in job https://hydra.nixos.org/build/233238633 at 2023-09-02 + - multihash # failure in job https://hydra.nixos.org/build/233203263 at 2023-09-02 + - multi-instance # failure in job https://hydra.nixos.org/build/233203186 at 2023-09-02 + - multilinear # failure in job https://hydra.nixos.org/build/233260046 at 2023-09-02 + - multipass # failure in job https://hydra.nixos.org/build/233252587 at 2023-09-02 + - multipath # failure in job https://hydra.nixos.org/build/233248692 at 2023-09-02 + - multiplate-simplified # failure in job https://hydra.nixos.org/build/233241999 at 2023-09-02 + - multipool # failure in job https://hydra.nixos.org/build/233234384 at 2023-09-02 + - multirec # failure in job https://hydra.nixos.org/build/233242079 at 2023-09-02 + - multivariant # failure in job https://hydra.nixos.org/build/233254625 at 2023-09-02 + - Munkres # failure in job https://hydra.nixos.org/build/233237379 at 2023-09-02 + - muon # failure in job https://hydra.nixos.org/build/233238364 at 2023-09-02 + - murmur # failure in job https://hydra.nixos.org/build/233244309 at 2023-09-02 + - mu-schema # failure in job https://hydra.nixos.org/build/233235916 at 2023-09-02 + - musicScroll # failure in job https://hydra.nixos.org/build/233197933 at 2023-09-02 + - music-util # failure in job https://hydra.nixos.org/build/233234440 at 2023-09-02 + - musicxml # failure in job https://hydra.nixos.org/build/233201763 at 2023-09-02 + - mustache2hs # failure in job https://hydra.nixos.org/build/233229095 at 2023-09-02 + - mustache-haskell # failure in job https://hydra.nixos.org/build/233235667 at 2023-09-02 + - mutable # failure in job https://hydra.nixos.org/build/233204639 at 2023-09-02 + - mvc # failure in job https://hydra.nixos.org/build/233205036 at 2023-09-02 + - mxnet # failure in job https://hydra.nixos.org/build/233212365 at 2023-09-02 + - mxnet-nnvm # failure in job https://hydra.nixos.org/build/233236073 at 2023-09-02 + - myanimelist-export # failure in job https://hydra.nixos.org/build/233255510 at 2023-09-02 + - myo # failure in job https://hydra.nixos.org/build/233251998 at 2023-09-02 + - my-package-testing # failure in job https://hydra.nixos.org/build/233201843 at 2023-09-02 + - MyPrimes # failure in job https://hydra.nixos.org/build/233247934 at 2023-09-02 + - mysql-effect # failure in job https://hydra.nixos.org/build/233248718 at 2023-09-02 + - mysql-haskell # failure in job https://hydra.nixos.org/build/233195978 at 2023-09-02 + - mysql-pure # failure in job https://hydra.nixos.org/build/233250825 at 2023-09-02 + - mysql-simple-quasi # failure in job https://hydra.nixos.org/build/233214755 at 2023-09-02 + - mystem # failure in job https://hydra.nixos.org/build/233215180 at 2023-09-02 + - my-test-docs # failure in job https://hydra.nixos.org/build/233191840 at 2023-09-02 + - myxine-client # failure in job https://hydra.nixos.org/build/233236439 at 2023-09-02 + - mzv # failure in job https://hydra.nixos.org/build/233241826 at 2023-09-02 + - n2o-protocols # failure in job https://hydra.nixos.org/build/233233132 at 2023-09-02 + - nagios-plugin-ekg # failure in job https://hydra.nixos.org/build/233203188 at 2023-09-02 + - named-binary-tag # failure in job https://hydra.nixos.org/build/233225138 at 2023-09-02 + - named-lock # failure in job https://hydra.nixos.org/build/233247307 at 2023-09-02 + - named-servant # failure in job https://hydra.nixos.org/build/233205979 at 2023-09-02 + - named-sop # failure in job https://hydra.nixos.org/build/233233523 at 2023-09-02 + - namelist # failure in job https://hydra.nixos.org/build/233216883 at 2023-09-02 + - nanoAgda # failure in job https://hydra.nixos.org/build/233259294 at 2023-09-02 + - nano-cryptr # failure in job https://hydra.nixos.org/build/233218831 at 2023-09-02 + - nanocurses # failure in job https://hydra.nixos.org/build/233215906 at 2023-09-02 + - nano-hmac # failure in job https://hydra.nixos.org/build/233224756 at 2023-09-02 + - NanoID # failure in job https://hydra.nixos.org/build/233212531 at 2023-09-02 + - nano-md5 # failure in job https://hydra.nixos.org/build/233222265 at 2023-09-02 + - nanomsg # failure in job https://hydra.nixos.org/build/233240904 at 2023-09-02 + - nanomsg-haskell # failure in job https://hydra.nixos.org/build/233207779 at 2023-09-02 + - nanoparsec # failure in job https://hydra.nixos.org/build/233248843 at 2023-09-02 + - nanopass # failure in job https://hydra.nixos.org/build/233230210 at 2023-09-02 + - NanoProlog # failure in job https://hydra.nixos.org/build/233244743 at 2023-09-02 + - nanovg-simple # failure in job https://hydra.nixos.org/build/233257414 at 2023-09-02 + - Naperian # failure in job https://hydra.nixos.org/build/233200372 at 2023-09-02 + - naperian # failure in job https://hydra.nixos.org/build/233233726 at 2023-09-02 + - naqsha # failure in job https://hydra.nixos.org/build/233256844 at 2023-09-02 + - narc # failure in job https://hydra.nixos.org/build/233215853 at 2023-09-02 + - nationstates # failure in job https://hydra.nixos.org/build/233243640 at 2023-09-02 + - nats-client # failure in job https://hydra.nixos.org/build/233241313 at 2023-09-02 + - nat-sized-numbers # failure in job https://hydra.nixos.org/build/233244238 at 2023-09-02 + - natural # failure in job https://hydra.nixos.org/build/233232490 at 2023-09-02 + - NaturalSort # failure in job https://hydra.nixos.org/build/233213239 at 2023-09-02 + - naver-translate # failure in job https://hydra.nixos.org/build/233225934 at 2023-09-02 + - nbt # failure in job https://hydra.nixos.org/build/233253509 at 2023-09-02 + - ncurses # failure in job https://hydra.nixos.org/build/233238895 at 2023-09-02 + - neat # failure in job https://hydra.nixos.org/build/233203521 at 2023-09-02 + - needle # failure in job https://hydra.nixos.org/build/233192371 at 2023-09-02 + - neet # failure in job https://hydra.nixos.org/build/233235457 at 2023-09-02 + - nehe-tuts # failure in job https://hydra.nixos.org/build/233249347 at 2023-09-02 + - neil # failure in job https://hydra.nixos.org/build/233219708 at 2023-09-02 + - neither-data # failure in job https://hydra.nixos.org/build/233201266 at 2023-09-02 + - neither # failure in job https://hydra.nixos.org/build/233244974 at 2023-09-02 + - neko-lib # failure in job https://hydra.nixos.org/build/233236797 at 2023-09-02 + - neko-obfs # failure in job https://hydra.nixos.org/build/233248732 at 2023-09-02 + - nekos-best # failure in job https://hydra.nixos.org/build/233214327 at 2023-09-02 + - Neks # failure in job https://hydra.nixos.org/build/233238103 at 2023-09-02 + - neptune-backend # failure in job https://hydra.nixos.org/build/233214329 at 2023-09-02 + - nero # failure in job https://hydra.nixos.org/build/233216907 at 2023-09-02 + - NestedFunctor # failure in job https://hydra.nixos.org/build/233253656 at 2023-09-02 + - nestedmap # failure in job https://hydra.nixos.org/build/233219375 at 2023-09-02 + - nested-sequence # failure in job https://hydra.nixos.org/build/233221359 at 2023-09-02 + - nest # failure in job https://hydra.nixos.org/build/233224450 at 2023-09-02 + - netclock # failure in job https://hydra.nixos.org/build/233207456 at 2023-09-02 + - netease-fm # failure in job https://hydra.nixos.org/build/233210043 at 2023-09-02 + - netrium # failure in job https://hydra.nixos.org/build/233258377 at 2023-09-02 + - NetSNMP # failure in job https://hydra.nixos.org/build/233598256 at 2023-09-02 + - netspec # failure in job https://hydra.nixos.org/build/233251049 at 2023-09-02 + - netwire-input-javascript # failure in job https://hydra.nixos.org/build/233245020 at 2023-09-02 + - netwire-vinylglfw-examples # failure in job https://hydra.nixos.org/build/233236274 at 2023-09-02 + - network-address # failure in job https://hydra.nixos.org/build/233248618 at 2023-09-02 + - network-api-support # failure in job https://hydra.nixos.org/build/233257275 at 2023-09-02 + - network-arbitrary # failure in job https://hydra.nixos.org/build/233250988 at 2023-09-02 + - network-attoparsec # failure in job https://hydra.nixos.org/build/233255528 at 2023-09-02 + - network-bitcoin # failure in job https://hydra.nixos.org/build/233191198 at 2023-09-02 + - network-builder # failure in job https://hydra.nixos.org/build/233235184 at 2023-09-02 + - network-bytestring # failure in job https://hydra.nixos.org/build/233201616 at 2023-09-02 + - network-carbon # failure in job https://hydra.nixos.org/build/233225276 at 2023-09-02 + - network-dbus # failure in job https://hydra.nixos.org/build/233212385 at 2023-09-02 + - networked-game # failure in job https://hydra.nixos.org/build/233239577 at 2023-09-02 + - network-house # failure in job https://hydra.nixos.org/build/233193957 at 2023-09-02 + - network-manager-tui # failure in job https://hydra.nixos.org/build/233247972 at 2023-09-02 + - network-metrics # failure in job https://hydra.nixos.org/build/233259963 at 2023-09-02 + - network-msg # failure in job https://hydra.nixos.org/build/233236413 at 2023-09-02 + - network-msgpack-rpc # failure in job https://hydra.nixos.org/build/233222467 at 2023-09-02 + - network-packet-linux # failure in job https://hydra.nixos.org/build/233235052 at 2023-09-02 + - network-server # failure in job https://hydra.nixos.org/build/233250808 at 2023-09-02 + - network-service # failure in job https://hydra.nixos.org/build/233193647 at 2023-09-02 + - network-simple-sockaddr # failure in job https://hydra.nixos.org/build/233204221 at 2023-09-02 + - network-socket-options # failure in job https://hydra.nixos.org/build/233252466 at 2023-09-02 + - network-transport-amqp # failure in job https://hydra.nixos.org/build/233224582 at 2023-09-02 + - network-voicetext # failure in job https://hydra.nixos.org/build/233204992 at 2023-09-02 + - network-wai-router # failure in job https://hydra.nixos.org/build/233219167 at 2023-09-02 + - neural-network-blashs # failure in job https://hydra.nixos.org/build/233244174 at 2023-09-02 + - neural-network-hmatrix # failure in job https://hydra.nixos.org/build/233237535 at 2023-09-02 + - newbase60 # failure in job https://hydra.nixos.org/build/233241443 at 2023-09-02 + - newhope # failure in job https://hydra.nixos.org/build/233221942 at 2023-09-02 + - newline # failure in job https://hydra.nixos.org/build/233205462 at 2023-09-02 + - newports # failure in job https://hydra.nixos.org/build/233234468 at 2023-09-02 + - newsletter # failure in job https://hydra.nixos.org/build/233252800 at 2023-09-02 + - newt # failure in job https://hydra.nixos.org/build/233227870 at 2023-09-02 + - newtype-deriving # failure in job https://hydra.nixos.org/build/233209383 at 2023-09-02 + - newtype-th # failure in job https://hydra.nixos.org/build/233203461 at 2023-09-02 + - next-ref # failure in job https://hydra.nixos.org/build/233230105 at 2023-09-02 + - nextstep-plist # failure in job https://hydra.nixos.org/build/233227343 at 2023-09-02 + - NGrams # failure in job https://hydra.nixos.org/build/233230426 at 2023-09-02 + - ngrams-loader # failure in job https://hydra.nixos.org/build/233211319 at 2023-09-02 + - ngx-export-log # failure in job https://hydra.nixos.org/build/233193133 at 2023-09-02 + - niagra # failure in job https://hydra.nixos.org/build/233234016 at 2023-09-02 + - nibblestring # failure in job https://hydra.nixos.org/build/233224888 at 2023-09-02 + - nice-html # failure in job https://hydra.nixos.org/build/233193644 at 2023-09-02 + - nicovideo-translator # failure in job https://hydra.nixos.org/build/233225618 at 2023-09-02 + - nist-beacon # failure in job https://hydra.nixos.org/build/233206376 at 2023-09-02 + - nitro # failure in job https://hydra.nixos.org/build/233229909 at 2023-09-02 + - nix-delegate # failure in job https://hydra.nixos.org/build/233232891 at 2023-09-02 + - nixdu # failure in job https://hydra.nixos.org/build/233257712 at 2023-09-02 + - nix-eval # failure in job https://hydra.nixos.org/build/233256388 at 2023-09-02 + - nix-freeze-tree # failure in job https://hydra.nixos.org/build/233234834 at 2023-09-02 + - nixfromnpm # failure in job https://hydra.nixos.org/build/233239168 at 2023-09-02 + - nixpkgs-update # failure in job https://hydra.nixos.org/build/233196708 at 2023-09-02 + - nix-serve-ng # failure in job https://hydra.nixos.org/build/233214170 at 2023-09-02 + - nix-tools # failure in job https://hydra.nixos.org/build/233662959 at 2023-09-02 + - nlp-scores # failure in job https://hydra.nixos.org/build/233232770 at 2023-09-02 + - NMap # failure in job https://hydra.nixos.org/build/233246148 at 2023-09-02 + - nme # failure in job https://hydra.nixos.org/build/233224069 at 2023-09-02 + - nm # failure in job https://hydra.nixos.org/build/233258727 at 2023-09-02 + - nn # failure in job https://hydra.nixos.org/build/233236534 at 2023-09-02 + - nntp # failure in job https://hydra.nixos.org/build/233210197 at 2023-09-02 + - noether # failure in job https://hydra.nixos.org/build/233193462 at 2023-09-02 + - nofib-analyse # failure in job https://hydra.nixos.org/build/233241646 at 2023-09-02 + - nofib-analyze # failure in job https://hydra.nixos.org/build/233203306 at 2023-09-02 + - noise # failure in job https://hydra.nixos.org/build/233190885 at 2023-09-02 + - noli # failure in job https://hydra.nixos.org/build/233208659 at 2023-09-02 + - non-empty-containers # failure in job https://hydra.nixos.org/build/233201102 at 2023-09-02 + - NonEmpty # failure in job https://hydra.nixos.org/build/233244009 at 2023-09-02 + - nonempty-lift # failure in job https://hydra.nixos.org/build/233237065 at 2023-09-02 + - non-empty-zipper # failure in job https://hydra.nixos.org/build/233244341 at 2023-09-02 + - nonlinear-optimization # failure in job https://hydra.nixos.org/build/233204674 at 2023-09-02 + - noodle # failure in job https://hydra.nixos.org/build/233195125 at 2023-09-02 + - normalization-insensitive # failure in job https://hydra.nixos.org/build/233239612 at 2023-09-02 + - no-role-annots # failure in job https://hydra.nixos.org/build/233229589 at 2023-09-02 + - notcpp # failure in job https://hydra.nixos.org/build/233216543 at 2023-09-02 + - notmuch-haskell # failure in job https://hydra.nixos.org/build/233332618 at 2023-09-02 + - not-prelude # failure in job https://hydra.nixos.org/build/233248453 at 2023-09-02 + - NoTrace # failure in job https://hydra.nixos.org/build/233229305 at 2023-09-02 + - notzero # failure in job https://hydra.nixos.org/build/233216133 at 2023-09-02 + - np-linear # failure in job https://hydra.nixos.org/build/233257696 at 2023-09-02 + - nptools # failure in job https://hydra.nixos.org/build/233234905 at 2023-09-02 + - ntp-control # failure in job https://hydra.nixos.org/build/233231061 at 2023-09-02 + - ntrip-client # failure in job https://hydra.nixos.org/build/233230605 at 2023-09-02 + - n-tuple # failure in job https://hydra.nixos.org/build/233225021 at 2023-09-02 + - nuha # failure in job https://hydra.nixos.org/build/233215103 at 2023-09-02 + - nullary # failure in job https://hydra.nixos.org/build/233214073 at 2023-09-02 + - null-canvas # failure in job https://hydra.nixos.org/build/233201814 at 2023-09-02 + - nullpipe # failure in job https://hydra.nixos.org/build/233245129 at 2023-09-02 + - NumberSieves # failure in job https://hydra.nixos.org/build/233255007 at 2023-09-02 + - NumberTheory # failure in job https://hydra.nixos.org/build/233215395 at 2023-09-02 + - number-wall # failure in job https://hydra.nixos.org/build/233197764 at 2023-09-02 + - numeric-qq # failure in job https://hydra.nixos.org/build/233207127 at 2023-09-02 + - numeric-ranges # failure in job https://hydra.nixos.org/build/233191878 at 2023-09-02 + - numhask-free # failure in job https://hydra.nixos.org/build/233214800 at 2023-09-02 + - numhask-prelude # failure in job https://hydra.nixos.org/build/233248768 at 2023-09-02 + - numhask-space # failure in job https://hydra.nixos.org/build/233210514 at 2023-09-02 + - numtype # failure in job https://hydra.nixos.org/build/233219211 at 2023-09-02 + - numtype-tf # failure in job https://hydra.nixos.org/build/233243483 at 2023-09-02 + - Nutri # failure in job https://hydra.nixos.org/build/233244244 at 2023-09-02 + - nvfetcher # failure in job https://hydra.nixos.org/build/233598212 at 2023-09-02 + - NXTDSL # failure in job https://hydra.nixos.org/build/233193483 at 2023-09-02 + - nylas # failure in job https://hydra.nixos.org/build/233193540 at 2023-09-02 + - oanda-rest-api # failure in job https://hydra.nixos.org/build/233250190 at 2023-09-02 + - oasis-xrd # failure in job https://hydra.nixos.org/build/233199264 at 2023-09-02 + - oauthenticated # failure in job https://hydra.nixos.org/build/233257682 at 2023-09-02 + - Object # failure in job https://hydra.nixos.org/build/233191909 at 2023-09-02 + - ObjectIO # failure in job https://hydra.nixos.org/build/233242132 at 2023-09-02 + - ocaml-export # failure in job https://hydra.nixos.org/build/233218217 at 2023-09-02 + - Octree # failure in job https://hydra.nixos.org/build/233228066 at 2023-09-02 + - OddWord # failure in job https://hydra.nixos.org/build/233192922 at 2023-09-02 + - oden-go-packages # failure in job https://hydra.nixos.org/build/233200117 at 2023-09-02 + - oeis2 # failure in job https://hydra.nixos.org/build/233199597 at 2023-09-02 + - OGDF # failure in job https://hydra.nixos.org/build/233200888 at 2023-09-02 + - OGL # failure in job https://hydra.nixos.org/build/233255135 at 2023-09-02 + - ogma-language-c # failure in job https://hydra.nixos.org/build/233228824 at 2023-09-02 + - ogma-language-cocospec # failure in job https://hydra.nixos.org/build/233235359 at 2023-09-02 + - ogma-language-smv # failure in job https://hydra.nixos.org/build/233239832 at 2023-09-02 + - ogmarkup # failure in job https://hydra.nixos.org/build/233229980 at 2023-09-02 + - ohloh-hs # failure in job https://hydra.nixos.org/build/233228177 at 2023-09-02 + - oi # failure in job https://hydra.nixos.org/build/233190838 at 2023-09-02 + - okapi # failure in job https://hydra.nixos.org/build/233193822 at 2023-09-02 + - old-version # failure in job https://hydra.nixos.org/build/233198538 at 2023-09-02 + - om-actor # failure in job https://hydra.nixos.org/build/233231027 at 2023-09-02 + - omaketex # failure in job https://hydra.nixos.org/build/233202599 at 2023-09-02 + - ombra # failure in job https://hydra.nixos.org/build/233192387 at 2023-09-02 + - om-doh # failure in job https://hydra.nixos.org/build/233217719 at 2023-09-02 + - Omega # failure in job https://hydra.nixos.org/build/233226089 at 2023-09-02 + - omega # failure in job https://hydra.nixos.org/build/233241129 at 2023-09-02 + - om-elm # failure in job https://hydra.nixos.org/build/233226229 at 2023-09-02 + - om-fail # failure in job https://hydra.nixos.org/build/233244639 at 2023-09-02 + - om-http # failure in job https://hydra.nixos.org/build/233245328 at 2023-09-02 + - om-http-logging # failure in job https://hydra.nixos.org/build/233218069 at 2023-09-02 + - om-logging # failure in job https://hydra.nixos.org/build/233222909 at 2023-09-02 + - omnifmt # failure in job https://hydra.nixos.org/build/233219763 at 2023-09-02 + - om-socket # failure in job https://hydra.nixos.org/build/233235423 at 2023-09-02 + - on-a-horse # failure in job https://hydra.nixos.org/build/233199193 at 2023-09-02 + - onama # failure in job https://hydra.nixos.org/build/233241430 at 2023-09-02 + - ONC-RPC # failure in job https://hydra.nixos.org/build/233225207 at 2023-09-02 + - on-demand-ssh-tunnel # failure in job https://hydra.nixos.org/build/233197181 at 2023-09-02 + - oneormore # failure in job https://hydra.nixos.org/build/233242475 at 2023-09-02 + - online # failure in job https://hydra.nixos.org/build/233195360 at 2023-09-02 + - onpartitions # failure in job https://hydra.nixos.org/build/233226163 at 2023-09-02 + - onu-course # failure in job https://hydra.nixos.org/build/233233153 at 2023-09-02 + - opaleye-classy # failure in job https://hydra.nixos.org/build/233214120 at 2023-09-02 + - opaleye-sqlite # failure in job https://hydra.nixos.org/build/233191474 at 2023-09-02 + - opaleye-trans # failure in job https://hydra.nixos.org/build/233210536 at 2023-09-02 + - open-adt # failure in job https://hydra.nixos.org/build/233201191 at 2023-09-02 + - OpenAFP # failure in job https://hydra.nixos.org/build/233249295 at 2023-09-02 + - openai-hs # failure in job https://hydra.nixos.org/build/233598196 at 2023-09-02 + - openapi3-code-generator # failure in job https://hydra.nixos.org/build/233255628 at 2023-09-02 + - openapi3 # failure in job https://hydra.nixos.org/build/233208815 at 2023-09-02 + - openapi-petstore # failure in job https://hydra.nixos.org/build/233221722 at 2023-09-02 + - openapi-typed # failure in job https://hydra.nixos.org/build/233226830 at 2023-09-02 + - opencc # failure in job https://hydra.nixos.org/build/233211902 at 2023-09-02 + - opench-meteo # failure in job https://hydra.nixos.org/build/233212476 at 2023-09-02 + - OpenCL # failure in job https://hydra.nixos.org/build/233216571 at 2023-09-02 + - OpenCLRaw # failure in job https://hydra.nixos.org/build/233247133 at 2023-09-02 + - OpenCLWrappers # failure in job https://hydra.nixos.org/build/233222391 at 2023-09-02 + - opencog-atomspace # failure in job https://hydra.nixos.org/build/233237785 at 2023-09-02 + - opencv-raw # failure in job https://hydra.nixos.org/build/233211286 at 2023-09-02 + - opendatatable # failure in job https://hydra.nixos.org/build/233254960 at 2023-09-02 + - openexchangerates # failure in job https://hydra.nixos.org/build/233203238 at 2023-09-02 + - openflow # failure in job https://hydra.nixos.org/build/233244058 at 2023-09-02 + - opengles # failure in job https://hydra.nixos.org/build/233214966 at 2023-09-02 + - OpenGLRaw21 # failure in job https://hydra.nixos.org/build/233229407 at 2023-09-02 + - open-haddock # failure in job https://hydra.nixos.org/build/233205112 at 2023-09-02 + - openid-connect # failure in job https://hydra.nixos.org/build/233196765 at 2023-09-02 + - open-pandoc # failure in job https://hydra.nixos.org/build/233247973 at 2023-09-02 + - openpgp # failure in job https://hydra.nixos.org/build/233244438 at 2023-09-02 + - open-signals # failure in job https://hydra.nixos.org/build/233207409 at 2023-09-02 + - opensoundcontrol-ht # failure in job https://hydra.nixos.org/build/233253386 at 2023-09-02 + - openssh-protocol # failure in job https://hydra.nixos.org/build/233196013 at 2023-09-02 + - opentelemetry-extra # failure in job https://hydra.nixos.org/build/233194254 at 2023-09-02 + - opentelemetry-http-client # failure in job https://hydra.nixos.org/build/233221983 at 2023-09-02 + - opentheory-char # failure in job https://hydra.nixos.org/build/233222347 at 2023-09-02 + - opentracing # failure in job https://hydra.nixos.org/build/233218638 at 2023-09-02 + - opentype # failure in job https://hydra.nixos.org/build/233213443 at 2023-09-02 + - OpenVGRaw # failure in job https://hydra.nixos.org/build/233254457 at 2023-09-02 + - Operads # failure in job https://hydra.nixos.org/build/233233055 at 2023-09-02 + - operate-do # failure in job https://hydra.nixos.org/build/233257129 at 2023-09-02 + - operational-extra # failure in job https://hydra.nixos.org/build/233232454 at 2023-09-02 + - op # failure in job https://hydra.nixos.org/build/233201812 at 2023-09-02 + - oplang # failure in job https://hydra.nixos.org/build/233208221 at 2023-09-02 + - opn # failure in job https://hydra.nixos.org/build/233198628 at 2023-09-02 + - optima # failure in job https://hydra.nixos.org/build/233200812 at 2023-09-02 + - optimization # failure in job https://hydra.nixos.org/build/233191078 at 2023-09-02 + - optional # failure in job https://hydra.nixos.org/build/233241818 at 2023-09-02 + - options-time # failure in job https://hydra.nixos.org/build/233194289 at 2023-09-02 + - optparse-applicative-simple # failure in job https://hydra.nixos.org/build/233236802 at 2023-09-02 + - optparse-helper # failure in job https://hydra.nixos.org/build/233248522 at 2023-09-02 + - optstream # failure in job https://hydra.nixos.org/build/233200326 at 2023-09-02 + - orc # failure in job https://hydra.nixos.org/build/233216448 at 2023-09-02 + - OrchestrateDB # failure in job https://hydra.nixos.org/build/233200562 at 2023-09-02 + - orchestrate # failure in job https://hydra.nixos.org/build/233228950 at 2023-09-02 + - ordered # failure in job https://hydra.nixos.org/build/233226269 at 2023-09-02 + - order-statistics # failure in job https://hydra.nixos.org/build/233255710 at 2023-09-02 + - Ordinary # failure in job https://hydra.nixos.org/build/233240078 at 2023-09-02 + - ordrea # failure in job https://hydra.nixos.org/build/233192984 at 2023-09-02 + - oref # failure in job https://hydra.nixos.org/build/233239331 at 2023-09-02 + - organize-imports # failure in job https://hydra.nixos.org/build/233217876 at 2023-09-02 + - orgmode # failure in job https://hydra.nixos.org/build/233232348 at 2023-09-02 + - orgmode-parse # failure in job https://hydra.nixos.org/build/233206463 at 2023-09-02 + - org-parser # failure in job https://hydra.nixos.org/build/233223593 at 2023-09-02 + - origami # failure in job https://hydra.nixos.org/build/233254357 at 2023-09-02 + - orion-hs # failure in job https://hydra.nixos.org/build/233210586 at 2023-09-02 + - orizentic # failure in job https://hydra.nixos.org/build/233197904 at 2023-09-02 + - OrPatterns # failure in job https://hydra.nixos.org/build/233191538 at 2023-09-02 + - ory-hydra-client # failure in job https://hydra.nixos.org/build/233197456 at 2023-09-02 + - ory-kratos # failure in job https://hydra.nixos.org/build/233191249 at 2023-09-02 + - osc # failure in job https://hydra.nixos.org/build/233250062 at 2023-09-02 + - oscpacking # failure in job https://hydra.nixos.org/build/234464653 at 2023-09-13 + - oset # failure in job https://hydra.nixos.org/build/233230763 at 2023-09-02 + - Oslo-Vectize # failure in job https://hydra.nixos.org/build/233252956 at 2023-09-02 + - osm-conduit # failure in job https://hydra.nixos.org/build/233259898 at 2023-09-02 + - OSM # failure in job https://hydra.nixos.org/build/233231098 at 2023-09-02 + - oso2pdf # failure in job https://hydra.nixos.org/build/233235234 at 2023-09-02 + - osx-ar # failure in job https://hydra.nixos.org/build/233194090 at 2023-09-02 + - ot # failure in job https://hydra.nixos.org/build/233244553 at 2023-09-02 + - OTP # failure in job https://hydra.nixos.org/build/233215664 at 2023-09-02 + - ottparse-pretty # failure in job https://hydra.nixos.org/build/233217185 at 2023-09-02 + - overloaded # failure in job https://hydra.nixos.org/build/233239086 at 2023-09-02 + - overloaded-records # failure in job https://hydra.nixos.org/build/233235922 at 2023-09-02 + - overture # failure in job https://hydra.nixos.org/build/233245959 at 2023-09-02 + - owoify-hs # failure in job https://hydra.nixos.org/build/233213422 at 2023-09-02 + - package-description-remote # failure in job https://hydra.nixos.org/build/233221358 at 2023-09-02 + - package-version # failure in job https://hydra.nixos.org/build/233191665 at 2023-09-02 + - package-vt # failure in job https://hydra.nixos.org/build/233225831 at 2023-09-02 + - packdeps # failure in job https://hydra.nixos.org/build/233216607 at 2023-09-02 + - packed-dawg # failure in job https://hydra.nixos.org/build/233207332 at 2023-09-02 + - packed # failure in job https://hydra.nixos.org/build/233231889 at 2023-09-02 + - packed-multikey-map # failure in job https://hydra.nixos.org/build/233234157 at 2023-09-02 + - packedstring # failure in job https://hydra.nixos.org/build/233240511 at 2023-09-02 + - packer-messagepack # failure in job https://hydra.nixos.org/build/233213453 at 2023-09-02 + - pack # failure in job https://hydra.nixos.org/build/233243562 at 2023-09-02 + - packman # failure in job https://hydra.nixos.org/build/233193792 at 2023-09-02 + - packstream # failure in job https://hydra.nixos.org/build/233229259 at 2023-09-02 + - packunused # failure in job https://hydra.nixos.org/build/233252566 at 2023-09-02 + - pacman-memcache # failure in job https://hydra.nixos.org/build/233194833 at 2023-09-02 + - padic # failure in job https://hydra.nixos.org/build/233244747 at 2023-09-02 + - pads-haskell # failure in job https://hydra.nixos.org/build/233224030 at 2023-09-02 + - pagarme # failure in job https://hydra.nixos.org/build/233201914 at 2023-09-02 + - pagerduty-hs # failure in job https://hydra.nixos.org/build/233220387 at 2023-09-02 + - pagure-hook-receiver # failure in job https://hydra.nixos.org/build/233245894 at 2023-09-02 + - PandocAgda # failure in job https://hydra.nixos.org/build/233332745 at 2023-09-02 + - pandoc-citeproc # failure in job https://hydra.nixos.org/build/233198462 at 2023-09-02 + - pandoc-columns # failure in job https://hydra.nixos.org/build/233234538 at 2023-09-02 + - pandoc-csv2table # failure in job https://hydra.nixos.org/build/233229925 at 2023-09-02 + - pandoc-filter-graphviz # failure in job https://hydra.nixos.org/build/233233372 at 2023-09-02 + - pandoc-filter-indent # failure in job https://hydra.nixos.org/build/233235439 at 2023-09-02 + - pandoc-include # failure in job https://hydra.nixos.org/build/233199152 at 2023-09-02 + - pandoc-include-plus # failure in job https://hydra.nixos.org/build/233198059 at 2023-09-02 + - pandoc-lens # failure in job https://hydra.nixos.org/build/233251239 at 2023-09-02 + - pandoc-linear-table # failure in job https://hydra.nixos.org/build/233254813 at 2023-09-02 + - pandoc-link-context # failure in job https://hydra.nixos.org/build/233254006 at 2023-09-02 + - pandoc-logic-proof # failure in job https://hydra.nixos.org/build/233223409 at 2023-09-02 + - pandoc-markdown-ghci-filter # failure in job https://hydra.nixos.org/build/233213731 at 2023-09-02 + - pandoc-placetable # failure in job https://hydra.nixos.org/build/233243163 at 2023-09-02 + - pandoc-plantuml-diagrams # failure in job https://hydra.nixos.org/build/233221778 at 2023-09-02 + - pandoc-pyplot # failure in job https://hydra.nixos.org/build/233248205 at 2023-09-02 + - pandoc-select-code # failure in job https://hydra.nixos.org/build/233238415 at 2023-09-02 + - pandoc-unlit # failure in job https://hydra.nixos.org/build/233219811 at 2023-09-02 + - pandoc-utils # failure in job https://hydra.nixos.org/build/233203436 at 2023-09-02 + - pandora # failure in job https://hydra.nixos.org/build/233211349 at 2023-09-02 + - pang-a-lambda # failure in job https://hydra.nixos.org/build/233202706 at 2023-09-02 + - pangraph # failure in job https://hydra.nixos.org/build/233242559 at 2023-09-02 + - pan-os-syslog # failure in job https://hydra.nixos.org/build/233244422 at 2023-09-02 + - panpipe # failure in job https://hydra.nixos.org/build/233257048 at 2023-09-02 + - pansite # failure in job https://hydra.nixos.org/build/233245941 at 2023-09-02 + - pantry-tmp # failure in job https://hydra.nixos.org/build/233662928 at 2023-09-02 + - papa-base-export # failure in job https://hydra.nixos.org/build/233251046 at 2023-09-02 + - papa-include # failure in job https://hydra.nixos.org/build/233226603 at 2023-09-02 + - papa-lens-export # failure in job https://hydra.nixos.org/build/233228582 at 2023-09-02 + - papa-lens-implement # failure in job https://hydra.nixos.org/build/233192797 at 2023-09-02 + - papa-prelude-core # failure in job https://hydra.nixos.org/build/233212274 at 2023-09-02 + - papa-prelude # failure in job https://hydra.nixos.org/build/233256276 at 2023-09-02 + - papa-prelude-lens # failure in job https://hydra.nixos.org/build/233213147 at 2023-09-02 + - papa-prelude-semigroupoids # failure in job https://hydra.nixos.org/build/233250360 at 2023-09-02 + - papa-prelude-semigroups # failure in job https://hydra.nixos.org/build/233199275 at 2023-09-02 + - papa-semigroupoids-implement # failure in job https://hydra.nixos.org/build/233234835 at 2023-09-02 + - paphragen # failure in job https://hydra.nixos.org/build/233206378 at 2023-09-02 + - papillon # failure in job https://hydra.nixos.org/build/233195439 at 2023-09-02 + - pappy # failure in job https://hydra.nixos.org/build/233213934 at 2023-09-02 + - paragon # failure in job https://hydra.nixos.org/build/233205056 at 2023-09-02 + - Parallel-Arrows-Definition # failure in job https://hydra.nixos.org/build/233234042 at 2023-09-02 + - parallel-tasks # failure in job https://hydra.nixos.org/build/233232488 at 2023-09-02 + - parameterized-data # failure in job https://hydra.nixos.org/build/233250720 at 2023-09-02 + - paranoia # failure in job https://hydra.nixos.org/build/233241355 at 2023-09-02 + - parco # failure in job https://hydra.nixos.org/build/233248490 at 2023-09-02 + - parcom-lib # failure in job https://hydra.nixos.org/build/233222095 at 2023-09-02 + - pareto # failure in job https://hydra.nixos.org/build/233216417 at 2023-09-02 + - paripari # failure in job https://hydra.nixos.org/build/233234391 at 2023-09-02 + - parochial # failure in job https://hydra.nixos.org/build/233239964 at 2023-09-02 + - PArrows # failure in job https://hydra.nixos.org/build/233232332 at 2023-09-02 + - Parry # failure in job https://hydra.nixos.org/build/233230444 at 2023-09-02 + - parsec2 # failure in job https://hydra.nixos.org/build/233225643 at 2023-09-02 + - parsec3 # failure in job https://hydra.nixos.org/build/233221004 at 2023-09-02 + - parsec-parsers # failure in job https://hydra.nixos.org/build/233219781 at 2023-09-02 + - parsec-pratt # failure in job https://hydra.nixos.org/build/233194863 at 2023-09-02 + - parseerror-eq # failure in job https://hydra.nixos.org/build/233250555 at 2023-09-02 + - parse # failure in job https://hydra.nixos.org/build/233246780 at 2023-09-02 + - parse-gcstats # failure in job https://hydra.nixos.org/build/233241500 at 2023-09-02 + - parsely # failure in job https://hydra.nixos.org/build/233205027 at 2023-09-02 + - parser241 # failure in job https://hydra.nixos.org/build/233238792 at 2023-09-02 + - parser-combinators-tests # failure in job https://hydra.nixos.org/build/233259610 at 2023-09-02 + - parsergen # failure in job https://hydra.nixos.org/build/233197332 at 2023-09-02 + - parser-helper # failure in job https://hydra.nixos.org/build/233198774 at 2023-09-02 + - parsers-megaparsec # failure in job https://hydra.nixos.org/build/233234736 at 2023-09-02 + - parser-unbiased-choice-monad-embedding # failure in job https://hydra.nixos.org/build/233258179 at 2023-09-02 + - parsimony # failure in job https://hydra.nixos.org/build/233230339 at 2023-09-02 + - parsix # failure in job https://hydra.nixos.org/build/233250899 at 2023-09-02 + - parsley-core # failure in job https://hydra.nixos.org/build/233240217 at 2023-09-02 + - parsnip # failure in job https://hydra.nixos.org/build/233229093 at 2023-09-02 + - partial-lens # failure in job https://hydra.nixos.org/build/233234761 at 2023-09-02 + - partial-records # failure in job https://hydra.nixos.org/build/233205143 at 2023-09-02 + - partly # failure in job https://hydra.nixos.org/build/233229003 at 2023-09-02 + - passage # failure in job https://hydra.nixos.org/build/233192945 at 2023-09-02 + - passman-core # failure in job https://hydra.nixos.org/build/233217997 at 2023-09-02 + - passman # failure in job https://hydra.nixos.org/build/233234939 at 2023-09-02 + - PasswordGenerator # failure in job https://hydra.nixos.org/build/233242634 at 2023-09-02 + - passwords # failure in job https://hydra.nixos.org/build/233234987 at 2023-09-02 + - pasta-curves # failure in job https://hydra.nixos.org/build/233196512 at 2023-09-02 + - pasta # failure in job https://hydra.nixos.org/build/233216549 at 2023-09-02 + - pastis # failure in job https://hydra.nixos.org/build/233218498 at 2023-09-02 + - pasty # failure in job https://hydra.nixos.org/build/233251812 at 2023-09-02 + - patches-vector # failure in job https://hydra.nixos.org/build/233244862 at 2023-09-02 + - Pathfinder # failure in job https://hydra.nixos.org/build/233236573 at 2023-09-02 + - path-formatting # failure in job https://hydra.nixos.org/build/233199358 at 2023-09-02 + - PathTree # failure in job https://hydra.nixos.org/build/233216203 at 2023-09-02 + - patronscraper # failure in job https://hydra.nixos.org/build/233258571 at 2023-09-02 + - pattern-trie # failure in job https://hydra.nixos.org/build/233237252 at 2023-09-02 + - paynow-zw # failure in job https://hydra.nixos.org/build/233221916 at 2023-09-02 + - paypal-adaptive-hoops # failure in job https://hydra.nixos.org/build/233244557 at 2023-09-02 + - paypal-api # failure in job https://hydra.nixos.org/build/233228585 at 2023-09-02 + - pbc4hs # failure in job https://hydra.nixos.org/build/233247062 at 2023-09-02 + - pb # failure in job https://hydra.nixos.org/build/233193210 at 2023-09-02 + - PBKDF2 # failure in job https://hydra.nixos.org/build/233201545 at 2023-09-02 + - pb-next # failure in job https://hydra.nixos.org/build/233217547 at 2023-09-02 + - pcd-loader # failure in job https://hydra.nixos.org/build/233230290 at 2023-09-02 + - pcf-font-embed # failure in job https://hydra.nixos.org/build/233246806 at 2023-09-02 + - pcgen # failure in job https://hydra.nixos.org/build/233195356 at 2023-09-02 + - PCLT # failure in job https://hydra.nixos.org/build/233246845 at 2023-09-02 + - pcre-light-extra # failure in job https://hydra.nixos.org/build/233194585 at 2023-09-02 + - pdfinfo # failure in job https://hydra.nixos.org/build/233214432 at 2023-09-02 + - pdf-slave-template # failure in job https://hydra.nixos.org/build/233217870 at 2023-09-02 + - pdf-toolbox-viewer # failure in job https://hydra.nixos.org/build/233196461 at 2023-09-02 + - pdftotext # failure in job https://hydra.nixos.org/build/233242086 at 2023-09-02 + - pdynload # failure in job https://hydra.nixos.org/build/233226504 at 2023-09-02 + - PeanoWitnesses # failure in job https://hydra.nixos.org/build/233207451 at 2023-09-02 + - pecoff # failure in job https://hydra.nixos.org/build/233231268 at 2023-09-02 + - pedestrian-dag # failure in job https://hydra.nixos.org/build/233258214 at 2023-09-02 + - peg # failure in job https://hydra.nixos.org/build/233219135 at 2023-09-02 + - peggy # failure in job https://hydra.nixos.org/build/233219739 at 2023-09-02 + - pencil # failure in job https://hydra.nixos.org/build/233241521 at 2023-09-02 + - penntreebank-megaparsec # failure in job https://hydra.nixos.org/build/233192370 at 2023-09-02 + - percent-encoder # failure in job https://hydra.nixos.org/build/233227242 at 2023-09-02 + - perceptron # failure in job https://hydra.nixos.org/build/233192246 at 2023-09-02 + - peregrin # failure in job https://hydra.nixos.org/build/233219228 at 2023-09-02 + - PerfectHash # failure in job https://hydra.nixos.org/build/233252581 at 2023-09-02 + - perfect-vector-shuffle # failure in job https://hydra.nixos.org/build/233195328 at 2023-09-02 + - perhaps # failure in job https://hydra.nixos.org/build/233206486 at 2023-09-02 + - periodic-common # failure in job https://hydra.nixos.org/build/233224868 at 2023-09-02 + - periodic # failure in job https://hydra.nixos.org/build/233192615 at 2023-09-02 + - periodic-polynomials # failure in job https://hydra.nixos.org/build/233251520 at 2023-09-02 + - permutation # failure in job https://hydra.nixos.org/build/233232155 at 2023-09-02 + - permute # failure in job https://hydra.nixos.org/build/233238641 at 2023-09-02 + - persist2er # failure in job https://hydra.nixos.org/build/233243953 at 2023-09-02 + - Persistence # failure in job https://hydra.nixos.org/build/233226467 at 2023-09-02 + - persistent-cereal # failure in job https://hydra.nixos.org/build/233240762 at 2023-09-02 + - persistent-database-url # failure in job https://hydra.nixos.org/build/233233601 at 2023-09-02 + - persistent-equivalence # failure in job https://hydra.nixos.org/build/233208713 at 2023-09-02 + - persistent-generic # failure in job https://hydra.nixos.org/build/233220060 at 2023-09-02 + - persistent-mongoDB # failure in job https://hydra.nixos.org/build/233207971 at 2023-09-02 + - persistent-odbc # failure in job https://hydra.nixos.org/build/233191221 at 2023-09-02 + - persistent-postgresql-streaming # failure in job https://hydra.nixos.org/build/233194038 at 2023-09-02 + - persistent-ratelimit # failure in job https://hydra.nixos.org/build/233224537 at 2023-09-02 + - persistent-stm # failure in job https://hydra.nixos.org/build/233234706 at 2023-09-02 + - persistent-template-classy # failure in job https://hydra.nixos.org/build/233230341 at 2023-09-02 + - persist # failure in job https://hydra.nixos.org/build/233234953 at 2023-09-02 + - persist-state # failure in job https://hydra.nixos.org/build/233249568 at 2023-09-02 + - persona # failure in job https://hydra.nixos.org/build/233209614 at 2023-09-02 + - pesca # failure in job https://hydra.nixos.org/build/233231301 at 2023-09-02 + - pez # failure in job https://hydra.nixos.org/build/233212139 at 2023-09-02 + - pgdl # failure in job https://hydra.nixos.org/build/233203790 at 2023-09-02 + - pg-extras # failure in job https://hydra.nixos.org/build/233253845 at 2023-09-02 + - pgf2 # failure in job https://hydra.nixos.org/build/233234332 at 2023-09-02 + - pg-harness # failure in job https://hydra.nixos.org/build/233219805 at 2023-09-02 + - pg-harness-server # failure in job https://hydra.nixos.org/build/233236493 at 2023-09-02 + - pg-recorder # failure in job https://hydra.nixos.org/build/233241248 at 2023-09-02 + - pgsql-simple # failure in job https://hydra.nixos.org/build/233228421 at 2023-09-02 + - pg-store # failure in job https://hydra.nixos.org/build/233208603 at 2023-09-02 + - pgstream # failure in job https://hydra.nixos.org/build/233201596 at 2023-09-02 + - pg-transact # failure in job https://hydra.nixos.org/build/233253337 at 2023-09-02 + - pgvector # failure in job https://hydra.nixos.org/build/233202205 at 2023-09-02 + - phasechange # failure in job https://hydra.nixos.org/build/233254293 at 2023-09-02 + - phaser # failure in job https://hydra.nixos.org/build/233250604 at 2023-09-02 + - phoityne # failure in job https://hydra.nixos.org/build/233195238 at 2023-09-02 + - phoityne-vscode # failure in job https://hydra.nixos.org/build/233190938 at 2023-09-02 + - phone-metadata # failure in job https://hydra.nixos.org/build/233256096 at 2023-09-02 + - phone-numbers # failure in job https://hydra.nixos.org/build/233217584 at 2023-09-02 + - phone-push # failure in job https://hydra.nixos.org/build/233246934 at 2023-09-02 + - phonetic-languages-constaints # failure in job https://hydra.nixos.org/build/233232580 at 2023-09-02 + - phonetic-languages-simplified-generalized-examples-array # failure in job https://hydra.nixos.org/build/233245242 at 2023-09-02 + - phonetic-languages-simplified-properties-array # failure in job https://hydra.nixos.org/build/233195530 at 2023-09-02 + - phonetic-languages-simplified-properties-array-old # failure in job https://hydra.nixos.org/build/233258258 at 2023-09-02 + - phonetic-languages-vector # failure in job https://hydra.nixos.org/build/233258156 at 2023-09-02 + - phraskell # failure in job https://hydra.nixos.org/build/233202906 at 2023-09-02 + - Phsu # failure in job https://hydra.nixos.org/build/233243595 at 2023-09-02 + - pia-forward # failure in job https://hydra.nixos.org/build/233219067 at 2023-09-02 + - pi-calculus # failure in job https://hydra.nixos.org/build/233247119 at 2023-09-02 + - picedit # failure in job https://hydra.nixos.org/build/233204167 at 2023-09-02 + - pickle # failure in job https://hydra.nixos.org/build/233255980 at 2023-09-02 + - picologic # failure in job https://hydra.nixos.org/build/233202882 at 2023-09-02 + - picoparsec # failure in job https://hydra.nixos.org/build/233251453 at 2023-09-02 + - pictikz # failure in job https://hydra.nixos.org/build/233240766 at 2023-09-02 + - pier-core # failure in job https://hydra.nixos.org/build/233234325 at 2023-09-02 + - piet # failure in job https://hydra.nixos.org/build/233259843 at 2023-09-02 + - pi-forall # failure in job https://hydra.nixos.org/build/233247486 at 2023-09-02 + - pig # failure in job https://hydra.nixos.org/build/233234188 at 2023-09-02 + - pi-hoole # failure in job https://hydra.nixos.org/build/233242115 at 2023-09-02 + - pi-lcd # failure in job https://hydra.nixos.org/build/233251924 at 2023-09-02 + - pinboard # failure in job https://hydra.nixos.org/build/233239482 at 2023-09-02 + - pinch-gen # failure in job https://hydra.nixos.org/build/233212466 at 2023-09-02 + - pinchot # failure in job https://hydra.nixos.org/build/233230585 at 2023-09-02 + - Pipe # failure in job https://hydra.nixos.org/build/233243604 at 2023-09-02 + - pipes-async # failure in job https://hydra.nixos.org/build/233194729 at 2023-09-02 + - pipes-bgzf # failure in job https://hydra.nixos.org/build/233238921 at 2023-09-02 + - pipes-break # failure in job https://hydra.nixos.org/build/233250730 at 2023-09-02 + - pipes-brotli # failure in job https://hydra.nixos.org/build/233254662 at 2023-09-02 + - pipes-bzip # failure in job https://hydra.nixos.org/build/233222309 at 2023-09-02 + - pipes-category # failure in job https://hydra.nixos.org/build/233200163 at 2023-09-02 + - pipes-cereal # failure in job https://hydra.nixos.org/build/233195413 at 2023-09-02 + - pipes-core # failure in job https://hydra.nixos.org/build/233213024 at 2023-09-02 + - pipes-errors # failure in job https://hydra.nixos.org/build/233214912 at 2023-09-02 + - pipes-interleave # failure in job https://hydra.nixos.org/build/233247428 at 2023-09-02 + - pipes-io # failure in job https://hydra.nixos.org/build/233243253 at 2023-09-02 + - pipes-lines # failure in job https://hydra.nixos.org/build/233243979 at 2023-09-02 + - pipes-lzma # failure in job https://hydra.nixos.org/build/233256730 at 2023-09-02 + - pipes-network-ws # failure in job https://hydra.nixos.org/build/233245816 at 2023-09-02 + - pipes-protolude # failure in job https://hydra.nixos.org/build/233216770 at 2023-09-02 + - pipes-rt # failure in job https://hydra.nixos.org/build/233192738 at 2023-09-02 + - pipes-s3 # failure in job https://hydra.nixos.org/build/233212176 at 2023-09-02 + - pipes-shell # failure in job https://hydra.nixos.org/build/233201295 at 2023-09-02 + - pipes-sqlite-simple # failure in job https://hydra.nixos.org/build/233192011 at 2023-09-02 + - pipes-transduce # failure in job https://hydra.nixos.org/build/233255687 at 2023-09-02 + - pipes-vector # failure in job https://hydra.nixos.org/build/233230658 at 2023-09-02 + - pipes-zeromq4 # failure in job https://hydra.nixos.org/build/233225144 at 2023-09-02 + - Piso # failure in job https://hydra.nixos.org/build/233248525 at 2023-09-02 + - pit # failure in job https://hydra.nixos.org/build/233231533 at 2023-09-02 + - pivotal-tracker # failure in job https://hydra.nixos.org/build/233247891 at 2023-09-02 + - pixela # failure in job https://hydra.nixos.org/build/233212880 at 2023-09-02 + - pixelated-avatar-generator # failure in job https://hydra.nixos.org/build/233226212 at 2023-09-02 + - pixel-printer # failure in job https://hydra.nixos.org/build/233238475 at 2023-09-02 + - pixiv # failure in job https://hydra.nixos.org/build/233192807 at 2023-09-02 + - pkcs10 # failure in job https://hydra.nixos.org/build/233212551 at 2023-09-02 + - pkcs7 # failure in job https://hydra.nixos.org/build/233191635 at 2023-09-02 + - pkggraph # failure in job https://hydra.nixos.org/build/233238971 at 2023-09-02 + - plailude # failure in job https://hydra.nixos.org/build/233233772 at 2023-09-02 + - plan-applicative # failure in job https://hydra.nixos.org/build/233202905 at 2023-09-02 + - planar-graph # failure in job https://hydra.nixos.org/build/233217108 at 2023-09-02 + - plan-b # failure in job https://hydra.nixos.org/build/233255860 at 2023-09-02 + - planb-token-introspection # failure in job https://hydra.nixos.org/build/233226890 at 2023-09-02 + - planet-mitchell-test # failure in job https://hydra.nixos.org/build/233198054 at 2023-09-02 + - plankton # failure in job https://hydra.nixos.org/build/233216254 at 2023-09-02 + - plat # failure in job https://hydra.nixos.org/build/233205071 at 2023-09-02 + - platinum-parsing # failure in job https://hydra.nixos.org/build/233225071 at 2023-09-02 + - PlayingCards # failure in job https://hydra.nixos.org/build/233239100 at 2023-09-02 + - playlists # failure in job https://hydra.nixos.org/build/233240151 at 2023-09-02 + - plist-buddy # failure in job https://hydra.nixos.org/build/233199181 at 2023-09-02 + - plist # failure in job https://hydra.nixos.org/build/233233906 at 2023-09-02 + - plivo # failure in job https://hydra.nixos.org/build/233256647 at 2023-09-02 + - ploterific # failure in job https://hydra.nixos.org/build/233228102 at 2023-09-02 + - plot-gtk3 # failure in job https://hydra.nixos.org/build/233202048 at 2023-09-02 + - plot-gtk # failure in job https://hydra.nixos.org/build/233241286 at 2023-09-02 + - plot-gtk-ui # failure in job https://hydra.nixos.org/build/233205192 at 2023-09-02 + - plot-lab # failure in job https://hydra.nixos.org/build/233242283 at 2023-09-02 + - plots # failure in job https://hydra.nixos.org/build/233207279 at 2023-09-02 + - plow-log-async # failure in job https://hydra.nixos.org/build/233201736 at 2023-09-02 + - plugins # failure in job https://hydra.nixos.org/build/233239631 at 2023-09-02 + - plugins-multistage # failure in job https://hydra.nixos.org/build/233205493 at 2023-09-02 + - plumbers # failure in job https://hydra.nixos.org/build/233221866 at 2023-09-02 + - plural # failure in job https://hydra.nixos.org/build/233198934 at 2023-09-02 + - plur # failure in job https://hydra.nixos.org/build/233229752 at 2023-09-02 + - plzwrk # failure in job https://hydra.nixos.org/build/233219630 at 2023-09-02 + - pngload-fixed # failure in job https://hydra.nixos.org/build/233233956 at 2023-09-02 + - pocket # failure in job https://hydra.nixos.org/build/233244120 at 2023-09-02 + - podenv # failure in job https://hydra.nixos.org/build/233210257 at 2023-09-02 + - pointedalternative # failure in job https://hydra.nixos.org/build/233205911 at 2023-09-02 + - pointfree-fancy # failure in job https://hydra.nixos.org/build/233229134 at 2023-09-02 + - pointful # failure in job https://hydra.nixos.org/build/233212599 at 2023-09-02 + - pointless-haskell # failure in job https://hydra.nixos.org/build/233209713 at 2023-09-02 + - pokemon-go-protobuf-types # failure in job https://hydra.nixos.org/build/233218347 at 2023-09-02 + - poker-base # failure in job https://hydra.nixos.org/build/233221473 at 2023-09-02 + - poker-eval # failure in job https://hydra.nixos.org/build/233259714 at 2023-09-02 + - pokitdok # failure in job https://hydra.nixos.org/build/233251624 at 2023-09-02 + - polar-configfile # failure in job https://hydra.nixos.org/build/233194279 at 2023-09-02 + - polar-shader # failure in job https://hydra.nixos.org/build/233258190 at 2023-09-02 + - policeman # failure in job https://hydra.nixos.org/build/233199941 at 2023-09-02 + - polling-cache # failure in job https://hydra.nixos.org/build/233211378 at 2023-09-02 + - Pollutocracy # failure in job https://hydra.nixos.org/build/234458943 at 2023-09-13 + - poly-cont # failure in job https://hydra.nixos.org/build/233223995 at 2023-09-02 + - poly-control # failure in job https://hydra.nixos.org/build/233190876 at 2023-09-02 + - polydata-core # failure in job https://hydra.nixos.org/build/233232049 at 2023-09-02 + - poly # failure in job https://hydra.nixos.org/build/233255655 at 2023-09-02 + - polyglot # failure in job https://hydra.nixos.org/build/233218267 at 2023-09-02 + - polynom # failure in job https://hydra.nixos.org/build/233237353 at 2023-09-02 + - polynomial # failure in job https://hydra.nixos.org/build/233242131 at 2023-09-02 + - polysemy-keyed-state # failure in job https://hydra.nixos.org/build/233224142 at 2023-09-02 + - polysemy-kvstore # failure in job https://hydra.nixos.org/build/233229745 at 2023-09-02 + - polysemy-managed # failure in job https://hydra.nixos.org/build/233221190 at 2023-09-02 + - polysemy-optics # failure in job https://hydra.nixos.org/build/233219159 at 2023-09-02 + - polysemy-process # failure in job https://hydra.nixos.org/build/233238650 at 2023-09-02 + - polysemy-readline # failure in job https://hydra.nixos.org/build/233219007 at 2023-09-02 + - polysemy-req # failure in job https://hydra.nixos.org/build/233224435 at 2023-09-02 + - polysemy-several # failure in job https://hydra.nixos.org/build/233216921 at 2023-09-02 + - polysemy-socket # failure in job https://hydra.nixos.org/build/233195754 at 2023-09-02 + - polyseq # failure in job https://hydra.nixos.org/build/233191210 at 2023-09-02 + - polytypeable # failure in job https://hydra.nixos.org/build/233211797 at 2023-09-02 + - polyvariadic # failure in job https://hydra.nixos.org/build/233250822 at 2023-09-02 + - pomaps # failure in job https://hydra.nixos.org/build/233246145 at 2023-09-02 + - pomohoro # failure in job https://hydra.nixos.org/build/233244601 at 2023-09-02 + - ponder # failure in job https://hydra.nixos.org/build/233223646 at 2023-09-02 + - pong-server # failure in job https://hydra.nixos.org/build/233194974 at 2023-09-02 + - pontarius-xmpp-extras # failure in job https://hydra.nixos.org/build/233246212 at 2023-09-02 + - pontarius-xpmn # failure in job https://hydra.nixos.org/build/233217546 at 2023-09-02 + - poolboy # failure in job https://hydra.nixos.org/build/233195085 at 2023-09-02 + - pool-conduit # failure in job https://hydra.nixos.org/build/233246643 at 2023-09-02 + - pool # failure in job https://hydra.nixos.org/build/233205364 at 2023-09-02 + - pop3-client # failure in job https://hydra.nixos.org/build/233251475 at 2023-09-02 + - popkey # failure in job https://hydra.nixos.org/build/233203892 at 2023-09-02 + - poppler # failure in job https://hydra.nixos.org/build/233196044 at 2023-09-02 + - porpoise # failure in job https://hydra.nixos.org/build/233191117 at 2023-09-02 + - portager # failure in job https://hydra.nixos.org/build/233192963 at 2023-09-02 + - porte # failure in job https://hydra.nixos.org/build/233224391 at 2023-09-02 + - PortFusion # failure in job https://hydra.nixos.org/build/233248354 at 2023-09-02 + - posable # failure in job https://hydra.nixos.org/build/233217897 at 2023-09-02 + - posit # failure in job https://hydra.nixos.org/build/233229714 at 2023-09-02 + - positron # failure in job https://hydra.nixos.org/build/233256252 at 2023-09-02 + - posix-acl # failure in job https://hydra.nixos.org/build/233222892 at 2023-09-02 + - posix-api # failure in job https://hydra.nixos.org/build/233240089 at 2023-09-02 + - posix-realtime # failure in job https://hydra.nixos.org/build/233191463 at 2023-09-02 + - posix-waitpid # failure in job https://hydra.nixos.org/build/233206551 at 2023-09-02 + - posplyu # failure in job https://hydra.nixos.org/build/233230437 at 2023-09-02 + - postcodes # failure in job https://hydra.nixos.org/build/233247290 at 2023-09-02 + - postgres-embedded # failure in job https://hydra.nixos.org/build/233246098 at 2023-09-02 + - postgresql-common # failure in job https://hydra.nixos.org/build/233257104 at 2023-09-02 + - postgresql-config # failure in job https://hydra.nixos.org/build/233197788 at 2023-09-02 + - postgresql-cube # failure in job https://hydra.nixos.org/build/233195283 at 2023-09-02 + - PostgreSQL # failure in job https://hydra.nixos.org/build/233258066 at 2023-09-02 + - postgresql-lo-stream # failure in job https://hydra.nixos.org/build/233194012 at 2023-09-02 + - postgresql-ltree # failure in job https://hydra.nixos.org/build/233199998 at 2023-09-02 + - postgresql-named # failure in job https://hydra.nixos.org/build/233241920 at 2023-09-02 + - postgresql-orm # failure in job https://hydra.nixos.org/build/233219383 at 2023-09-02 + - postgresql-query # failure in job https://hydra.nixos.org/build/233202114 at 2023-09-02 + - postgresql-replicant # failure in job https://hydra.nixos.org/build/233247943 at 2023-09-02 + - postgresql-resilient # failure in job https://hydra.nixos.org/build/233212362 at 2023-09-02 + - postgresql-simple-bind # failure in job https://hydra.nixos.org/build/233220640 at 2023-09-02 + - postgresql-simple-migration # failure in job https://hydra.nixos.org/build/233222723 at 2023-09-02 + - postgresql-simple-named # failure in job https://hydra.nixos.org/build/233202481 at 2023-09-02 + - postgresql-simple-sop # failure in job https://hydra.nixos.org/build/233249757 at 2023-09-02 + - postgresql-tx-monad-logger # failure in job https://hydra.nixos.org/build/233227034 at 2023-09-02 + - postgresql-tx-simple # failure in job https://hydra.nixos.org/build/233242850 at 2023-09-02 + - postgresql-typed-lifted # failure in job https://hydra.nixos.org/build/233215141 at 2023-09-02 + - postgres-tmp # failure in job https://hydra.nixos.org/build/233258685 at 2023-09-02 + - postgrest-ws # failure in job https://hydra.nixos.org/build/233247807 at 2023-09-02 + - postgres-websockets # failure in job https://hydra.nixos.org/build/233199923 at 2023-09-02 + - postie # failure in job https://hydra.nixos.org/build/233259075 at 2023-09-02 + - postmark-streams # failure in job https://hydra.nixos.org/build/233233210 at 2023-09-02 + - postmaster # failure in job https://hydra.nixos.org/build/233258599 at 2023-09-02 + - potato-tool # failure in job https://hydra.nixos.org/build/233242728 at 2023-09-02 + - potoki-core # failure in job https://hydra.nixos.org/build/233218616 at 2023-09-02 + - powerdns # failure in job https://hydra.nixos.org/build/233213008 at 2023-09-02 + - powermate # failure in job https://hydra.nixos.org/build/233224977 at 2023-09-02 + - powerpc # failure in job https://hydra.nixos.org/build/233217983 at 2023-09-02 + - powerqueue-levelmem # failure in job https://hydra.nixos.org/build/233232882 at 2023-09-02 + - pprecord # failure in job https://hydra.nixos.org/build/233198838 at 2023-09-02 + - PPrinter # failure in job https://hydra.nixos.org/build/233253160 at 2023-09-02 + - pqc # failure in job https://hydra.nixos.org/build/233217425 at 2023-09-02 + - praglude # failure in job https://hydra.nixos.org/build/233227990 at 2023-09-02 + - preamble # failure in job https://hydra.nixos.org/build/233214735 at 2023-09-02 + - precis # failure in job https://hydra.nixos.org/build/233218390 at 2023-09-02 + - precursor # failure in job https://hydra.nixos.org/build/233243544 at 2023-09-02 + - predicate-class # failure in job https://hydra.nixos.org/build/233229898 at 2023-09-02 + - predicate-typed # failure in job https://hydra.nixos.org/build/233202614 at 2023-09-02 + - prednote # failure in job https://hydra.nixos.org/build/233253938 at 2023-09-02 + - prefork # failure in job https://hydra.nixos.org/build/233213524 at 2023-09-02 + - pregame # failure in job https://hydra.nixos.org/build/233206528 at 2023-09-02 + - preliminaries # failure in job https://hydra.nixos.org/build/233210546 at 2023-09-02 + - Prelude # failure in job https://hydra.nixos.org/build/233198144 at 2023-09-02 + - prelude-generalize # failure in job https://hydra.nixos.org/build/233197167 at 2023-09-02 + - prelude-plus # failure in job https://hydra.nixos.org/build/233252792 at 2023-09-02 + - preprocess-haskell # failure in job https://hydra.nixos.org/build/233212371 at 2023-09-02 + - preprocessor # failure in job https://hydra.nixos.org/build/233225468 at 2023-09-02 + - preql # failure in job https://hydra.nixos.org/build/233192349 at 2023-09-02 + - presburger # failure in job https://hydra.nixos.org/build/233200745 at 2023-09-02 + - present # failure in job https://hydra.nixos.org/build/233247937 at 2023-09-02 + - press # failure in job https://hydra.nixos.org/build/233244648 at 2023-09-02 + - pretty-compact # failure in job https://hydra.nixos.org/build/233230012 at 2023-09-02 + - pretty-ghci # failure in job https://hydra.nixos.org/build/233236777 at 2023-09-02 + - pretty-loc # failure in job https://hydra.nixos.org/build/233198098 at 2023-09-02 + - pretty-ncols # failure in job https://hydra.nixos.org/build/233220264 at 2023-09-02 + - prettyprinter-vty # failure in job https://hydra.nixos.org/build/233251729 at 2023-09-02 + - primal # failure in job https://hydra.nixos.org/build/233260049 at 2023-09-02 + - prim-array # failure in job https://hydra.nixos.org/build/233220574 at 2023-09-02 + - prime # failure in job https://hydra.nixos.org/build/233197550 at 2023-09-02 + - primes-type # failure in job https://hydra.nixos.org/build/233258302 at 2023-09-02 + - prim # failure in job https://hydra.nixos.org/build/233215988 at 2023-09-02 + - prim-instances # failure in job https://hydra.nixos.org/build/233215690 at 2023-09-02 + - PrimitiveArray # failure in job https://hydra.nixos.org/build/233204574 at 2023-09-02 + - PrimitiveArray-Pretty # failure in job https://hydra.nixos.org/build/233234197 at 2023-09-02 + - primitive-atomic # failure in job https://hydra.nixos.org/build/233219043 at 2023-09-02 + - primitive-checked # failure in job https://hydra.nixos.org/build/233211674 at 2023-09-02 + - primitive-convenience # failure in job https://hydra.nixos.org/build/233223846 at 2023-09-02 + - primitive-foreign # failure in job https://hydra.nixos.org/build/233247413 at 2023-09-02 + - primitive-indexed # failure in job https://hydra.nixos.org/build/233245884 at 2023-09-02 + - primitive-maybe # failure in job https://hydra.nixos.org/build/233205279 at 2023-09-02 + - primitive-primvar # failure in job https://hydra.nixos.org/build/233213521 at 2023-09-02 + - primitive-simd # failure in job https://hydra.nixos.org/build/233247045 at 2023-09-02 + - primitive-slice # failure in job https://hydra.nixos.org/build/233245739 at 2023-09-02 + - primitive-sort # failure in job https://hydra.nixos.org/build/233233750 at 2023-09-02 + - primitive-stablename # failure in job https://hydra.nixos.org/build/233251421 at 2023-09-02 + - prim-ref # failure in job https://hydra.nixos.org/build/233226138 at 2023-09-02 + - pringletons # failure in job https://hydra.nixos.org/build/233246491 at 2023-09-02 + - printcess # failure in job https://hydra.nixos.org/build/233212768 at 2023-09-02 + - print-debugger # failure in job https://hydra.nixos.org/build/233229154 at 2023-09-02 + - print-info # failure in job https://hydra.nixos.org/build/233246545 at 2023-09-02 + - prints # failure in job https://hydra.nixos.org/build/233201961 at 2023-09-02 + - PriorityChansConverger # failure in job https://hydra.nixos.org/build/233217782 at 2023-09-02 + - priority-queue # failure in job https://hydra.nixos.org/build/233253122 at 2023-09-02 + - pro-abstract # failure in job https://hydra.nixos.org/build/233213330 at 2023-09-02 + - probable # failure in job https://hydra.nixos.org/build/233243771 at 2023-09-02 + - prob-fx # failure in job https://hydra.nixos.org/build/233239940 at 2023-09-02 + - Probnet # failure in job https://hydra.nixos.org/build/233190989 at 2023-09-02 + - process-conduit # failure in job https://hydra.nixos.org/build/233225334 at 2023-09-02 + - processing # failure in job https://hydra.nixos.org/build/233232969 at 2023-09-02 + - process-leksah # failure in job https://hydra.nixos.org/build/233256114 at 2023-09-02 + - process-listlike # failure in job https://hydra.nixos.org/build/233194645 at 2023-09-02 + - processmemory # failure in job https://hydra.nixos.org/build/233217444 at 2023-09-02 + - process-sequential # failure in job https://hydra.nixos.org/build/233221064 at 2023-09-02 + - procrastinating-variable # failure in job https://hydra.nixos.org/build/233229350 at 2023-09-02 + - procstat # failure in job https://hydra.nixos.org/build/233256320 at 2023-09-02 + - product-isomorphic # failure in job https://hydra.nixos.org/build/233230736 at 2023-09-02 + - prof2pretty # failure in job https://hydra.nixos.org/build/233240665 at 2023-09-02 + - prof-flamegraph # failure in job https://hydra.nixos.org/build/233254675 at 2023-09-02 + - profiteur # failure in job https://hydra.nixos.org/build/233216916 at 2023-09-02 + - profunctor-monad # failure in job https://hydra.nixos.org/build/233190940 at 2023-09-02 + - progression # failure in job https://hydra.nixos.org/build/233256355 at 2023-09-02 + - progressive # failure in job https://hydra.nixos.org/build/233228075 at 2023-09-02 + - progress-meter # failure in job https://hydra.nixos.org/build/233222787 at 2023-09-02 + - progress-reporting # failure in job https://hydra.nixos.org/build/233200528 at 2023-09-02 + - proj4-hs-bindings # failure in job https://hydra.nixos.org/build/233220980 at 2023-09-02 + - project-forge # failure in job https://hydra.nixos.org/build/233253798 at 2023-09-02 + - projectile # failure in job https://hydra.nixos.org/build/233220390 at 2023-09-02 + - prolens # failure in job https://hydra.nixos.org/build/233232251 at 2023-09-02 + - prolog # failure in job https://hydra.nixos.org/build/233259542 at 2023-09-02 + - prometheus-effect # failure in job https://hydra.nixos.org/build/233215984 at 2023-09-02 + - prometheus-wai-middleware # failure in job https://hydra.nixos.org/build/233239230 at 2023-09-02 + - promise # failure in job https://hydra.nixos.org/build/233228578 at 2023-09-02 + - pronounce # failure in job https://hydra.nixos.org/build/233234844 at 2023-09-02 + - proof-assistant-bot # failure in job https://hydra.nixos.org/build/234974688 at 2023-09-13 + - proof-combinators # failure in job https://hydra.nixos.org/build/233210521 at 2023-09-02 + - PropaFP # failure in job https://hydra.nixos.org/build/233206496 at 2023-09-02 + - Proper # failure in job https://hydra.nixos.org/build/233194417 at 2023-09-02 + - properties # failure in job https://hydra.nixos.org/build/233240862 at 2023-09-02 + - property-list # failure in job https://hydra.nixos.org/build/233254907 at 2023-09-02 + - prop-unit # failure in job https://hydra.nixos.org/build/233233874 at 2023-09-02 + - prosidy # failure in job https://hydra.nixos.org/build/233249779 at 2023-09-02 + - pro-source # failure in job https://hydra.nixos.org/build/233226793 at 2023-09-02 + - prosper # failure in job https://hydra.nixos.org/build/233244079 at 2023-09-02 + - proteaaudio # failure in job https://hydra.nixos.org/build/233225498 at 2023-09-02 + - proto3-wire # failure in job https://hydra.nixos.org/build/233208217 at 2023-09-02 + - protocol-buffers # failure in job https://hydra.nixos.org/build/233220653 at 2023-09-02 + - protocol-buffers-fork # failure in job https://hydra.nixos.org/build/233228361 at 2023-09-02 + - protocol # failure in job https://hydra.nixos.org/build/233224436 at 2023-09-02 + - proto-lens-arbitrary # failure in job https://hydra.nixos.org/build/233239393 at 2023-09-02 + - protolude-lifted # failure in job https://hydra.nixos.org/build/233196312 at 2023-09-02 + - proton-haskell # failure in job https://hydra.nixos.org/build/233214383 at 2023-09-02 + - prototype # failure in job https://hydra.nixos.org/build/233238810 at 2023-09-02 + - prove-everywhere-server # failure in job https://hydra.nixos.org/build/233204606 at 2023-09-02 + - provenience # failure in job https://hydra.nixos.org/build/233247246 at 2023-09-02 + - proxy-kindness # failure in job https://hydra.nixos.org/build/233204762 at 2023-09-02 + - proxy-mapping # failure in job https://hydra.nixos.org/build/233227151 at 2023-09-02 + - prune-juice # failure in job https://hydra.nixos.org/build/233198633 at 2023-09-02 + - pseudo-trie # failure in job https://hydra.nixos.org/build/233230636 at 2023-09-02 + - psi # failure in job https://hydra.nixos.org/build/233222861 at 2023-09-02 + - pstemmer # failure in job https://hydra.nixos.org/build/233241342 at 2023-09-02 + - psx # failure in job https://hydra.nixos.org/build/233199666 at 2023-09-02 + - PTQ # failure in job https://hydra.nixos.org/build/233202571 at 2023-09-02 + - pub # failure in job https://hydra.nixos.org/build/233255415 at 2023-09-02 + - publicsuffix # failure in job https://hydra.nixos.org/build/233241572 at 2023-09-02 + - publicsuffixlistcreate # failure in job https://hydra.nixos.org/build/233251430 at 2023-09-02 + - publish # failure in job https://hydra.nixos.org/build/233247247 at 2023-09-02 + - pubnub # failure in job https://hydra.nixos.org/build/233221264 at 2023-09-02 + - pubsub # failure in job https://hydra.nixos.org/build/233257241 at 2023-09-02 + - pugixml # failure in job https://hydra.nixos.org/build/233241247 at 2023-09-02 + - pugs-DrIFT # failure in job https://hydra.nixos.org/build/233216584 at 2023-09-02 + - pugs-HsSyck # failure in job https://hydra.nixos.org/build/233242766 at 2023-09-02 + - PUH-Project # failure in job https://hydra.nixos.org/build/233238918 at 2023-09-02 + - Pup-Events-Server # failure in job https://hydra.nixos.org/build/233198287 at 2023-09-02 + - pure-io # failure in job https://hydra.nixos.org/build/233235168 at 2023-09-02 + - pure-priority-queue # failure in job https://hydra.nixos.org/build/233258014 at 2023-09-02 + - purescript-ast # failure in job https://hydra.nixos.org/build/233204157 at 2023-09-02 + - purescript-cst # failure in job https://hydra.nixos.org/build/233197178 at 2023-09-02 + - pure-zlib # failure in job https://hydra.nixos.org/build/233241392 at 2023-09-02 + - purview # failure in job https://hydra.nixos.org/build/233208821 at 2023-09-02 + - pushbullet # failure in job https://hydra.nixos.org/build/233209340 at 2023-09-02 + - pushbullet-types # failure in job https://hydra.nixos.org/build/233242388 at 2023-09-02 + - pusher-haskell # failure in job https://hydra.nixos.org/build/233201954 at 2023-09-02 + - pusher-ws # failure in job https://hydra.nixos.org/build/233204133 at 2023-09-02 + - pushme # failure in job https://hydra.nixos.org/build/233212481 at 2023-09-02 + - push-notifications # failure in job https://hydra.nixos.org/build/233199364 at 2023-09-02 + - putlenses # failure in job https://hydra.nixos.org/build/233197372 at 2023-09-02 + - puzzle-draw # failure in job https://hydra.nixos.org/build/233204953 at 2023-09-02 + - pvector # failure in job https://hydra.nixos.org/build/233217965 at 2023-09-02 + - pyffi # failure in job https://hydra.nixos.org/build/233260156 at 2023-09-02 + - pyfi # failure in job https://hydra.nixos.org/build/233214389 at 2023-09-02 + - python-pickle # failure in job https://hydra.nixos.org/build/233230321 at 2023-09-02 + - q4c12-twofinger # failure in job https://hydra.nixos.org/build/233190771 at 2023-09-02 + - qc-oi-testgenerator # failure in job https://hydra.nixos.org/build/233197822 at 2023-09-02 + - qd # failure in job https://hydra.nixos.org/build/233213936 at 2023-09-02 + - qed # failure in job https://hydra.nixos.org/build/233249635 at 2023-09-02 + - qhull-simple # failure in job https://hydra.nixos.org/build/233248108 at 2023-09-02 + - qif # failure in job https://hydra.nixos.org/build/233227609 at 2023-09-02 + - QIO # failure in job https://hydra.nixos.org/build/233233009 at 2023-09-02 + - QLearn # failure in job https://hydra.nixos.org/build/233252190 at 2023-09-02 + - qlinear # failure in job https://hydra.nixos.org/build/233214014 at 2023-09-02 + - qrcode # failure in job https://hydra.nixos.org/build/233225438 at 2023-09-02 + - qr-imager # failure in job https://hydra.nixos.org/build/233201973 at 2023-09-02 + - qt # failure in job https://hydra.nixos.org/build/233248869 at 2023-09-02 + - QuadEdge # failure in job https://hydra.nixos.org/build/233249144 at 2023-09-02 + - QuadTree # failure in job https://hydra.nixos.org/build/233234922 at 2023-09-02 + - qualified-imports-plugin # failure in job https://hydra.nixos.org/build/233234707 at 2023-09-02 + - quandl-api # failure in job https://hydra.nixos.org/build/233219173 at 2023-09-02 + - quantification # failure in job https://hydra.nixos.org/build/233217183 at 2023-09-02 + - quantum-arrow # failure in job https://hydra.nixos.org/build/233219576 at 2023-09-02 + - quarantimer # failure in job https://hydra.nixos.org/build/233598108 at 2023-09-02 + - qudb # failure in job https://hydra.nixos.org/build/233238799 at 2023-09-02 + - quenya-verb # failure in job https://hydra.nixos.org/build/233209006 at 2023-09-02 + - querystring-pickle # failure in job https://hydra.nixos.org/build/233246108 at 2023-09-02 + - questioner # failure in job https://hydra.nixos.org/build/233213704 at 2023-09-02 + - quibble-core # failure in job https://hydra.nixos.org/build/233200635 at 2023-09-02 + - quic # failure in job https://hydra.nixos.org/build/233238400 at 2023-09-02 + - QuickAnnotate # failure in job https://hydra.nixos.org/build/233197428 at 2023-09-02 + - quickbooks # failure in job https://hydra.nixos.org/build/233227666 at 2023-09-02 + - quickcheck-arbitrary-template # failure in job https://hydra.nixos.org/build/233223045 at 2023-09-02 + - quickcheck-combinators # failure in job https://hydra.nixos.org/build/233209131 at 2023-09-02 + - quickcheck-dynamic # failure in job https://hydra.nixos.org/build/233248273 at 2023-09-02 + - quickcheck-property-comb # failure in job https://hydra.nixos.org/build/233204877 at 2023-09-02 + - quickcheck-property-monad # failure in job https://hydra.nixos.org/build/233228775 at 2023-09-02 + - quickcheck-rematch # failure in job https://hydra.nixos.org/build/233205449 at 2023-09-02 + - quickcheck-report # failure in job https://hydra.nixos.org/build/233214523 at 2023-09-02 + - QuickCheckVariant # failure in job https://hydra.nixos.org/build/233239276 at 2023-09-02 + - quickcheck-webdriver # failure in job https://hydra.nixos.org/build/233228000 at 2023-09-02 + - quickjs-hs # failure in job https://hydra.nixos.org/build/233248440 at 2023-09-02 + - quickpull # failure in job https://hydra.nixos.org/build/233238642 at 2023-09-02 + - quick-schema # failure in job https://hydra.nixos.org/build/233256519 at 2023-09-02 + - quickset # failure in job https://hydra.nixos.org/build/233236904 at 2023-09-02 + - Quickson # failure in job https://hydra.nixos.org/build/233195101 at 2023-09-02 + - quickson # failure in job https://hydra.nixos.org/build/233216697 at 2023-09-02 + - quickspec # failure in job https://hydra.nixos.org/build/233196573 at 2023-09-02 + - quickwebapp # failure in job https://hydra.nixos.org/build/233208251 at 2023-09-02 + - quipper-core # failure in job https://hydra.nixos.org/build/233200962 at 2023-09-02 + - quiver # failure in job https://hydra.nixos.org/build/233230395 at 2023-09-02 + - quokka # failure in job https://hydra.nixos.org/build/233196347 at 2023-09-02 + - quoridor-hs # failure in job https://hydra.nixos.org/build/233217459 at 2023-09-02 + - RabbitMQ # failure in job https://hydra.nixos.org/build/233222087 at 2023-09-02 + - rad # failure in job https://hydra.nixos.org/build/233248990 at 2023-09-02 + - radian # failure in job https://hydra.nixos.org/build/233230029 at 2023-09-02 + - radium # failure in job https://hydra.nixos.org/build/233205347 at 2023-09-02 + - radium-formula-parser # failure in job https://hydra.nixos.org/build/233259018 at 2023-09-02 + - radix # failure in job https://hydra.nixos.org/build/233241258 at 2023-09-02 + - rados-haskell # failure in job https://hydra.nixos.org/build/233212247 at 2023-09-02 + - raft # failure in job https://hydra.nixos.org/build/233248392 at 2023-09-02 + - rakhana # failure in job https://hydra.nixos.org/build/233256901 at 2023-09-02 + - rakuten # failure in job https://hydra.nixos.org/build/233196803 at 2023-09-02 + - ralist # failure in job https://hydra.nixos.org/build/233195682 at 2023-09-02 + - raml # failure in job https://hydra.nixos.org/build/233212517 at 2023-09-02 + - rando # failure in job https://hydra.nixos.org/build/233257817 at 2023-09-02 + - random-access-list # failure in job https://hydra.nixos.org/build/233233464 at 2023-09-02 + - random-cycle # failure in job https://hydra.nixos.org/build/233208007 at 2023-09-02 + - random-derive # failure in job https://hydra.nixos.org/build/233222005 at 2023-09-02 + - RandomDotOrg # failure in job https://hydra.nixos.org/build/233229709 at 2023-09-02 + - random-eff # failure in job https://hydra.nixos.org/build/233255496 at 2023-09-02 + - Randometer # failure in job https://hydra.nixos.org/build/233231023 at 2023-09-02 + - random-source # failure in job https://hydra.nixos.org/build/233254664 at 2023-09-02 + - random-stream # failure in job https://hydra.nixos.org/build/233240384 at 2023-09-02 + - random-string # failure in job https://hydra.nixos.org/build/233223504 at 2023-09-02 + - rand-vars # failure in job https://hydra.nixos.org/build/233219255 at 2023-09-02 + - Range # failure in job https://hydra.nixos.org/build/233235824 at 2023-09-02 + - rangemin # failure in job https://hydra.nixos.org/build/233244031 at 2023-09-02 + - rank1dynamic # failure in job https://hydra.nixos.org/build/233229881 at 2023-09-02 + - rank-product # failure in job https://hydra.nixos.org/build/233239589 at 2023-09-02 + - rapid # failure in job https://hydra.nixos.org/build/233223077 at 2023-09-02 + - rapid-term # failure in job https://hydra.nixos.org/build/233251731 at 2023-09-02 + - Rasenschach # failure in job https://hydra.nixos.org/build/234445901 at 2023-09-13 + - rating-chgk-info # failure in job https://hydra.nixos.org/build/233598034 at 2023-09-02 + - rational-list # failure in job https://hydra.nixos.org/build/233197144 at 2023-09-02 + - rattle # failure in job https://hydra.nixos.org/build/233234335 at 2023-09-02 + - rattletrap # failure in job https://hydra.nixos.org/build/233206840 at 2023-09-02 + - raven-haskell-scotty # failure in job https://hydra.nixos.org/build/233244270 at 2023-09-02 + - raylib-imgui # failure in job https://hydra.nixos.org/build/233222471 at 2023-09-02 + - raz # failure in job https://hydra.nixos.org/build/233218482 at 2023-09-02 + - rbst # failure in job https://hydra.nixos.org/build/233238184 at 2023-09-02 + - rclient # failure in job https://hydra.nixos.org/build/233239290 at 2023-09-02 + - rdf4h # failure in job https://hydra.nixos.org/build/233234057 at 2023-09-02 + - react-flux # failure in job https://hydra.nixos.org/build/233246819 at 2023-09-02 + - react-haskell # failure in job https://hydra.nixos.org/build/233242976 at 2023-09-02 + - reaction-logic # failure in job https://hydra.nixos.org/build/233216789 at 2023-09-02 + - reactive-bacon # failure in job https://hydra.nixos.org/build/233238838 at 2023-09-02 + - reactive-banana-automation # failure in job https://hydra.nixos.org/build/233199860 at 2023-09-02 + - reactive-banana-gi-gtk # failure in job https://hydra.nixos.org/build/233219417 at 2023-09-02 + - reactive-banana-sdl2 # failure in job https://hydra.nixos.org/build/233235324 at 2023-09-02 + - reactive-banana-threepenny # failure in job https://hydra.nixos.org/build/233216958 at 2023-09-02 + - reactive-thread # failure in job https://hydra.nixos.org/build/233257806 at 2023-09-02 + - react-tutorial-haskell-server # failure in job https://hydra.nixos.org/build/233201256 at 2023-09-02 + - readability # failure in job https://hydra.nixos.org/build/233205178 at 2023-09-02 + - read-bounded # failure in job https://hydra.nixos.org/build/233195369 at 2023-09-02 + - read-ctags # failure in job https://hydra.nixos.org/build/233231382 at 2023-09-02 + - reader-soup # failure in job https://hydra.nixos.org/build/233198810 at 2023-09-02 + - read-io # failure in job https://hydra.nixos.org/build/233244370 at 2023-09-02 + - readline-statevar # failure in job https://hydra.nixos.org/build/233226053 at 2023-09-02 + - readme-lhs # failure in job https://hydra.nixos.org/build/233248229 at 2023-09-02 + - readshp # failure in job https://hydra.nixos.org/build/233197835 at 2023-09-02 + - really-simple-xml-parser # failure in job https://hydra.nixos.org/build/233195945 at 2023-09-02 + - reanimate-svg # failure in job https://hydra.nixos.org/build/233242271 at 2023-09-02 + - reasonable-lens # failure in job https://hydra.nixos.org/build/233233111 at 2023-09-02 + - reason-export # failure in job https://hydra.nixos.org/build/233212942 at 2023-09-02 + - record-encode # failure in job https://hydra.nixos.org/build/233216156 at 2023-09-02 + - record # failure in job https://hydra.nixos.org/build/233242406 at 2023-09-02 + - records # failure in job https://hydra.nixos.org/build/233254822 at 2023-09-02 + - records-sop # failure in job https://hydra.nixos.org/build/233251652 at 2023-09-02 + - record-wrangler # failure in job https://hydra.nixos.org/build/233212838 at 2023-09-02 + - rec-smallarray # failure in job https://hydra.nixos.org/build/233258592 at 2023-09-02 + - recursors # failure in job https://hydra.nixos.org/build/233234451 at 2023-09-02 + - red-black-record # failure in job https://hydra.nixos.org/build/233194275 at 2023-09-02 + - redis-hs # failure in job https://hydra.nixos.org/build/233191943 at 2023-09-02 + - redis-simple # failure in job https://hydra.nixos.org/build/233200379 at 2023-09-02 + - Redmine # failure in job https://hydra.nixos.org/build/233250398 at 2023-09-02 + - reedsolomon # failure in job https://hydra.nixos.org/build/233215366 at 2023-09-02 + - reenact # failure in job https://hydra.nixos.org/build/233229531 at 2023-09-02 + - refcount # failure in job https://hydra.nixos.org/build/233236697 at 2023-09-02 + - Referees # failure in job https://hydra.nixos.org/build/233213892 at 2023-09-02 + - references # failure in job https://hydra.nixos.org/build/233197836 at 2023-09-02 + - ref-extras # failure in job https://hydra.nixos.org/build/233255903 at 2023-09-02 + - Ref # failure in job https://hydra.nixos.org/build/233238498 at 2023-09-02 + - ref # failure in job https://hydra.nixos.org/build/233256479 at 2023-09-02 + - refined-http-api-data # failure in job https://hydra.nixos.org/build/233231753 at 2023-09-02 + - refined-with # failure in job https://hydra.nixos.org/build/233258564 at 2023-09-02 + - reflection-extras # failure in job https://hydra.nixos.org/build/233226544 at 2023-09-02 + - reflex-backend-socket # failure in job https://hydra.nixos.org/build/233254514 at 2023-09-02 + - reflex-basic-host # failure in job https://hydra.nixos.org/build/233210837 at 2023-09-02 + - reflex-dom-ace # failure in job https://hydra.nixos.org/build/233198338 at 2023-09-02 + - reflex-dom-contrib # failure in job https://hydra.nixos.org/build/233258949 at 2023-09-02 + - reflex-dom-fragment-shader-canvas # failure in job https://hydra.nixos.org/build/233202576 at 2023-09-02 + - reflex-dom-helpers # failure in job https://hydra.nixos.org/build/233239532 at 2023-09-02 + - reflex-dom-pandoc # failure in job https://hydra.nixos.org/build/233217895 at 2023-09-02 + - reflex-dom-retractable # failure in job https://hydra.nixos.org/build/233198362 at 2023-09-02 + - reflex-dom-svg # failure in job https://hydra.nixos.org/build/233193544 at 2023-09-02 + - reflex-external-ref # failure in job https://hydra.nixos.org/build/233215834 at 2023-09-02 + - reflex-gi-gtk # failure in job https://hydra.nixos.org/build/233213103 at 2023-09-02 + - reflex-gloss # failure in job https://hydra.nixos.org/build/234457448 at 2023-09-13 + - reflex-jsx # failure in job https://hydra.nixos.org/build/233207137 at 2023-09-02 + - reflex-orphans # failure in job https://hydra.nixos.org/build/233249128 at 2023-09-02 + - reflex-sdl2 # failure in job https://hydra.nixos.org/build/233233947 at 2023-09-02 + - reflex-test-host # failure in job https://hydra.nixos.org/build/233220665 at 2023-09-02 + - reflex-transformers # failure in job https://hydra.nixos.org/build/233243647 at 2023-09-02 + - reflex-vty # failure in job https://hydra.nixos.org/build/233225875 at 2023-09-02 + - ref-mtl # failure in job https://hydra.nixos.org/build/233260152 at 2023-09-02 + - reformat # failure in job https://hydra.nixos.org/build/233212381 at 2023-09-02 + - reform-hamlet # failure in job https://hydra.nixos.org/build/233230013 at 2023-09-02 + - reform-hsp # failure in job https://hydra.nixos.org/build/233228737 at 2023-09-02 + - reform-lucid # failure in job https://hydra.nixos.org/build/233257636 at 2023-09-02 + - refresht # failure in job https://hydra.nixos.org/build/233245243 at 2023-09-02 + - refty # failure in job https://hydra.nixos.org/build/233215083 at 2023-09-02 + - reg-alloc # failure in job https://hydra.nixos.org/build/233195081 at 2023-09-02 + - regex-dfa # failure in job https://hydra.nixos.org/build/233242994 at 2023-09-02 + - regexdot # failure in job https://hydra.nixos.org/build/233217389 at 2023-09-02 + - regex-generator # failure in job https://hydra.nixos.org/build/233239502 at 2023-09-02 + - regex-parsec # failure in job https://hydra.nixos.org/build/233223781 at 2023-09-02 + - regex-posix-unittest # failure in job https://hydra.nixos.org/build/233249685 at 2023-09-02 + - regexpr-symbolic # failure in job https://hydra.nixos.org/build/233254451 at 2023-09-02 + - regexqq # failure in job https://hydra.nixos.org/build/233233149 at 2023-09-02 + - regex-tdfa-pipes # failure in job https://hydra.nixos.org/build/233247416 at 2023-09-02 + - regex-tdfa-quasiquoter # failure in job https://hydra.nixos.org/build/233234166 at 2023-09-02 + - regex-tdfa-rc # failure in job https://hydra.nixos.org/build/233206042 at 2023-09-02 + - regex-tdfa-text # failure in job https://hydra.nixos.org/build/233191686 at 2023-09-02 + - regex-tdfa-unittest # failure in job https://hydra.nixos.org/build/233241081 at 2023-09-02 + - regex-tdfa-utf8 # failure in job https://hydra.nixos.org/build/233211722 at 2023-09-02 + - regex-tre # failure in job https://hydra.nixos.org/build/233236303 at 2023-09-02 + - regex-type # failure in job https://hydra.nixos.org/build/233199739 at 2023-09-02 + - regions # failure in job https://hydra.nixos.org/build/233196483 at 2023-09-02 + - register-machine-typelevel # failure in job https://hydra.nixos.org/build/233217514 at 2023-09-02 + - registry # failure in job https://hydra.nixos.org/build/233235447 at 2023-09-02 + - regress # failure in job https://hydra.nixos.org/build/233208901 at 2023-09-02 + - regular # failure in job https://hydra.nixos.org/build/233232656 at 2023-09-02 + - rehoo # failure in job https://hydra.nixos.org/build/233246417 at 2023-09-02 + - rei # failure in job https://hydra.nixos.org/build/233221328 at 2023-09-02 + - reified-records # failure in job https://hydra.nixos.org/build/233220595 at 2023-09-02 + - reify # failure in job https://hydra.nixos.org/build/233247509 at 2023-09-02 + - relacion # failure in job https://hydra.nixos.org/build/233241624 at 2023-09-02 + - relation # failure in job https://hydra.nixos.org/build/233244581 at 2023-09-02 + - releaser # failure in job https://hydra.nixos.org/build/233239420 at 2023-09-02 + - relevant-time # failure in job https://hydra.nixos.org/build/233190794 at 2023-09-02 + - reload # failure in job https://hydra.nixos.org/build/233212925 at 2023-09-02 + - remark # failure in job https://hydra.nixos.org/build/233240981 at 2023-09-02 + - remarks # failure in job https://hydra.nixos.org/build/233256889 at 2023-09-02 + - remote-debugger # failure in job https://hydra.nixos.org/build/233199491 at 2023-09-02 + - remote # failure in job https://hydra.nixos.org/build/233220714 at 2023-09-02 + - remote-monad # failure in job https://hydra.nixos.org/build/233247733 at 2023-09-02 + - reorderable # failure in job https://hydra.nixos.org/build/233256477 at 2023-09-02 + - reorder-expression # failure in job https://hydra.nixos.org/build/233215573 at 2023-09-02 + - repa-eval # failure in job https://hydra.nixos.org/build/233259486 at 2023-09-02 + - repa # failure in job https://hydra.nixos.org/build/233219888 at 2023-09-02 + - repa-scalar # failure in job https://hydra.nixos.org/build/233213694 at 2023-09-02 + - repa-series # failure in job https://hydra.nixos.org/build/233200085 at 2023-09-02 + - ReplaceUmlaut # failure in job https://hydra.nixos.org/build/233228662 at 2023-09-02 + - repl # failure in job https://hydra.nixos.org/build/233233135 at 2023-09-02 + - RepLib # failure in job https://hydra.nixos.org/build/233210112 at 2023-09-02 + - replica # failure in job https://hydra.nixos.org/build/233214750 at 2023-09-02 + - ReplicateEffects # failure in job https://hydra.nixos.org/build/233233197 at 2023-09-02 + - repl-toolkit # failure in job https://hydra.nixos.org/build/233246536 at 2023-09-02 + - representable-functors # failure in job https://hydra.nixos.org/build/233252174 at 2023-09-02 + - reprinter # failure in job https://hydra.nixos.org/build/233232702 at 2023-09-02 + - reproject # failure in job https://hydra.nixos.org/build/233214934 at 2023-09-02 + - req-conduit # failure in job https://hydra.nixos.org/build/233248395 at 2023-09-02 + - request # failure in job https://hydra.nixos.org/build/233256702 at 2023-09-02 + - request-monad # failure in job https://hydra.nixos.org/build/233204896 at 2023-09-02 + - require # failure in job https://hydra.nixos.org/build/233203170 at 2023-09-02 + - req-url-extra # failure in job https://hydra.nixos.org/build/233198488 at 2023-09-02 + - rescue # failure in job https://hydra.nixos.org/build/233230073 at 2023-09-02 + - reservoir # failure in job https://hydra.nixos.org/build/233194430 at 2023-09-02 + - resolve # failure in job https://hydra.nixos.org/build/233224070 at 2023-09-02 + - resolve-trivial-conflicts # failure in job https://hydra.nixos.org/build/233237974 at 2023-09-02 + - resource-effect # failure in job https://hydra.nixos.org/build/233253816 at 2023-09-02 + - resource-embed # failure in job https://hydra.nixos.org/build/233209109 at 2023-09-02 + - resource-pool-monad # failure in job https://hydra.nixos.org/build/233204199 at 2023-09-02 + - resourcet-pool # failure in job https://hydra.nixos.org/build/233213894 at 2023-09-02 + - restartable # failure in job https://hydra.nixos.org/build/233220815 at 2023-09-02 + - restyle # failure in job https://hydra.nixos.org/build/233199043 at 2023-09-02 + - resumable-exceptions # failure in job https://hydra.nixos.org/build/233206560 at 2023-09-02 + - rethinkdb-client-driver # failure in job https://hydra.nixos.org/build/233216583 at 2023-09-02 + - rethinkdb # failure in job https://hydra.nixos.org/build/233211172 at 2023-09-02 + - retryer # failure in job https://hydra.nixos.org/build/233193427 at 2023-09-02 + - reverse-geocoding # failure in job https://hydra.nixos.org/build/233238347 at 2023-09-02 + - reverse-list # failure in job https://hydra.nixos.org/build/233192931 at 2023-09-02 + - reversi # failure in job https://hydra.nixos.org/build/233205170 at 2023-09-02 + - ReviewBoard # failure in job https://hydra.nixos.org/build/233217736 at 2023-09-02 + - rewrite-inspector # failure in job https://hydra.nixos.org/build/233243472 at 2023-09-02 + - rfc # failure in job https://hydra.nixos.org/build/233241988 at 2023-09-02 + - rfc-prelude # failure in job https://hydra.nixos.org/build/233227572 at 2023-09-02 + - rhbzquery # failure in job https://hydra.nixos.org/build/233259706 at 2023-09-02 + - rhine # failure in job https://hydra.nixos.org/build/233245503 at 2023-09-02 + - riak # failure in job https://hydra.nixos.org/build/233192622 at 2023-09-02 + - riak-protobuf-lens # failure in job https://hydra.nixos.org/build/233203142 at 2023-09-02 + - ribbit # failure in job https://hydra.nixos.org/build/233191000 at 2023-09-02 + - rib-core # failure in job https://hydra.nixos.org/build/233231659 at 2023-09-02 + - RichConditional # failure in job https://hydra.nixos.org/build/233218626 at 2023-09-02 + - riemann # failure in job https://hydra.nixos.org/build/233207899 at 2023-09-02 + - riff # failure in job https://hydra.nixos.org/build/233202602 at 2023-09-02 + - rigel-viz # failure in job https://hydra.nixos.org/build/233251060 at 2023-09-02 + - ring-buffer # failure in job https://hydra.nixos.org/build/233245453 at 2023-09-02 + - ring-buffers # failure in job https://hydra.nixos.org/build/233259860 at 2023-09-02 + - riscv-isa # failure in job https://hydra.nixos.org/build/233192811 at 2023-09-02 + - rison # failure in job https://hydra.nixos.org/build/233231694 at 2023-09-02 + - Ritt-Wu # failure in job https://hydra.nixos.org/build/233221182 at 2023-09-02 + - rivers # failure in job https://hydra.nixos.org/build/233225238 at 2023-09-02 + - rivet-migration # failure in job https://hydra.nixos.org/build/233191937 at 2023-09-02 + - rivet-simple-deploy # failure in job https://hydra.nixos.org/build/233229780 at 2023-09-02 + - rle # failure in job https://hydra.nixos.org/build/233238229 at 2023-09-02 + - rlglue # failure in job https://hydra.nixos.org/build/233222786 at 2023-09-02 + - RLP # failure in job https://hydra.nixos.org/build/233222770 at 2023-09-02 + - rl-satton # failure in job https://hydra.nixos.org/build/233256608 at 2023-09-02 + - robin # failure in job https://hydra.nixos.org/build/233205010 at 2023-09-02 + - robots-txt # failure in job https://hydra.nixos.org/build/233243090 at 2023-09-02 + - roc-cluster # failure in job https://hydra.nixos.org/build/233202517 at 2023-09-02 + - roguestar # failure in job https://hydra.nixos.org/build/233233677 at 2023-09-02 + - roku-api # failure in job https://hydra.nixos.org/build/233249158 at 2023-09-02 + - rollbar-client # failure in job https://hydra.nixos.org/build/233241484 at 2023-09-02 + - rollbar-hs # failure in job https://hydra.nixos.org/build/233240182 at 2023-09-02 + - roller # failure in job https://hydra.nixos.org/build/233198848 at 2023-09-02 + - roman-numerals # failure in job https://hydra.nixos.org/build/233214716 at 2023-09-02 + - ron # failure in job https://hydra.nixos.org/build/233197052 at 2023-09-02 + - rope # failure in job https://hydra.nixos.org/build/233198109 at 2023-09-02 + - rosebud # failure in job https://hydra.nixos.org/build/233225772 at 2023-09-02 + - rosmsg # failure in job https://hydra.nixos.org/build/233248569 at 2023-09-02 + - rospkg # failure in job https://hydra.nixos.org/build/233229989 at 2023-09-02 + - rosso # failure in job https://hydra.nixos.org/build/233230103 at 2023-09-02 + - rotating-log # failure in job https://hydra.nixos.org/build/233206245 at 2023-09-02 + - rounding # failure in job https://hydra.nixos.org/build/233234537 at 2023-09-02 + - roundtrip-aeson # failure in job https://hydra.nixos.org/build/233253408 at 2023-09-02 + - rowrecord # failure in job https://hydra.nixos.org/build/233208964 at 2023-09-02 + - R-pandoc # failure in job https://hydra.nixos.org/build/233192114 at 2023-09-02 + - rpc-framework # failure in job https://hydra.nixos.org/build/233202964 at 2023-09-02 + - rpmbuild-order # failure in job https://hydra.nixos.org/build/233257209 at 2023-09-02 + - rpm # failure in job https://hydra.nixos.org/build/233194513 at 2023-09-02 + - rpmostree-update # failure in job https://hydra.nixos.org/build/233254709 at 2023-09-02 + - rrule # failure in job https://hydra.nixos.org/build/233259470 at 2023-09-02 + - rspp # failure in job https://hydra.nixos.org/build/233236691 at 2023-09-02 + - rss2irc # failure in job https://hydra.nixos.org/build/233196081 at 2023-09-02 + - rstream # failure in job https://hydra.nixos.org/build/233249587 at 2023-09-02 + - RtMidi # failure in job https://hydra.nixos.org/build/233241377 at 2023-09-02 + - rtnetlink # failure in job https://hydra.nixos.org/build/233198783 at 2023-09-02 + - rtorrent-rpc # failure in job https://hydra.nixos.org/build/233202187 at 2023-09-02 + - rtorrent-state # failure in job https://hydra.nixos.org/build/233216983 at 2023-09-02 + - rts-loader # failure in job https://hydra.nixos.org/build/233226464 at 2023-09-02 + - rubberband # failure in job https://hydra.nixos.org/build/233191496 at 2023-09-02 + - ruby-marshal # failure in job https://hydra.nixos.org/build/233242569 at 2023-09-02 + - ruby-qq # failure in job https://hydra.nixos.org/build/233259084 at 2023-09-02 + - ruff # failure in job https://hydra.nixos.org/build/233200285 at 2023-09-02 + - ruin # failure in job https://hydra.nixos.org/build/233247866 at 2023-09-02 + - runhs # failure in job https://hydra.nixos.org/build/233193983 at 2023-09-02 + - runmany # failure in job https://hydra.nixos.org/build/233241476 at 2023-09-02 + - runtime-instances # failure in job https://hydra.nixos.org/build/233217985 at 2023-09-02 + - rustls # failure in job https://hydra.nixos.org/build/233249545 at 2023-09-02 + - rws # failure in job https://hydra.nixos.org/build/233237887 at 2023-09-02 + - RxHaskell # failure in job https://hydra.nixos.org/build/233248784 at 2023-09-02 + - rz-pipe # failure in job https://hydra.nixos.org/build/233228273 at 2023-09-02 + - SableCC2Hs # failure in job https://hydra.nixos.org/build/233213351 at 2023-09-02 + - safe-buffer-monad # failure in job https://hydra.nixos.org/build/233192108 at 2023-09-02 + - safe-coerce # failure in job https://hydra.nixos.org/build/233244289 at 2023-09-02 + - safe-coloured-text-gen # failure in job https://hydra.nixos.org/build/233225146 at 2023-09-02 + - safe-coloured-text-layout # failure in job https://hydra.nixos.org/build/233247031 at 2023-09-02 + - safecopy-migrate # failure in job https://hydra.nixos.org/build/233224574 at 2023-09-02 + - safecopy-store # failure in job https://hydra.nixos.org/build/233227973 at 2023-09-02 + - safe-freeze # failure in job https://hydra.nixos.org/build/233230451 at 2023-09-02 + - safe-globals # failure in job https://hydra.nixos.org/build/233201910 at 2023-09-02 + - safeint # failure in job https://hydra.nixos.org/build/233257369 at 2023-09-02 + - safeio # failure in job https://hydra.nixos.org/build/233219890 at 2023-09-02 + - safe-lazy-io # failure in job https://hydra.nixos.org/build/233236485 at 2023-09-02 + - safe-length # failure in job https://hydra.nixos.org/build/233255904 at 2023-09-02 + - safe-money-xmlbf # failure in job https://hydra.nixos.org/build/233254139 at 2023-09-02 + - safepath # failure in job https://hydra.nixos.org/build/233235468 at 2023-09-02 + - safe-printf # failure in job https://hydra.nixos.org/build/233232731 at 2023-09-02 + - saferoute # failure in job https://hydra.nixos.org/build/233254085 at 2023-09-02 + - safe-tensor # failure in job https://hydra.nixos.org/build/233212430 at 2023-09-02 + - safe-wild-cards # failure in job https://hydra.nixos.org/build/233217160 at 2023-09-02 + - sajson # failure in job https://hydra.nixos.org/build/233197310 at 2023-09-02 + - sakuraio-platform # failure in job https://hydra.nixos.org/build/233198228 at 2023-09-02 + - salak # failure in job https://hydra.nixos.org/build/233236898 at 2023-09-02 + - Salsa # failure in job https://hydra.nixos.org/build/233257551 at 2023-09-02 + - salvia-protocol # failure in job https://hydra.nixos.org/build/233220788 at 2023-09-02 + - sandlib # failure in job https://hydra.nixos.org/build/233249740 at 2023-09-02 + - sandman # failure in job https://hydra.nixos.org/build/233209667 at 2023-09-02 + - sarasvati # failure in job https://hydra.nixos.org/build/233208235 at 2023-09-02 + - satchmo-backends # failure in job https://hydra.nixos.org/build/233228506 at 2023-09-02 + - satchmo-minisat # failure in job https://hydra.nixos.org/build/233229585 at 2023-09-02 + - sat # failure in job https://hydra.nixos.org/build/233225713 at 2023-09-02 + - Saturnin # failure in job https://hydra.nixos.org/build/233227938 at 2023-09-02 + - satyros # failure in job https://hydra.nixos.org/build/233199726 at 2023-09-02 + - savage # failure in job https://hydra.nixos.org/build/233213243 at 2023-09-02 + - sax # failure in job https://hydra.nixos.org/build/233218617 at 2023-09-02 + - sbv # failure in job https://hydra.nixos.org/build/233210414 at 2023-09-02 + - scale # failure in job https://hydra.nixos.org/build/233222189 at 2023-09-02 + - scaleimage # failure in job https://hydra.nixos.org/build/233240688 at 2023-09-02 + - scalendar # failure in job https://hydra.nixos.org/build/233206581 at 2023-09-02 + - scat # failure in job https://hydra.nixos.org/build/233199202 at 2023-09-02 + - scc # failure in job https://hydra.nixos.org/build/233247446 at 2023-09-02 + - scgi # failure in job https://hydra.nixos.org/build/233247314 at 2023-09-02 + - schedevr # failure in job https://hydra.nixos.org/build/233240124 at 2023-09-02 + - schedule-planner # failure in job https://hydra.nixos.org/build/233192691 at 2023-09-02 + - schedyield # failure in job https://hydra.nixos.org/build/233213288 at 2023-09-02 + - schemas # failure in job https://hydra.nixos.org/build/233225239 at 2023-09-02 + - scholdoc-types # failure in job https://hydra.nixos.org/build/233194927 at 2023-09-02 + - scidb-hquery # failure in job https://hydra.nixos.org/build/233257053 at 2023-09-02 + - sci-ratio # failure in job https://hydra.nixos.org/build/233258475 at 2023-09-02 + - scons2dot # failure in job https://hydra.nixos.org/build/233204528 at 2023-09-02 + - scottish # failure in job https://hydra.nixos.org/build/233251021 at 2023-09-02 + - scotty-binding-play # failure in job https://hydra.nixos.org/build/233244465 at 2023-09-02 + - scotty-blaze # failure in job https://hydra.nixos.org/build/233190960 at 2023-09-02 + - scotty-format # failure in job https://hydra.nixos.org/build/233249643 at 2023-09-02 + - scotty-resource # failure in job https://hydra.nixos.org/build/233258457 at 2023-09-02 + - scotty-rest # failure in job https://hydra.nixos.org/build/233209040 at 2023-09-02 + - scotty-session # failure in job https://hydra.nixos.org/build/233258736 at 2023-09-02 + - scotty-tls # failure in job https://hydra.nixos.org/build/233250916 at 2023-09-02 + - scotty-view # failure in job https://hydra.nixos.org/build/233245343 at 2023-09-02 + - scrapbook-core # failure in job https://hydra.nixos.org/build/233222406 at 2023-09-02 + - scrape-changes # failure in job https://hydra.nixos.org/build/233225890 at 2023-09-02 + - ScratchFs # failure in job https://hydra.nixos.org/build/233257558 at 2023-09-02 + - script-monad # failure in job https://hydra.nixos.org/build/233221600 at 2023-09-02 + - scrobble # failure in job https://hydra.nixos.org/build/233252277 at 2023-09-02 + - scroll-list # failure in job https://hydra.nixos.org/build/233217737 at 2023-09-02 + - scrz # failure in job https://hydra.nixos.org/build/233230705 at 2023-09-02 + - scythe # failure in job https://hydra.nixos.org/build/233259400 at 2023-09-02 + - scyther-proof # failure in job https://hydra.nixos.org/build/233248937 at 2023-09-02 + - sde-solver # failure in job https://hydra.nixos.org/build/233251017 at 2023-09-02 + - sdl2-cairo-image # failure in job https://hydra.nixos.org/build/233210135 at 2023-09-02 + - sdl2-compositor # failure in job https://hydra.nixos.org/build/233198910 at 2023-09-02 + - sdl2-fps # failure in job https://hydra.nixos.org/build/233195346 at 2023-09-02 + - sdl2-gfx # failure in job https://hydra.nixos.org/build/233236795 at 2023-09-02 + - sdl2-image # failure in job https://hydra.nixos.org/build/233216837 at 2023-09-02 + - sdl2-mixer # failure in job https://hydra.nixos.org/build/233228951 at 2023-09-02 + - sdl2-ttf # failure in job https://hydra.nixos.org/build/233238600 at 2023-09-02 + - sdp # failure in job https://hydra.nixos.org/build/233246702 at 2023-09-02 + - seacat # failure in job https://hydra.nixos.org/build/233229959 at 2023-09-02 + - seakale # failure in job https://hydra.nixos.org/build/233236200 at 2023-09-02 + - secdh # failure in job https://hydra.nixos.org/build/233244391 at 2023-09-02 + - sec # failure in job https://hydra.nixos.org/build/233233150 at 2023-09-02 + - seclib # failure in job https://hydra.nixos.org/build/233203235 at 2023-09-02 + - second-transfer # failure in job https://hydra.nixos.org/build/233214725 at 2023-09-02 + - secp256k1 # failure in job https://hydra.nixos.org/build/233231129 at 2023-09-02 + - secp256k1-legacy # failure in job https://hydra.nixos.org/build/233197038 at 2023-09-02 + - secret-santa # failure in job https://hydra.nixos.org/build/233208686 at 2023-09-02 + - SecureHash-SHA3 # failure in job https://hydra.nixos.org/build/233216866 at 2023-09-02 + - secure-memory # failure in job https://hydra.nixos.org/build/233226568 at 2023-09-02 + - secure-sockets # failure in job https://hydra.nixos.org/build/233254170 at 2023-09-02 + - secureUDP # failure in job https://hydra.nixos.org/build/233215410 at 2023-09-02 + - SegmentTree # failure in job https://hydra.nixos.org/build/233216161 at 2023-09-02 + - selda # failure in job https://hydra.nixos.org/build/233234757 at 2023-09-02 + - selectors # failure in job https://hydra.nixos.org/build/233227433 at 2023-09-02 + - selenium # failure in job https://hydra.nixos.org/build/233214276 at 2023-09-02 + - selinux # failure in job https://hydra.nixos.org/build/233192853 at 2023-09-02 + - Semantique # failure in job https://hydra.nixos.org/build/233199841 at 2023-09-02 + - semaphore-compat # failure in job https://hydra.nixos.org/build/233225619 at 2023-09-02 + - semdoc # failure in job https://hydra.nixos.org/build/233258790 at 2023-09-02 + - semialign-indexed # failure in job https://hydra.nixos.org/build/233210150 at 2023-09-02 + - semialign-optics # failure in job https://hydra.nixos.org/build/233229100 at 2023-09-02 + - semibounded-lattices # failure in job https://hydra.nixos.org/build/233195267 at 2023-09-02 + - Semigroup # failure in job https://hydra.nixos.org/build/233201793 at 2023-09-02 + - semigroupoids-syntax # failure in job https://hydra.nixos.org/build/233213850 at 2023-09-02 + - semigroups-actions # failure in job https://hydra.nixos.org/build/233216317 at 2023-09-02 + - semilattices # failure in job https://hydra.nixos.org/build/233223765 at 2023-09-02 + - sendgrid-haskell # failure in job https://hydra.nixos.org/build/233228693 at 2023-09-02 + - sendgrid-v3 # failure in job https://hydra.nixos.org/build/233224134 at 2023-09-02 + - sensu-run # failure in job https://hydra.nixos.org/build/233251719 at 2023-09-02 + - sentry # failure in job https://hydra.nixos.org/build/233246813 at 2023-09-02 + - seonbi # failure in job https://hydra.nixos.org/build/233196115 at 2023-09-02 + - separated # failure in job https://hydra.nixos.org/build/233222642 at 2023-09-02 + - SeqAlign # failure in job https://hydra.nixos.org/build/233214595 at 2023-09-02 + - sequent-core # failure in job https://hydra.nixos.org/build/233202838 at 2023-09-02 + - sequential-index # failure in job https://hydra.nixos.org/build/233228686 at 2023-09-02 + - serf # failure in job https://hydra.nixos.org/build/233251981 at 2023-09-02 + - serialize-instances # failure in job https://hydra.nixos.org/build/233239330 at 2023-09-02 + - serialport # failure in job https://hydra.nixos.org/build/233201348 at 2023-09-02 + - serokell-util # failure in job https://hydra.nixos.org/build/233209952 at 2023-09-02 + - servant-aeson-specs # failure in job https://hydra.nixos.org/build/233202245 at 2023-09-02 + - servant-auth-cookie # failure in job https://hydra.nixos.org/build/233235829 at 2023-09-02 + - servant-auth-server # failure in job https://hydra.nixos.org/build/233208742 at 2023-09-02 + - servant-avro # failure in job https://hydra.nixos.org/build/233225632 at 2023-09-02 + - servant-benchmark # failure in job https://hydra.nixos.org/build/233203748 at 2023-09-02 + - servant-client-js # failure in job https://hydra.nixos.org/build/233194725 at 2023-09-02 + - servant-cli # failure in job https://hydra.nixos.org/build/233259212 at 2023-09-02 + - servant-combinators # failure in job https://hydra.nixos.org/build/233249924 at 2023-09-02 + - servant-db # failure in job https://hydra.nixos.org/build/233234946 at 2023-09-02 + - servant-dhall # failure in job https://hydra.nixos.org/build/233201199 at 2023-09-02 + - servant-docs-simple # failure in job https://hydra.nixos.org/build/233237374 at 2023-09-02 + - servant-errors # failure in job https://hydra.nixos.org/build/233239712 at 2023-09-02 + - servant-event-stream # failure in job https://hydra.nixos.org/build/233598042 at 2023-09-02 + - servant-gdp # failure in job https://hydra.nixos.org/build/233191664 at 2023-09-02 + - servant-generate # failure in job https://hydra.nixos.org/build/233199452 at 2023-09-02 + - servant-generic # failure in job https://hydra.nixos.org/build/233211338 at 2023-09-02 + - servant-github # failure in job https://hydra.nixos.org/build/233231566 at 2023-09-02 + - servant-github-webhook # failure in job https://hydra.nixos.org/build/233234237 at 2023-09-02 + - servant-htmx # failure in job https://hydra.nixos.org/build/233214786 at 2023-09-02 + - servant-http-streams # failure in job https://hydra.nixos.org/build/233242852 at 2023-09-02 + - servant-iCalendar # failure in job https://hydra.nixos.org/build/233200493 at 2023-09-02 + - servant-jquery # failure in job https://hydra.nixos.org/build/233238796 at 2023-09-02 + - servant-JuicyPixels # failure in job https://hydra.nixos.org/build/233222224 at 2023-09-02 + - servant-kotlin # failure in job https://hydra.nixos.org/build/233598190 at 2023-09-02 + - servant-namedargs # failure in job https://hydra.nixos.org/build/233258674 at 2023-09-02 + - servant-nix # failure in job https://hydra.nixos.org/build/233236159 at 2023-09-02 + - servant-pandoc # failure in job https://hydra.nixos.org/build/233203008 at 2023-09-02 + - servant-polysemy # failure in job https://hydra.nixos.org/build/233218670 at 2023-09-02 + - servant-pool # failure in job https://hydra.nixos.org/build/233208935 at 2023-09-02 + - servant-purescript # failure in job https://hydra.nixos.org/build/233598080 at 2023-09-02 + - servant-py # failure in job https://hydra.nixos.org/build/233598104 at 2023-09-02 + - servant-quickcheck # failure in job https://hydra.nixos.org/build/233236741 at 2023-09-02 + - servant-reflex # failure in job https://hydra.nixos.org/build/233212870 at 2023-09-02 + - servant-router # failure in job https://hydra.nixos.org/build/233246333 at 2023-09-02 + - servant-ruby # failure in job https://hydra.nixos.org/build/233598144 at 2023-09-02 + - servant-scotty # failure in job https://hydra.nixos.org/build/233248472 at 2023-09-02 + - servant-seo # failure in job https://hydra.nixos.org/build/233259245 at 2023-09-02 + - servant-smsc-ru # failure in job https://hydra.nixos.org/build/233239620 at 2023-09-02 + - servant-stache # failure in job https://hydra.nixos.org/build/233204547 at 2023-09-02 + - servant-static-th # failure in job https://hydra.nixos.org/build/233191735 at 2023-09-02 + - servant-streaming # failure in job https://hydra.nixos.org/build/233215168 at 2023-09-02 + - servant-streamly # failure in job https://hydra.nixos.org/build/233231404 at 2023-09-02 + - servant-tracing # failure in job https://hydra.nixos.org/build/233229308 at 2023-09-02 + - servant-wasm # failure in job https://hydra.nixos.org/build/233191644 at 2023-09-02 + - servant-yaml # failure in job https://hydra.nixos.org/build/233260010 at 2023-09-02 + - servant-zeppelin # failure in job https://hydra.nixos.org/build/233230172 at 2023-09-02 + - server-generic # failure in job https://hydra.nixos.org/build/233194042 at 2023-09-02 + - serverless-haskell # failure in job https://hydra.nixos.org/build/233201987 at 2023-09-02 + - serversession-backend-acid-state # failure in job https://hydra.nixos.org/build/233202796 at 2023-09-02 + - serversession-backend-persistent # failure in job https://hydra.nixos.org/build/233239242 at 2023-09-02 + - services # failure in job https://hydra.nixos.org/build/233249029 at 2023-09-02 + - serviette # failure in job https://hydra.nixos.org/build/233226968 at 2023-09-02 + - SessionLogger # failure in job https://hydra.nixos.org/build/233235790 at 2023-09-02 + - sessions # failure in job https://hydra.nixos.org/build/233214614 at 2023-09-02 + - sessiontypes # failure in job https://hydra.nixos.org/build/233224975 at 2023-09-02 + - setgame # failure in job https://hydra.nixos.org/build/233218664 at 2023-09-02 + - set-of # failure in job https://hydra.nixos.org/build/233202960 at 2023-09-02 + - setoid # failure in job https://hydra.nixos.org/build/233213744 at 2023-09-02 + - setters # failure in job https://hydra.nixos.org/build/233199079 at 2023-09-02 + - set-with # failure in job https://hydra.nixos.org/build/233209870 at 2023-09-02 + - sexp # failure in job https://hydra.nixos.org/build/233214197 at 2023-09-02 + - sexpr-parser # failure in job https://hydra.nixos.org/build/233208359 at 2023-09-02 + - sext # failure in job https://hydra.nixos.org/build/233245441 at 2023-09-02 + - sfml-audio # failure in job https://hydra.nixos.org/build/233253560 at 2023-09-02 + - SFML # failure in job https://hydra.nixos.org/build/233244892 at 2023-09-02 + - sfmt # failure in job https://hydra.nixos.org/build/233260124 at 2023-09-02 + - sgd # failure in job https://hydra.nixos.org/build/233240302 at 2023-09-02 + - SG # failure in job https://hydra.nixos.org/build/233228780 at 2023-09-02 + - SGplus # failure in job https://hydra.nixos.org/build/233227890 at 2023-09-02 + - sh2md # failure in job https://hydra.nixos.org/build/233254149 at 2023-09-02 + - shade # failure in job https://hydra.nixos.org/build/233227940 at 2023-09-02 + - shadower # failure in job https://hydra.nixos.org/build/233224166 at 2023-09-02 + - shake-cabal-build # failure in job https://hydra.nixos.org/build/233192322 at 2023-09-02 + - shake-dhall # failure in job https://hydra.nixos.org/build/233246191 at 2023-09-02 + - shake-extras # failure in job https://hydra.nixos.org/build/233192079 at 2023-09-02 + - shake-minify # failure in job https://hydra.nixos.org/build/233251572 at 2023-09-02 + - shake-pack # failure in job https://hydra.nixos.org/build/233195211 at 2023-09-02 + - shake-path # failure in job https://hydra.nixos.org/build/233247617 at 2023-09-02 + - shake-persist # failure in job https://hydra.nixos.org/build/233228771 at 2023-09-02 + - shakespeare-babel # failure in job https://hydra.nixos.org/build/233252003 at 2023-09-02 + - shakespeare-sass # failure in job https://hydra.nixos.org/build/233195432 at 2023-09-02 + - shared-buffer # failure in job https://hydra.nixos.org/build/233242275 at 2023-09-02 + - shared-fields # failure in job https://hydra.nixos.org/build/233228500 at 2023-09-02 + - sha-streams # failure in job https://hydra.nixos.org/build/233257983 at 2023-09-02 + - she # failure in job https://hydra.nixos.org/build/233214251 at 2023-09-02 + - Shellac # failure in job https://hydra.nixos.org/build/233214058 at 2023-09-02 + - shellish # failure in job https://hydra.nixos.org/build/233217316 at 2023-09-02 + - shellmate # failure in job https://hydra.nixos.org/build/233217636 at 2023-09-02 + - shell-pipe # failure in job https://hydra.nixos.org/build/233226605 at 2023-09-02 + - shikensu # failure in job https://hydra.nixos.org/build/233230883 at 2023-09-02 + - shimmer # failure in job https://hydra.nixos.org/build/233192737 at 2023-09-02 + - shine-examples # failure in job https://hydra.nixos.org/build/233192871 at 2023-09-02 + - shivers-cfg # failure in job https://hydra.nixos.org/build/233218516 at 2023-09-02 + - shoap # failure in job https://hydra.nixos.org/build/233207909 at 2023-09-02 + - shopify # failure in job https://hydra.nixos.org/build/233221330 at 2023-09-02 + - shortbytestring # failure in job https://hydra.nixos.org/build/233216026 at 2023-09-02 + - shortcut-links # failure in job https://hydra.nixos.org/build/233217442 at 2023-09-02 + - shorten-strings # failure in job https://hydra.nixos.org/build/233247947 at 2023-09-02 + - show-prettyprint # failure in job https://hydra.nixos.org/build/233213552 at 2023-09-02 + - show-type # failure in job https://hydra.nixos.org/build/233225756 at 2023-09-02 + - Shpadoinkle-console # failure in job https://hydra.nixos.org/build/233228559 at 2023-09-02 + - Shpadoinkle-debug # failure in job https://hydra.nixos.org/build/233194479 at 2023-09-02 + - Shpadoinkle-isreal # failure in job https://hydra.nixos.org/build/233200051 at 2023-09-02 + - shwifty # failure in job https://hydra.nixos.org/build/233249022 at 2023-09-02 + - sifflet # failure in job https://hydra.nixos.org/build/233210515 at 2023-09-02 + - sifflet-lib # failure in job https://hydra.nixos.org/build/233222675 at 2023-09-02 + - sigmacord # failure in job https://hydra.nixos.org/build/233194491 at 2023-09-02 + - simd # failure in job https://hydra.nixos.org/build/233206642 at 2023-09-02 + - simfin # failure in job https://hydra.nixos.org/build/233226776 at 2023-09-02 + - simple-actors # failure in job https://hydra.nixos.org/build/233239183 at 2023-09-02 + - simpleargs # failure in job https://hydra.nixos.org/build/233202182 at 2023-09-02 + - simple-atom # failure in job https://hydra.nixos.org/build/233226957 at 2023-09-02 + - simple-bluetooth # failure in job https://hydra.nixos.org/build/233199623 at 2023-09-02 + - simple-conduit # failure in job https://hydra.nixos.org/build/233214502 at 2023-09-02 + - simpleconfig # failure in job https://hydra.nixos.org/build/233195905 at 2023-09-02 + - simple-config # failure in job https://hydra.nixos.org/build/233258957 at 2023-09-02 + - simple-css # failure in job https://hydra.nixos.org/build/233244675 at 2023-09-02 + - simple-download # failure in job https://hydra.nixos.org/build/233227569 at 2023-09-02 + - simple-effects # failure in job https://hydra.nixos.org/build/233246128 at 2023-09-02 + - simple-eval # failure in job https://hydra.nixos.org/build/233227793 at 2023-09-02 + - simple-form # failure in job https://hydra.nixos.org/build/233243669 at 2023-09-02 + - simple-genetic-algorithm # failure in job https://hydra.nixos.org/build/233200097 at 2023-09-02 + - SimpleH # failure in job https://hydra.nixos.org/build/233212326 at 2023-09-02 + - simple-index # failure in job https://hydra.nixos.org/build/233208598 at 2023-09-02 + - simpleirc # failure in job https://hydra.nixos.org/build/233211073 at 2023-09-02 + - simple-log # failure in job https://hydra.nixos.org/build/233253013 at 2023-09-02 + - simple-media-timestamp-formatting # failure in job https://hydra.nixos.org/build/233228535 at 2023-09-02 + - simple-money # failure in job https://hydra.nixos.org/build/233240744 at 2023-09-02 + - simple-neural-networks # failure in job https://hydra.nixos.org/build/233226975 at 2023-09-02 + - simplenote # failure in job https://hydra.nixos.org/build/233225953 at 2023-09-02 + - simple-parser # failure in job https://hydra.nixos.org/build/233218275 at 2023-09-02 + - simple-pipe # failure in job https://hydra.nixos.org/build/233251483 at 2023-09-02 + - simpleprelude # failure in job https://hydra.nixos.org/build/233259585 at 2023-09-02 + - simple-rope # failure in job https://hydra.nixos.org/build/233239446 at 2023-09-02 + - simple-server # failure in job https://hydra.nixos.org/build/233242498 at 2023-09-02 + - simplesmtpclient # failure in job https://hydra.nixos.org/build/233235261 at 2023-09-02 + - simple-sql-parser # failure in job https://hydra.nixos.org/build/233203075 at 2023-09-02 + - simple-stacked-vm # failure in job https://hydra.nixos.org/build/233206051 at 2023-09-02 + - simplest-sqlite # failure in job https://hydra.nixos.org/build/233248487 at 2023-09-02 + - simple-tabular # failure in job https://hydra.nixos.org/build/233233368 at 2023-09-02 + - simple-tar # failure in job https://hydra.nixos.org/build/233206675 at 2023-09-02 + - simple-ui # failure in job https://hydra.nixos.org/build/233248287 at 2023-09-02 + - simple-units # failure in job https://hydra.nixos.org/build/233215127 at 2023-09-02 + - simplexmq # failure in job https://hydra.nixos.org/build/233223717 at 2023-09-02 + - simple-zipper # failure in job https://hydra.nixos.org/build/233210316 at 2023-09-02 + - simplistic-generics # failure in job https://hydra.nixos.org/build/233217412 at 2023-09-02 + - singlethongs # failure in job https://hydra.nixos.org/build/233202756 at 2023-09-02 + - singleton-dict # failure in job https://hydra.nixos.org/build/233245405 at 2023-09-02 + - singleton-typelits # failure in job https://hydra.nixos.org/build/233250877 at 2023-09-02 + - single-tuple # failure in job https://hydra.nixos.org/build/233204418 at 2023-09-02 + - singnal # failure in job https://hydra.nixos.org/build/233214111 at 2023-09-02 + - singular-factory # failure in job https://hydra.nixos.org/build/233250779 at 2023-09-02 + - sink # failure in job https://hydra.nixos.org/build/233240005 at 2023-09-02 + - sint # failure in job https://hydra.nixos.org/build/233238431 at 2023-09-02 + - siphash # failure in job https://hydra.nixos.org/build/233199344 at 2023-09-02 + - sitepipe # failure in job https://hydra.nixos.org/build/233201989 at 2023-09-02 + - sixfiguregroup # failure in job https://hydra.nixos.org/build/233252141 at 2023-09-02 + - sized-grid # failure in job https://hydra.nixos.org/build/233239056 at 2023-09-02 + - sized-types # failure in job https://hydra.nixos.org/build/233244977 at 2023-09-02 + - sized-vector # failure in job https://hydra.nixos.org/build/233227779 at 2023-09-02 + - sizes # failure in job https://hydra.nixos.org/build/233247070 at 2023-09-02 + - sjsp # failure in job https://hydra.nixos.org/build/233225141 at 2023-09-02 + - SJW # failure in job https://hydra.nixos.org/build/233209689 at 2023-09-02 + - skeletal-set # failure in job https://hydra.nixos.org/build/233254711 at 2023-09-02 + - skell # failure in job https://hydra.nixos.org/build/233245484 at 2023-09-02 + - skemmtun # failure in job https://hydra.nixos.org/build/233223893 at 2023-09-02 + - skopedate # failure in job https://hydra.nixos.org/build/233220634 at 2023-09-02 + - skulk # failure in job https://hydra.nixos.org/build/233258672 at 2023-09-02 + - skylighting-extensions # failure in job https://hydra.nixos.org/build/233221387 at 2023-09-02 + - skype4hs # failure in job https://hydra.nixos.org/build/233221058 at 2023-09-02 + - slack-api # failure in job https://hydra.nixos.org/build/233215701 at 2023-09-02 + - slack # failure in job https://hydra.nixos.org/build/233221065 at 2023-09-02 + - slack-notify-haskell # failure in job https://hydra.nixos.org/build/233249025 at 2023-09-02 + - slack-verify # failure in job https://hydra.nixos.org/build/233206026 at 2023-09-02 + - sliceofpy # failure in job https://hydra.nixos.org/build/233224418 at 2023-09-02 + - slidemews # failure in job https://hydra.nixos.org/build/233205910 at 2023-09-02 + - Slides # failure in job https://hydra.nixos.org/build/233201684 at 2023-09-02 + - slim # failure in job https://hydra.nixos.org/build/233211282 at 2023-09-02 + - sloane # failure in job https://hydra.nixos.org/build/233235255 at 2023-09-02 + - sloth # failure in job https://hydra.nixos.org/build/233203718 at 2023-09-02 + - slot-lambda # failure in job https://hydra.nixos.org/build/233252290 at 2023-09-02 + - slug # failure in job https://hydra.nixos.org/build/233259687 at 2023-09-02 + - slugify # failure in job https://hydra.nixos.org/build/233222023 at 2023-09-02 + - smallarray # failure in job https://hydra.nixos.org/build/233256816 at 2023-09-02 + - small-bytearray-builder # failure in job https://hydra.nixos.org/build/233248483 at 2023-09-02 + - smallcaps # failure in job https://hydra.nixos.org/build/233201384 at 2023-09-02 + - smallcheck-kind-generics # failure in job https://hydra.nixos.org/build/233230424 at 2023-09-02 + - smallcheck-series # failure in job https://hydra.nixos.org/build/233213618 at 2023-09-02 + - smallpt-hs # failure in job https://hydra.nixos.org/build/233250553 at 2023-09-02 + - smap # failure in job https://hydra.nixos.org/build/233208052 at 2023-09-02 + - smartcheck # failure in job https://hydra.nixos.org/build/233241864 at 2023-09-02 + - smartconstructor # failure in job https://hydra.nixos.org/build/233256663 at 2023-09-02 + - smartGroup # failure in job https://hydra.nixos.org/build/233200155 at 2023-09-02 + - smash # failure in job https://hydra.nixos.org/build/233191239 at 2023-09-02 + - smawk # failure in job https://hydra.nixos.org/build/233258699 at 2023-09-02 + - sme # failure in job https://hydra.nixos.org/build/233208306 at 2023-09-02 + - smerdyakov # failure in job https://hydra.nixos.org/build/233238735 at 2023-09-02 + - smiles # failure in job https://hydra.nixos.org/build/233197831 at 2023-09-02 + - SmithNormalForm # failure in job https://hydra.nixos.org/build/233253620 at 2023-09-02 + - smoothie # failure in job https://hydra.nixos.org/build/233250042 at 2023-09-02 + - smsaero # failure in job https://hydra.nixos.org/build/233215880 at 2023-09-02 + - smtlib2 # failure in job https://hydra.nixos.org/build/233251831 at 2023-09-02 + - smtlib-backends-process # failure in job https://hydra.nixos.org/build/233209223 at 2023-09-02 + - smt-lib # failure in job https://hydra.nixos.org/build/233208443 at 2023-09-02 + - SmtLib # failure in job https://hydra.nixos.org/build/233213271 at 2023-09-02 + - SMTPClient # failure in job https://hydra.nixos.org/build/233247599 at 2023-09-02 + - smtp-mail-ng # failure in job https://hydra.nixos.org/build/233220094 at 2023-09-02 + - smtps-gmail # failure in job https://hydra.nixos.org/build/233191933 at 2023-09-02 + - smuggler2 # failure in job https://hydra.nixos.org/build/233233932 at 2023-09-02 + - smuggler # failure in job https://hydra.nixos.org/build/233199288 at 2023-09-02 + - snake # failure in job https://hydra.nixos.org/build/233242029 at 2023-09-02 + - snake-game # failure in job https://hydra.nixos.org/build/234441416 at 2023-09-13 + - snap-accept # failure in job https://hydra.nixos.org/build/233254776 at 2023-09-02 + - snap-blaze-clay # failure in job https://hydra.nixos.org/build/233204686 at 2023-09-02 + - snap-configuration-utilities # failure in job https://hydra.nixos.org/build/233202496 at 2023-09-02 + - snap-language # failure in job https://hydra.nixos.org/build/233257003 at 2023-09-02 + - snap-loader-dynamic # failure in job https://hydra.nixos.org/build/233197376 at 2023-09-02 + - snap-predicates # failure in job https://hydra.nixos.org/build/233244904 at 2023-09-02 + - snappy-conduit # failure in job https://hydra.nixos.org/build/233196865 at 2023-09-02 + - snap-stream # failure in job https://hydra.nixos.org/build/233237969 at 2023-09-02 + - SNet # failure in job https://hydra.nixos.org/build/233225638 at 2023-09-02 + - snipcheck # failure in job https://hydra.nixos.org/build/233214417 at 2023-09-02 + - snorkels # failure in job https://hydra.nixos.org/build/233229705 at 2023-09-02 + - snowchecked # failure in job https://hydra.nixos.org/build/233258191 at 2023-09-02 + - snowtify # failure in job https://hydra.nixos.org/build/233215099 at 2023-09-02 + - socket-activation # failure in job https://hydra.nixos.org/build/233258011 at 2023-09-02 + - socketed # failure in job https://hydra.nixos.org/build/233210087 at 2023-09-02 + - socketio # failure in job https://hydra.nixos.org/build/233214659 at 2023-09-02 + - socket-sctp # failure in job https://hydra.nixos.org/build/233228125 at 2023-09-02 + - socket-unix # failure in job https://hydra.nixos.org/build/233238226 at 2023-09-02 + - sodium # failure in job https://hydra.nixos.org/build/233213989 at 2023-09-02 + - soegtk # failure in job https://hydra.nixos.org/build/233198991 at 2023-09-02 + - softfloat-hs # failure in job https://hydra.nixos.org/build/233205242 at 2023-09-02 + - solar # failure in job https://hydra.nixos.org/build/233217024 at 2023-09-02 + - solga # failure in job https://hydra.nixos.org/build/233214770 at 2023-09-02 + - som # failure in job https://hydra.nixos.org/build/233193912 at 2023-09-02 + - sonic-visualiser # failure in job https://hydra.nixos.org/build/233257956 at 2023-09-02 + - Sonnex # failure in job https://hydra.nixos.org/build/233229367 at 2023-09-02 + - SoOSiM # failure in job https://hydra.nixos.org/build/233224114 at 2023-09-02 + - sorted # failure in job https://hydra.nixos.org/build/233222633 at 2023-09-02 + - sorting # failure in job https://hydra.nixos.org/build/233214204 at 2023-09-02 + - sorty # failure in job https://hydra.nixos.org/build/233211118 at 2023-09-02 + - souffle-haskell # failure in job https://hydra.nixos.org/build/233229472 at 2023-09-02 + - source-constraints # failure in job https://hydra.nixos.org/build/233254750 at 2023-09-02 + - sousit # failure in job https://hydra.nixos.org/build/233204067 at 2023-09-02 + - soyuz # failure in job https://hydra.nixos.org/build/233196903 at 2023-09-02 + - SpaceInvaders # failure in job https://hydra.nixos.org/build/233205063 at 2023-09-02 + - spacepart # failure in job https://hydra.nixos.org/build/233190848 at 2023-09-02 + - spake2 # failure in job https://hydra.nixos.org/build/233209098 at 2023-09-02 + - spanout # failure in job https://hydra.nixos.org/build/234462954 at 2023-09-13 + - sparsecheck # failure in job https://hydra.nixos.org/build/233253454 at 2023-09-02 + - sparse # failure in job https://hydra.nixos.org/build/233222289 at 2023-09-02 + - sparse-lin-alg # failure in job https://hydra.nixos.org/build/233206178 at 2023-09-02 + - sparse-linear-algebra # failure in job https://hydra.nixos.org/build/233214075 at 2023-09-02 + - sparse-merkle-trees # failure in job https://hydra.nixos.org/build/233251228 at 2023-09-02 + - sparse-tensor # failure in job https://hydra.nixos.org/build/233224869 at 2023-09-02 + - spars # failure in job https://hydra.nixos.org/build/233221560 at 2023-09-02 + - special-functors # failure in job https://hydra.nixos.org/build/233215268 at 2023-09-02 + - special-keys # failure in job https://hydra.nixos.org/build/233191988 at 2023-09-02 + - spectacle # failure in job https://hydra.nixos.org/build/233207488 at 2023-09-02 + - speculation # failure in job https://hydra.nixos.org/build/233211559 at 2023-09-02 + - sphinxesc # failure in job https://hydra.nixos.org/build/233194825 at 2023-09-02 + - sphinx # failure in job https://hydra.nixos.org/build/233247449 at 2023-09-02 + - Spintax # failure in job https://hydra.nixos.org/build/233224001 at 2023-09-02 + - spiros # failure in job https://hydra.nixos.org/build/233249615 at 2023-09-02 + - spir-v # failure in job https://hydra.nixos.org/build/233191427 at 2023-09-02 + - splay # failure in job https://hydra.nixos.org/build/233217055 at 2023-09-02 + - splaytree # failure in job https://hydra.nixos.org/build/233231273 at 2023-09-02 + - splint # failure in job https://hydra.nixos.org/build/233202156 at 2023-09-02 + - split-morphism # failure in job https://hydra.nixos.org/build/233257735 at 2023-09-02 + - splitter # failure in job https://hydra.nixos.org/build/233204684 at 2023-09-02 + - Spock-api-ghcjs # failure in job https://hydra.nixos.org/build/233246163 at 2023-09-02 + - Spock-auth # failure in job https://hydra.nixos.org/build/233212125 at 2023-09-02 + - spoonutil # failure in job https://hydra.nixos.org/build/233257645 at 2023-09-02 + - spotify # failure in job https://hydra.nixos.org/build/233254990 at 2023-09-02 + - spoty # failure in job https://hydra.nixos.org/build/233233863 at 2023-09-02 + - Sprig # failure in job https://hydra.nixos.org/build/233223144 at 2023-09-02 + - spritz # failure in job https://hydra.nixos.org/build/233230733 at 2023-09-02 + - spsa # failure in job https://hydra.nixos.org/build/233221021 at 2023-09-02 + - spy # failure in job https://hydra.nixos.org/build/233208095 at 2023-09-02 + - sqel # failure in job https://hydra.nixos.org/build/233256622 at 2023-09-02 + - sqids # failure in job https://hydra.nixos.org/build/233213849 at 2023-09-02 + - sqlcipher # failure in job https://hydra.nixos.org/build/233259217 at 2023-09-02 + - sqlite # failure in job https://hydra.nixos.org/build/233215839 at 2023-09-02 + - sqlite-simple-errors # failure in job https://hydra.nixos.org/build/233232977 at 2023-09-02 + - sql-simple # failure in job https://hydra.nixos.org/build/233252834 at 2023-09-02 + - sqlvalue-list # failure in job https://hydra.nixos.org/build/233197313 at 2023-09-02 + - srcinst # failure in job https://hydra.nixos.org/build/233221356 at 2023-09-02 + - srt-attoparsec # failure in job https://hydra.nixos.org/build/233248456 at 2023-09-02 + - sscan # failure in job https://hydra.nixos.org/build/233248144 at 2023-09-02 + - ssh # failure in job https://hydra.nixos.org/build/233215512 at 2023-09-02 + - ssh-tunnel # failure in job https://hydra.nixos.org/build/233245203 at 2023-09-02 + - SSTG # failure in job https://hydra.nixos.org/build/233250677 at 2023-09-02 + - st2 # failure in job https://hydra.nixos.org/build/233256469 at 2023-09-02 + - stable-maps # failure in job https://hydra.nixos.org/build/233229084 at 2023-09-02 + - stack2cabal # failure in job https://hydra.nixos.org/build/233663091 at 2023-09-02 + - stack2nix # failure in job https://hydra.nixos.org/build/233662912 at 2023-09-02 + - stackage-cli # failure in job https://hydra.nixos.org/build/233213721 at 2023-09-02 + - stackage-metadata # failure in job https://hydra.nixos.org/build/233197359 at 2023-09-02 + - stackage-to-hackage # failure in job https://hydra.nixos.org/build/233233948 at 2023-09-02 + - stackage-types # failure in job https://hydra.nixos.org/build/233239995 at 2023-09-02 + - stack-bump # failure in job https://hydra.nixos.org/build/233257783 at 2023-09-02 + - stackcollapse-ghc # failure in job https://hydra.nixos.org/build/233250775 at 2023-09-02 + - stack-fix # failure in job https://hydra.nixos.org/build/233253628 at 2023-09-02 + - stack-lib # failure in job https://hydra.nixos.org/build/233662933 at 2023-09-02 + - stack-prism # failure in job https://hydra.nixos.org/build/233216902 at 2023-09-02 + - stack-run # failure in job https://hydra.nixos.org/build/233213318 at 2023-09-02 + - stack-type # failure in job https://hydra.nixos.org/build/233208961 at 2023-09-02 + - stack-wrapper # failure in job https://hydra.nixos.org/build/233259663 at 2023-09-02 + - staged-gg # failure in job https://hydra.nixos.org/build/233252183 at 2023-09-02 + - standalone-derive-topdown # failure in job https://hydra.nixos.org/build/233252467 at 2023-09-02 + - standalone-haddock # failure in job https://hydra.nixos.org/build/233254339 at 2023-09-02 + - stan # failure in job https://hydra.nixos.org/build/233200000 at 2023-09-02 + - starling # failure in job https://hydra.nixos.org/build/233255468 at 2023-09-02 + - starter # failure in job https://hydra.nixos.org/build/233208799 at 2023-09-02 + - stash # failure in job https://hydra.nixos.org/build/233193110 at 2023-09-02 + - Stasis # failure in job https://hydra.nixos.org/build/233209365 at 2023-09-02 + - state-bag # failure in job https://hydra.nixos.org/build/233222753 at 2023-09-02 + - state # failure in job https://hydra.nixos.org/build/233215872 at 2023-09-02 + - state-plus # failure in job https://hydra.nixos.org/build/233243653 at 2023-09-02 + - state-record # failure in job https://hydra.nixos.org/build/233222199 at 2023-09-02 + - static # failure in job https://hydra.nixos.org/build/233217136 at 2023-09-02 + - static-ls # failure in job https://hydra.nixos.org/build/233199876 at 2023-09-02 + - static-tensor # failure in job https://hydra.nixos.org/build/233217705 at 2023-09-02 + - statistics-fusion # failure in job https://hydra.nixos.org/build/233229681 at 2023-09-02 + - statistics-hypergeometric-genvar # failure in job https://hydra.nixos.org/build/233193257 at 2023-09-02 + - statistics-skinny # failure in job https://hydra.nixos.org/build/233233994 at 2023-09-02 + - statsd # failure in job https://hydra.nixos.org/build/233235428 at 2023-09-02 + - stats # failure in job https://hydra.nixos.org/build/233255737 at 2023-09-02 + - statvfs # failure in job https://hydra.nixos.org/build/233220845 at 2023-09-02 + - stb-image-redux # failure in job https://hydra.nixos.org/build/233202153 at 2023-09-02 + - stc-lang # failure in job https://hydra.nixos.org/build/233241234 at 2023-09-02 + - stdata # failure in job https://hydra.nixos.org/build/233255940 at 2023-09-02 + - stdf # failure in job https://hydra.nixos.org/build/233229554 at 2023-09-02 + - stdio # failure in job https://hydra.nixos.org/build/233212474 at 2023-09-02 + - steambrowser # failure in job https://hydra.nixos.org/build/233234382 at 2023-09-02 + - stego-uuid # failure in job https://hydra.nixos.org/build/233206943 at 2023-09-02 + - stemmer # failure in job https://hydra.nixos.org/build/233193774 at 2023-09-02 + - stemmer-german # failure in job https://hydra.nixos.org/build/233212893 at 2023-09-02 + - stepwise # failure in job https://hydra.nixos.org/build/233238388 at 2023-09-02 + - stgi # failure in job https://hydra.nixos.org/build/233233567 at 2023-09-02 + - STL # failure in job https://hydra.nixos.org/build/233231485 at 2023-09-02 + - stm-chunked-queues # failure in job https://hydra.nixos.org/build/233227966 at 2023-09-02 + - stm-firehose # failure in job https://hydra.nixos.org/build/233220943 at 2023-09-02 + - stm-promise # failure in job https://hydra.nixos.org/build/233204293 at 2023-09-02 + - stm-stats # failure in job https://hydra.nixos.org/build/233214914 at 2023-09-02 + - stochastic # failure in job https://hydra.nixos.org/build/233242019 at 2023-09-02 + - Stomp # failure in job https://hydra.nixos.org/build/233252583 at 2023-09-02 + - stooq-api # failure in job https://hydra.nixos.org/build/233200858 at 2023-09-02 + - storable # failure in job https://hydra.nixos.org/build/233228880 at 2023-09-02 + - storable-offset # failure in job https://hydra.nixos.org/build/233242993 at 2023-09-02 + - storable-static-array # failure in job https://hydra.nixos.org/build/233222724 at 2023-09-02 + - stp # failure in job https://hydra.nixos.org/build/233204519 at 2023-09-02 + - Strafunski-ATermLib # failure in job https://hydra.nixos.org/build/233229490 at 2023-09-02 + - Strafunski-StrategyLib # failure in job https://hydra.nixos.org/build/233245449 at 2023-09-02 + - StrappedTemplates # failure in job https://hydra.nixos.org/build/233193696 at 2023-09-02 + - StrategyLib # failure in job https://hydra.nixos.org/build/233214584 at 2023-09-02 + - stratux-types # failure in job https://hydra.nixos.org/build/233232808 at 2023-09-02 + - streamdeck # failure in job https://hydra.nixos.org/build/233226165 at 2023-09-02 + - streamed # failure in job https://hydra.nixos.org/build/233203079 at 2023-09-02 + - stream # failure in job https://hydra.nixos.org/build/233226470 at 2023-09-02 + - stream-fusion # failure in job https://hydra.nixos.org/build/233225947 at 2023-09-02 + - streaming-benchmarks # failure in job https://hydra.nixos.org/build/233216756 at 2023-09-02 + - streaming-brotli # failure in job https://hydra.nixos.org/build/233245393 at 2023-09-02 + - streaming-cassava # failure in job https://hydra.nixos.org/build/233208371 at 2023-09-02 + - streaming-conduit # failure in job https://hydra.nixos.org/build/233259762 at 2023-09-02 + - streaming-events # failure in job https://hydra.nixos.org/build/233198216 at 2023-09-02 + - streaming-lzma # failure in job https://hydra.nixos.org/build/233239635 at 2023-09-02 + - streaming-osm # failure in job https://hydra.nixos.org/build/233229467 at 2023-09-02 + - streaming-png # failure in job https://hydra.nixos.org/build/233236752 at 2023-09-02 + - streaming-postgresql-simple # failure in job https://hydra.nixos.org/build/233212839 at 2023-09-02 + - streaming-with # failure in job https://hydra.nixos.org/build/233191184 at 2023-09-02 + - streamly-binary # failure in job https://hydra.nixos.org/build/233240602 at 2023-09-02 + - streamly-cassava # failure in job https://hydra.nixos.org/build/233237843 at 2023-09-02 + - streamly-fsnotify # failure in job https://hydra.nixos.org/build/233220038 at 2023-09-02 + - streamly-lz4 # failure in job https://hydra.nixos.org/build/233219321 at 2023-09-02 + - streamly-posix # failure in job https://hydra.nixos.org/build/233194023 at 2023-09-02 + - stream-monad # failure in job https://hydra.nixos.org/build/233222592 at 2023-09-02 + - streamproc # failure in job https://hydra.nixos.org/build/233196179 at 2023-09-02 + - strelka-core # failure in job https://hydra.nixos.org/build/233218594 at 2023-09-02 + - str # failure in job https://hydra.nixos.org/build/233227698 at 2023-09-02 + - StrictBench # failure in job https://hydra.nixos.org/build/233259575 at 2023-09-02 + - StrictCheck # failure in job https://hydra.nixos.org/build/233214649 at 2023-09-02 + - strict-containers # failure in job https://hydra.nixos.org/build/233215090 at 2023-09-02 + - strict-ghc-plugin # failure in job https://hydra.nixos.org/build/233246830 at 2023-09-02 + - strictly # failure in job https://hydra.nixos.org/build/233197142 at 2023-09-02 + - strict-tuple-lens # failure in job https://hydra.nixos.org/build/233194548 at 2023-09-02 + - string-class # failure in job https://hydra.nixos.org/build/233230041 at 2023-09-02 + - string-conv-tests # failure in job https://hydra.nixos.org/build/233242710 at 2023-09-02 + - string-fromto # failure in job https://hydra.nixos.org/build/233223157 at 2023-09-02 + - string-isos # failure in job https://hydra.nixos.org/build/233252917 at 2023-09-02 + - stringlike # failure in job https://hydra.nixos.org/build/233258750 at 2023-09-02 + - string-quote # failure in job https://hydra.nixos.org/build/233259595 at 2023-09-02 + - stringtable-atom # failure in job https://hydra.nixos.org/build/233235085 at 2023-09-02 + - stripe-core # failure in job https://hydra.nixos.org/build/233215702 at 2023-09-02 + - stripe # failure in job https://hydra.nixos.org/build/233248173 at 2023-09-02 + - stripe-hs # failure in job https://hydra.nixos.org/build/233203500 at 2023-09-02 + - strong-path # failure in job https://hydra.nixos.org/build/233225171 at 2023-09-02 + - structural-traversal # failure in job https://hydra.nixos.org/build/233235730 at 2023-09-02 + - structures # failure in job https://hydra.nixos.org/build/233206488 at 2023-09-02 + - stt # failure in job https://hydra.nixos.org/build/233233101 at 2023-09-02 + - stunclient # failure in job https://hydra.nixos.org/build/233251136 at 2023-09-02 + - stylish-cabal # failure in job https://hydra.nixos.org/build/233202801 at 2023-09-02 + - stylist # failure in job https://hydra.nixos.org/build/233223662 at 2023-09-02 + - stylized # failure in job https://hydra.nixos.org/build/233211499 at 2023-09-02 + - subG-instances # failure in job https://hydra.nixos.org/build/233216227 at 2023-09-02 + - subleq-toolchain # failure in job https://hydra.nixos.org/build/233239415 at 2023-09-02 + - submark # failure in job https://hydra.nixos.org/build/233231701 at 2023-09-02 + - subsample # failure in job https://hydra.nixos.org/build/233201324 at 2023-09-02 + - sub-state # failure in job https://hydra.nixos.org/build/233202687 at 2023-09-02 + - subwordgraph # failure in job https://hydra.nixos.org/build/233229131 at 2023-09-02 + - suffix-array # failure in job https://hydra.nixos.org/build/233247630 at 2023-09-02 + - suffixarray # failure in job https://hydra.nixos.org/build/233256495 at 2023-09-02 + - SuffixStructures # failure in job https://hydra.nixos.org/build/233245541 at 2023-09-02 + - sugar # failure in job https://hydra.nixos.org/build/233202489 at 2023-09-02 + - sugarhaskell # failure in job https://hydra.nixos.org/build/233199879 at 2023-09-02 + - suitable # failure in job https://hydra.nixos.org/build/233225075 at 2023-09-02 + - summoner # failure in job https://hydra.nixos.org/build/233232530 at 2023-09-02 + - sum-type-boilerplate # failure in job https://hydra.nixos.org/build/233251040 at 2023-09-02 + - sunlight # failure in job https://hydra.nixos.org/build/233212375 at 2023-09-02 + - sunroof-compiler # failure in job https://hydra.nixos.org/build/233228734 at 2023-09-02 + - superbubbles # failure in job https://hydra.nixos.org/build/233243148 at 2023-09-02 + - superevent # failure in job https://hydra.nixos.org/build/233251723 at 2023-09-02 + - supermonad # failure in job https://hydra.nixos.org/build/233203124 at 2023-09-02 + - supero # failure in job https://hydra.nixos.org/build/233191836 at 2023-09-02 + - superrecord # failure in job https://hydra.nixos.org/build/233249988 at 2023-09-02 + - super-user-spark # failure in job https://hydra.nixos.org/build/233253403 at 2023-09-02 + - supervisor # failure in job https://hydra.nixos.org/build/233226414 at 2023-09-02 + - supervisors # failure in job https://hydra.nixos.org/build/233238299 at 2023-09-02 + - supplemented # failure in job https://hydra.nixos.org/build/233237397 at 2023-09-02 + - surjective # failure in job https://hydra.nixos.org/build/233242908 at 2023-09-02 + - sv2v # failure in job https://hydra.nixos.org/build/233190750 at 2023-09-02 + - sv-core # failure in job https://hydra.nixos.org/build/233217245 at 2023-09-02 + - SVD2HS # failure in job https://hydra.nixos.org/build/233248575 at 2023-09-02 + - svfactor # failure in job https://hydra.nixos.org/build/233256743 at 2023-09-02 + - svg-builder-fork # failure in job https://hydra.nixos.org/build/233224461 at 2023-09-02 + - svgsym # failure in job https://hydra.nixos.org/build/233255287 at 2023-09-02 + - svgutils # failure in job https://hydra.nixos.org/build/233193438 at 2023-09-02 + - svm-light-utils # failure in job https://hydra.nixos.org/build/233219138 at 2023-09-02 + - svm-simple # failure in job https://hydra.nixos.org/build/233235871 at 2023-09-02 + - svndump # failure in job https://hydra.nixos.org/build/233229122 at 2023-09-02 + - swagger # failure in job https://hydra.nixos.org/build/233198161 at 2023-09-02 + - swagger-petstore # failure in job https://hydra.nixos.org/build/233205797 at 2023-09-02 + - swagger-test # failure in job https://hydra.nixos.org/build/233231958 at 2023-09-02 + - swarm # failure in job https://hydra.nixos.org/build/233201687 at 2023-09-02 + - swearjure # failure in job https://hydra.nixos.org/build/233231407 at 2023-09-02 + - swf # failure in job https://hydra.nixos.org/build/233191062 at 2023-09-02 + - swift-lda # failure in job https://hydra.nixos.org/build/233252608 at 2023-09-02 + - swiss-ephemeris # failure in job https://hydra.nixos.org/build/233250845 at 2023-09-02 + - swiss # failure in job https://hydra.nixos.org/build/233251942 at 2023-09-02 + - sws # failure in job https://hydra.nixos.org/build/233246954 at 2023-09-02 + - syb-extras # failure in job https://hydra.nixos.org/build/233206431 at 2023-09-02 + - syb-with-class # failure in job https://hydra.nixos.org/build/233222818 at 2023-09-02 + - sydtest-hedis # failure in job https://hydra.nixos.org/build/233207856 at 2023-09-02 + - sydtest-hspec # failure in job https://hydra.nixos.org/build/233228638 at 2023-09-02 + - sydtest-mongo # failure in job https://hydra.nixos.org/build/233249700 at 2023-09-02 + - sydtest-persistent-postgresql # failure in job https://hydra.nixos.org/build/233214331 at 2023-09-02 + - sydtest-persistent-sqlite # failure in job https://hydra.nixos.org/build/233229399 at 2023-09-02 + - sydtest-rabbitmq # failure in job https://hydra.nixos.org/build/233260128 at 2023-09-02 + - sydtest-webdriver # failure in job https://hydra.nixos.org/build/233245448 at 2023-09-02 + - syfco # failure in job https://hydra.nixos.org/build/233225199 at 2023-09-02 + - symantic-cli # failure in job https://hydra.nixos.org/build/233225149 at 2023-09-02 + - symantic # failure in job https://hydra.nixos.org/build/233230940 at 2023-09-02 + - symantic-http-client # failure in job https://hydra.nixos.org/build/233222353 at 2023-09-02 + - symantic-parser # failure in job https://hydra.nixos.org/build/233197879 at 2023-09-02 + - symantic-xml # failure in job https://hydra.nixos.org/build/233230860 at 2023-09-02 + - symbolic-link # failure in job https://hydra.nixos.org/build/233255331 at 2023-09-02 + - symengine # failure in job https://hydra.nixos.org/build/233203977 at 2023-09-02 + - sync # failure in job https://hydra.nixos.org/build/233254114 at 2023-09-02 + - sync-mht # failure in job https://hydra.nixos.org/build/233236022 at 2023-09-02 + - syntactic # failure in job https://hydra.nixos.org/build/233210123 at 2023-09-02 + - syntax-trees # failure in job https://hydra.nixos.org/build/233209576 at 2023-09-02 + - syntax-trees-fork-bairyn # failure in job https://hydra.nixos.org/build/233216989 at 2023-09-02 + - synthesizer # failure in job https://hydra.nixos.org/build/233259139 at 2023-09-02 + - Sysmon # failure in job https://hydra.nixos.org/build/233224152 at 2023-09-02 + - system-canonicalpath # failure in job https://hydra.nixos.org/build/233254297 at 2023-09-02 + - system-command # failure in job https://hydra.nixos.org/build/233239356 at 2023-09-02 + - system-extra # failure in job https://hydra.nixos.org/build/233203137 at 2023-09-02 + - system-inotify # failure in job https://hydra.nixos.org/build/233206871 at 2023-09-02 + - system-lifted # failure in job https://hydra.nixos.org/build/233236013 at 2023-09-02 + - system-linux-proc # failure in job https://hydra.nixos.org/build/233209447 at 2023-09-02 + - system-locale # failure in job https://hydra.nixos.org/build/233201789 at 2023-09-02 + - system-random-effect # failure in job https://hydra.nixos.org/build/233232067 at 2023-09-02 + - system-test # failure in job https://hydra.nixos.org/build/233240318 at 2023-09-02 + - t3-server # failure in job https://hydra.nixos.org/build/233220511 at 2023-09-02 + - tableaux # failure in job https://hydra.nixos.org/build/233230428 at 2023-09-02 + - table # failure in job https://hydra.nixos.org/build/233223186 at 2023-09-02 + - Tables # failure in job https://hydra.nixos.org/build/233195704 at 2023-09-02 + - tables # failure in job https://hydra.nixos.org/build/233224632 at 2023-09-02 + - tablestorage # failure in job https://hydra.nixos.org/build/233195223 at 2023-09-02 + - table-tennis # failure in job https://hydra.nixos.org/build/233225503 at 2023-09-02 + - Tablify # failure in job https://hydra.nixos.org/build/233219427 at 2023-09-02 + - tabloid # failure in job https://hydra.nixos.org/build/233244751 at 2023-09-02 + - tabs # failure in job https://hydra.nixos.org/build/233227179 at 2023-09-02 + - tag-bits # failure in job https://hydra.nixos.org/build/233245196 at 2023-09-02 + - tagged-exception-core # failure in job https://hydra.nixos.org/build/233227946 at 2023-09-02 + - tagged-timers # failure in job https://hydra.nixos.org/build/233238738 at 2023-09-02 + - taggy-lens # failure in job https://hydra.nixos.org/build/233253920 at 2023-09-02 + - taglib-api # failure in job https://hydra.nixos.org/build/233259216 at 2023-09-02 + - tagsoup-ht # failure in job https://hydra.nixos.org/build/233233672 at 2023-09-02 + - tagsoup-megaparsec # failure in job https://hydra.nixos.org/build/233205700 at 2023-09-02 + - tagsoup-parsec # failure in job https://hydra.nixos.org/build/233200887 at 2023-09-02 + - tagsoup-selection # failure in job https://hydra.nixos.org/build/233228969 at 2023-09-02 + - tagtree # failure in job https://hydra.nixos.org/build/233209409 at 2023-09-02 + - tahoe-capabilities # failure in job https://hydra.nixos.org/build/233253813 at 2023-09-02 + - tai64 # failure in job https://hydra.nixos.org/build/233257422 at 2023-09-02 + - tai # failure in job https://hydra.nixos.org/build/233210483 at 2023-09-02 + - tailwind # failure in job https://hydra.nixos.org/build/233238757 at 2023-09-02 + - tak # failure in job https://hydra.nixos.org/build/233191188 at 2023-09-02 + - Takusen # failure in job https://hydra.nixos.org/build/233230088 at 2023-09-02 + - takusen-oracle # failure in job https://hydra.nixos.org/build/233197944 at 2023-09-02 + - tamarin-prover-utils # failure in job https://hydra.nixos.org/build/233235417 at 2023-09-02 + - Tape # failure in job https://hydra.nixos.org/build/233191662 at 2023-09-02 + - tapioca # failure in job https://hydra.nixos.org/build/233207781 at 2023-09-02 + - TaskMonad # failure in job https://hydra.nixos.org/build/233219257 at 2023-09-02 + - tasty-autocollect # failure in job https://hydra.nixos.org/build/233256957 at 2023-09-02 + - tasty-auto # failure in job https://hydra.nixos.org/build/233220008 at 2023-09-02 + - tasty-fail-fast # failure in job https://hydra.nixos.org/build/233200040 at 2023-09-02 + - tasty-hedgehog-coverage # failure in job https://hydra.nixos.org/build/233231332 at 2023-09-02 + - tasty-mgolden # failure in job https://hydra.nixos.org/build/233248196 at 2023-09-02 + - tasty-stats # failure in job https://hydra.nixos.org/build/233228752 at 2023-09-02 + - tasty-test-reporter # failure in job https://hydra.nixos.org/build/233208181 at 2023-09-02 + - tasty-test-vector # failure in job https://hydra.nixos.org/build/233231957 at 2023-09-02 + - TBC # failure in job https://hydra.nixos.org/build/233217773 at 2023-09-02 + - TBit # failure in job https://hydra.nixos.org/build/233232991 at 2023-09-02 + - tcache-AWS # failure in job https://hydra.nixos.org/build/233250577 at 2023-09-02 + - tcp # failure in job https://hydra.nixos.org/build/233249662 at 2023-09-02 + - tcp-streams-openssl # failure in job https://hydra.nixos.org/build/233258076 at 2023-09-02 + - tdigest-Chart # failure in job https://hydra.nixos.org/build/233244784 at 2023-09-02 + - tdoc # failure in job https://hydra.nixos.org/build/233250532 at 2023-09-02 + - tds # failure in job https://hydra.nixos.org/build/233201528 at 2023-09-02 + - teams # failure in job https://hydra.nixos.org/build/233228277 at 2023-09-02 + - technique # failure in job https://hydra.nixos.org/build/233196740 at 2023-09-02 + - teeth # failure in job https://hydra.nixos.org/build/233238279 at 2023-09-02 + - tehepero # failure in job https://hydra.nixos.org/build/233245967 at 2023-09-02 + - telega # failure in job https://hydra.nixos.org/build/233239016 at 2023-09-02 + - telegram-api # failure in job https://hydra.nixos.org/build/233255927 at 2023-09-02 + - telegram # failure in job https://hydra.nixos.org/build/233203974 at 2023-09-02 + - telegram-types # failure in job https://hydra.nixos.org/build/233598183 at 2023-09-02 + - telegraph # failure in job https://hydra.nixos.org/build/233213772 at 2023-09-02 + - teleport # failure in job https://hydra.nixos.org/build/233194305 at 2023-09-02 + - teleshell # failure in job https://hydra.nixos.org/build/233225954 at 2023-09-02 + - tellbot # failure in job https://hydra.nixos.org/build/233200225 at 2023-09-02 + - template-default # failure in job https://hydra.nixos.org/build/233238125 at 2023-09-02 + - template # failure in job https://hydra.nixos.org/build/233242058 at 2023-09-02 + - template-haskell-optics # failure in job https://hydra.nixos.org/build/233203627 at 2023-09-02 + - template-haskell-util # failure in job https://hydra.nixos.org/build/233198104 at 2023-09-02 + - template-hsml # failure in job https://hydra.nixos.org/build/233203243 at 2023-09-02 + - templateify # failure in job https://hydra.nixos.org/build/233234863 at 2023-09-02 + - templatepg # failure in job https://hydra.nixos.org/build/233250442 at 2023-09-02 + - template-toolkit # failure in job https://hydra.nixos.org/build/233195990 at 2023-09-02 + - template-yj # failure in job https://hydra.nixos.org/build/233236245 at 2023-09-02 + - tempodb # failure in job https://hydra.nixos.org/build/233205994 at 2023-09-02 + - tempus # failure in job https://hydra.nixos.org/build/233245670 at 2023-09-02 + - ten # failure in job https://hydra.nixos.org/build/233216705 at 2023-09-02 + - tensor # failure in job https://hydra.nixos.org/build/233233707 at 2023-09-02 + - tensorflow-core-ops # failure building python tensorflow dependency 2023-09-09 + - tensorflow # failure building python tensorflow dependency 2023-09-09 + - tensorflow-logging # failure building python tensorflow dependency 2023-09-09 + - tensorflow-ops # failure building python tensorflow dependency 2023-09-09 + - tensor-safe # failure in job https://hydra.nixos.org/build/233239719 at 2023-09-02 + - termbox-bindings # failure in job https://hydra.nixos.org/build/233257579 at 2023-09-02 + - termination-combinators # failure in job https://hydra.nixos.org/build/233202329 at 2023-09-02 + - termplot # failure in job https://hydra.nixos.org/build/233245692 at 2023-09-02 + - terntup # failure in job https://hydra.nixos.org/build/233203746 at 2023-09-02 + - tersmu # failure in job https://hydra.nixos.org/build/233253842 at 2023-09-02 + - testCom # failure in job https://hydra.nixos.org/build/233210118 at 2023-09-02 + - testcontainers # failure in job https://hydra.nixos.org/build/233226596 at 2023-09-02 + - TestExplode # failure in job https://hydra.nixos.org/build/233207327 at 2023-09-02 + - test-fixture # failure in job https://hydra.nixos.org/build/233203103 at 2023-09-02 + - test-framework-doctest # failure in job https://hydra.nixos.org/build/233256232 at 2023-09-02 + - test-framework-quickcheck # failure in job https://hydra.nixos.org/build/233199583 at 2023-09-02 + - test-framework-skip # failure in job https://hydra.nixos.org/build/233248465 at 2023-09-02 + - test-framework-testing-feat # failure in job https://hydra.nixos.org/build/233227290 at 2023-09-02 + - test-framework-th-prime # failure in job https://hydra.nixos.org/build/233241423 at 2023-09-02 + - test-lib # failure in job https://hydra.nixos.org/build/233195296 at 2023-09-02 + - testloop # failure in job https://hydra.nixos.org/build/233206527 at 2023-09-02 + - testpack # failure in job https://hydra.nixos.org/build/233194859 at 2023-09-02 + - testpattern # failure in job https://hydra.nixos.org/build/233225860 at 2023-09-02 + - testPkg # failure in job https://hydra.nixos.org/build/233221832 at 2023-09-02 + - test-pkg # failure in job https://hydra.nixos.org/build/233242918 at 2023-09-02 + - testrunner # failure in job https://hydra.nixos.org/build/233243675 at 2023-09-02 + - test-shouldbe # failure in job https://hydra.nixos.org/build/233209475 at 2023-09-02 + - tex2txt # failure in job https://hydra.nixos.org/build/233253484 at 2023-09-02 + - texbuilder # failure in job https://hydra.nixos.org/build/233190830 at 2023-09-02 + - tex-join-bib # failure in job https://hydra.nixos.org/build/233220994 at 2023-09-02 + - TeX-my-math # failure in job https://hydra.nixos.org/build/233243992 at 2023-09-02 + - text1 # failure in job https://hydra.nixos.org/build/233252861 at 2023-09-02 + - text-all # failure in job https://hydra.nixos.org/build/233229321 at 2023-09-02 + - text-and-plots # failure in job https://hydra.nixos.org/build/233205250 at 2023-09-02 + - text-ascii # failure in job https://hydra.nixos.org/build/233247653 at 2023-09-02 + - text-compression # failure in job https://hydra.nixos.org/build/233202733 at 2023-09-02 + - text-containers # failure in job https://hydra.nixos.org/build/233253948 at 2023-09-02 + - text-format-heavy # failure in job https://hydra.nixos.org/build/233213729 at 2023-09-02 + - text-generic-pretty # failure in job https://hydra.nixos.org/build/233223672 at 2023-09-02 + - text-icu-normalized # failure in job https://hydra.nixos.org/build/233234496 at 2023-09-02 + - text-icu-translit # failure in job https://hydra.nixos.org/build/233255876 at 2023-09-02 + - text-lens # failure in job https://hydra.nixos.org/build/233227419 at 2023-09-02 + - text-markup # failure in job https://hydra.nixos.org/build/233233739 at 2023-09-02 + - text-normal # failure in job https://hydra.nixos.org/build/233198509 at 2023-09-02 + - textocat-api # failure in job https://hydra.nixos.org/build/233252736 at 2023-09-02 + - text-offset # failure in job https://hydra.nixos.org/build/233250030 at 2023-09-02 + - text-position # failure in job https://hydra.nixos.org/build/233241860 at 2023-09-02 + - text-register-machine # failure in job https://hydra.nixos.org/build/233239758 at 2023-09-02 + - text-stream-decode # failure in job https://hydra.nixos.org/build/233237533 at 2023-09-02 + - text-trie # failure in job https://hydra.nixos.org/build/233231841 at 2023-09-02 + - textual # failure in job https://hydra.nixos.org/build/233254230 at 2023-09-02 + - text-utf7 # failure in job https://hydra.nixos.org/build/233254420 at 2023-09-02 + - text-utf8 # failure in job https://hydra.nixos.org/build/233198812 at 2023-09-02 + - text-xml-qq # failure in job https://hydra.nixos.org/build/233240984 at 2023-09-02 + - text-zipper-monad # failure in job https://hydra.nixos.org/build/233207310 at 2023-09-02 + - tfp-th # failure in job https://hydra.nixos.org/build/233238914 at 2023-09-02 + - tftp # failure in job https://hydra.nixos.org/build/233199412 at 2023-09-02 + - tga # failure in job https://hydra.nixos.org/build/233198921 at 2023-09-02 + - thank-you-stars # failure in job https://hydra.nixos.org/build/233219923 at 2023-09-02 + - th-build # failure in job https://hydra.nixos.org/build/233224794 at 2023-09-02 + - th-dict-discovery # failure in job https://hydra.nixos.org/build/233204140 at 2023-09-02 + - THEff # failure in job https://hydra.nixos.org/build/233221239 at 2023-09-02 + - themoviedb # failure in job https://hydra.nixos.org/build/233233008 at 2023-09-02 + - thentos-cookie-session # failure in job https://hydra.nixos.org/build/233234700 at 2023-09-02 + - Theora # failure in job https://hydra.nixos.org/build/233232413 at 2023-09-02 + - theoremquest # failure in job https://hydra.nixos.org/build/233248330 at 2023-09-02 + - th-fold # failure in job https://hydra.nixos.org/build/233196363 at 2023-09-02 + - th-format # failure in job https://hydra.nixos.org/build/233226394 at 2023-09-02 + - thih # failure in job https://hydra.nixos.org/build/233245123 at 2023-09-02 + - Thingie # failure in job https://hydra.nixos.org/build/233251760 at 2023-09-02 + - th-inline-io-action # failure in job https://hydra.nixos.org/build/233207225 at 2023-09-02 + - th-instance-reification # failure in job https://hydra.nixos.org/build/233227028 at 2023-09-02 + - th-kinds # failure in job https://hydra.nixos.org/build/233237247 at 2023-09-02 + - th-kinds-fork # failure in job https://hydra.nixos.org/build/233248565 at 2023-09-02 + - thock # failure in job https://hydra.nixos.org/build/233256198 at 2023-09-02 + - thorn # failure in job https://hydra.nixos.org/build/233242024 at 2023-09-02 + - th-pprint # failure in job https://hydra.nixos.org/build/233200791 at 2023-09-02 + - threadmanager # failure in job https://hydra.nixos.org/build/233230492 at 2023-09-02 + - threepenny-editors # failure in job https://hydra.nixos.org/build/233248820 at 2023-09-02 + - threepenny-gui-contextmenu # failure in job https://hydra.nixos.org/build/233242035 at 2023-09-02 + - threepenny-gui-flexbox # failure in job https://hydra.nixos.org/build/233213545 at 2023-09-02 + - thrift # failure in job https://hydra.nixos.org/build/233194283 at 2023-09-02 + - Thrift # failure in job https://hydra.nixos.org/build/233221585 at 2023-09-02 + - throttled-io-loop # failure in job https://hydra.nixos.org/build/233221912 at 2023-09-02 + - throttle-io-stream # failure in job https://hydra.nixos.org/build/233228060 at 2023-09-02 + - through-text # failure in job https://hydra.nixos.org/build/233217175 at 2023-09-02 + - throwable-exceptions # failure in job https://hydra.nixos.org/build/233206169 at 2023-09-02 + - th-sccs # failure in job https://hydra.nixos.org/build/233228878 at 2023-09-02 + - th-tc # failure in job https://hydra.nixos.org/build/233243402 at 2023-09-02 + - th-to-exp # failure in job https://hydra.nixos.org/build/233198437 at 2023-09-02 + - th-traced # failure in job https://hydra.nixos.org/build/233247762 at 2023-09-02 + - thumbnail # failure in job https://hydra.nixos.org/build/233257110 at 2023-09-02 + - thumbnail-plus # failure in job https://hydra.nixos.org/build/233255464 at 2023-09-02 + - tianbar # failure in job https://hydra.nixos.org/build/233195811 at 2023-09-02 + - ticket-management # failure in job https://hydra.nixos.org/build/233247134 at 2023-09-02 + - tictactoe3d # failure in job https://hydra.nixos.org/build/233193080 at 2023-09-02 + - TicTacToe # failure in job https://hydra.nixos.org/build/233256784 at 2023-09-02 + - tidal-midi # failure in job https://hydra.nixos.org/build/233194389 at 2023-09-02 + - tidal-vis # failure in job https://hydra.nixos.org/build/234465445 at 2023-09-13 + - tie-knot # failure in job https://hydra.nixos.org/build/233201321 at 2023-09-02 + - tiempo # failure in job https://hydra.nixos.org/build/233250728 at 2023-09-02 + - tiger # failure in job https://hydra.nixos.org/build/233249333 at 2023-09-02 + - TigerHash # failure in job https://hydra.nixos.org/build/233208162 at 2023-09-02 + - tightrope # failure in job https://hydra.nixos.org/build/233215237 at 2023-09-02 + - tikzsd # failure in job https://hydra.nixos.org/build/233224431 at 2023-09-02 + - timecalc # failure in job https://hydra.nixos.org/build/233207970 at 2023-09-02 + - time-extras # failure in job https://hydra.nixos.org/build/233204030 at 2023-09-02 + - time-machine # failure in job https://hydra.nixos.org/build/233192743 at 2023-09-02 + - timemap # failure in job https://hydra.nixos.org/build/233250038 at 2023-09-02 + - timeout # failure in job https://hydra.nixos.org/build/233193307 at 2023-09-02 + - timeout-with-results # failure in job https://hydra.nixos.org/build/233212129 at 2023-09-02 + - timeparsers # failure in job https://hydra.nixos.org/build/233250789 at 2023-09-02 + - TimePiece # failure in job https://hydra.nixos.org/build/233213400 at 2023-09-02 + - timeplot # failure in job https://hydra.nixos.org/build/233207049 at 2023-09-02 + - time-qq # failure in job https://hydra.nixos.org/build/233218378 at 2023-09-02 + - time-quote # failure in job https://hydra.nixos.org/build/233209453 at 2023-09-02 + - time-recurrence # failure in job https://hydra.nixos.org/build/233221759 at 2023-09-02 + - timeseries # failure in job https://hydra.nixos.org/build/233216686 at 2023-09-02 + - time-series # failure in job https://hydra.nixos.org/build/233231491 at 2023-09-02 + - time-series-lib # failure in job https://hydra.nixos.org/build/233247465 at 2023-09-02 + - timeutils # failure in job https://hydra.nixos.org/build/233230513 at 2023-09-02 + - time-w3c # failure in job https://hydra.nixos.org/build/233225902 at 2023-09-02 + - timezone-detect # failure in job https://hydra.nixos.org/build/233205213 at 2023-09-02 + - tinyid # failure in job https://hydra.nixos.org/build/233249999 at 2023-09-02 + - TinyLaunchbury # failure in job https://hydra.nixos.org/build/233233671 at 2023-09-02 + - tinylog # failure in job https://hydra.nixos.org/build/233198434 at 2023-09-02 + - tiny-scheduler # failure in job https://hydra.nixos.org/build/233224849 at 2023-09-02 + - tinytemplate # failure in job https://hydra.nixos.org/build/233208032 at 2023-09-02 + - TinyURL # failure in job https://hydra.nixos.org/build/233200716 at 2023-09-02 + - tinyXml # failure in job https://hydra.nixos.org/build/233226725 at 2023-09-02 + - tiphys # failure in job https://hydra.nixos.org/build/233199377 at 2023-09-02 + - titan-debug-yampa # failure in job https://hydra.nixos.org/build/233258714 at 2023-09-02 + - titan-record-yampa # failure in job https://hydra.nixos.org/build/233204913 at 2023-09-02 + - Titim # failure in job https://hydra.nixos.org/build/233196143 at 2023-09-02 + - tkhs # failure in job https://hydra.nixos.org/build/233216589 at 2023-09-02 + - tkyprof # failure in job https://hydra.nixos.org/build/233205547 at 2023-09-02 + - tls-debug # failure in job https://hydra.nixos.org/build/233228426 at 2023-09-02 + - TLT # failure in job https://hydra.nixos.org/build/233193495 at 2023-09-02 + - tmp-proc-example # failure in job https://hydra.nixos.org/build/233223028 at 2023-09-02 + - tmp-proc-zipkin # failure in job https://hydra.nixos.org/build/233210220 at 2023-09-02 + - tofromxml # failure in job https://hydra.nixos.org/build/233257072 at 2023-09-02 + - to-haskell # failure in job https://hydra.nixos.org/build/233195321 at 2023-09-02 + - toilet # failure in job https://hydra.nixos.org/build/233229543 at 2023-09-02 + - tokenify # failure in job https://hydra.nixos.org/build/233249392 at 2023-09-02 + - tokenizer # failure in job https://hydra.nixos.org/build/233259112 at 2023-09-02 + - tokenizer-streaming # failure in job https://hydra.nixos.org/build/233232725 at 2023-09-02 + - token-limiter # failure in job https://hydra.nixos.org/build/233255093 at 2023-09-02 + - token-search # failure in job https://hydra.nixos.org/build/233212111 at 2023-09-02 + - tokyocabinet-haskell # failure in job https://hydra.nixos.org/build/233193492 at 2023-09-02 + - tokyotyrant-haskell # failure in job https://hydra.nixos.org/build/233256228 at 2023-09-02 + - toml # failure in job https://hydra.nixos.org/build/233223844 at 2023-09-02 + - tonalude # failure in job https://hydra.nixos.org/build/233204874 at 2023-09-02 + - tonaparser # failure in job https://hydra.nixos.org/build/233224261 at 2023-09-02 + - toodles # failure in job https://hydra.nixos.org/build/233245612 at 2023-09-02 + - Top # failure in job https://hydra.nixos.org/build/233234827 at 2023-09-02 + - topkata # failure in job https://hydra.nixos.org/build/233231937 at 2023-09-02 + - torch # failure in job https://hydra.nixos.org/build/233222854 at 2023-09-02 + - TORCS # failure in job https://hydra.nixos.org/build/233192054 at 2023-09-02 + - to-string-class # failure in job https://hydra.nixos.org/build/233244336 at 2023-09-02 + - TotalMap # failure in job https://hydra.nixos.org/build/233194327 at 2023-09-02 + - total-maps # failure in job https://hydra.nixos.org/build/233242562 at 2023-09-02 + - touched # failure in job https://hydra.nixos.org/build/233199113 at 2023-09-02 + - Tournament # failure in job https://hydra.nixos.org/build/233239014 at 2023-09-02 + - toxiproxy-haskell # failure in job https://hydra.nixos.org/build/233222810 at 2023-09-02 + - tptp # failure in job https://hydra.nixos.org/build/233195607 at 2023-09-02 + - trace-call # failure in job https://hydra.nixos.org/build/233250575 at 2023-09-02 + - traced # failure in job https://hydra.nixos.org/build/233229953 at 2023-09-02 + - trace # failure in job https://hydra.nixos.org/build/233196655 at 2023-09-02 + - trace-function-call # failure in job https://hydra.nixos.org/build/233243062 at 2023-09-02 + - tracetree # failure in job https://hydra.nixos.org/build/233213937 at 2023-09-02 + - tracked-files # failure in job https://hydra.nixos.org/build/233256779 at 2023-09-02 + - tracker # failure in job https://hydra.nixos.org/build/233226925 at 2023-09-02 + - trackit # failure in job https://hydra.nixos.org/build/233243891 at 2023-09-02 + - traction # failure in job https://hydra.nixos.org/build/233193894 at 2023-09-02 + - tracy # failure in job https://hydra.nixos.org/build/233210215 at 2023-09-02 + - traildb # failure in job https://hydra.nixos.org/build/233223153 at 2023-09-02 + - transactional-events # failure in job https://hydra.nixos.org/build/233205740 at 2023-09-02 + - transf # failure in job https://hydra.nixos.org/build/233202251 at 2023-09-02 + - TransformeR # failure in job https://hydra.nixos.org/build/233255786 at 2023-09-02 + - transformers-bifunctors # failure in job https://hydra.nixos.org/build/233258007 at 2023-09-02 + - transformers-compose # failure in job https://hydra.nixos.org/build/233212861 at 2023-09-02 + - transformers-lift # failure in job https://hydra.nixos.org/build/233223136 at 2023-09-02 + - transformers-runnable # failure in job https://hydra.nixos.org/build/233209164 at 2023-09-02 + - TransformersStepByStep # failure in job https://hydra.nixos.org/build/233243582 at 2023-09-02 + - trans-fx-core # failure in job https://hydra.nixos.org/build/233219543 at 2023-09-02 + - transient # failure in job https://hydra.nixos.org/build/233221557 at 2023-09-02 + - translatable-intset # failure in job https://hydra.nixos.org/build/233252531 at 2023-09-02 + - translate # failure in job https://hydra.nixos.org/build/233239029 at 2023-09-02 + - traverse-code # failure in job https://hydra.nixos.org/build/233236749 at 2023-09-02 + - travis # failure in job https://hydra.nixos.org/build/233230706 at 2023-09-02 + - travis-meta-yaml # failure in job https://hydra.nixos.org/build/233251064 at 2023-09-02 + - trawl # failure in job https://hydra.nixos.org/build/233255726 at 2023-09-02 + - traypoweroff # failure in job https://hydra.nixos.org/build/233236313 at 2023-09-02 + - treap # failure in job https://hydra.nixos.org/build/233248089 at 2023-09-02 + - treemap # failure in job https://hydra.nixos.org/build/233207063 at 2023-09-02 + - treemap-html # failure in job https://hydra.nixos.org/build/233248494 at 2023-09-02 + - tree-monad # failure in job https://hydra.nixos.org/build/233212246 at 2023-09-02 + - tree-render-text # failure in job https://hydra.nixos.org/build/233240817 at 2023-09-02 + - TreeStructures # failure in job https://hydra.nixos.org/build/233213685 at 2023-09-02 + - tree-traversals # failure in job https://hydra.nixos.org/build/233206118 at 2023-09-02 + - t-regex # failure in job https://hydra.nixos.org/build/233254486 at 2023-09-02 + - tremulous-query # failure in job https://hydra.nixos.org/build/233200947 at 2023-09-02 + - TrendGraph # failure in job https://hydra.nixos.org/build/233258651 at 2023-09-02 + - trhsx # failure in job https://hydra.nixos.org/build/233231297 at 2023-09-02 + - trim # failure in job https://hydra.nixos.org/build/233195034 at 2023-09-02 + - tripLL # failure in job https://hydra.nixos.org/build/233217806 at 2023-09-02 + - trivia # failure in job https://hydra.nixos.org/build/233234176 at 2023-09-02 + - tropical # failure in job https://hydra.nixos.org/build/233212835 at 2023-09-02 + - tropical-geometry # failure in job https://hydra.nixos.org/build/234465815 at 2023-09-13 + - trust-chain # failure in job https://hydra.nixos.org/build/233252622 at 2023-09-02 + - tsession # failure in job https://hydra.nixos.org/build/233259005 at 2023-09-02 + - tslib # failure in job https://hydra.nixos.org/build/233225813 at 2023-09-02 + - tsparse # failure in job https://hydra.nixos.org/build/233195941 at 2023-09-02 + - tsp-viz # failure in job https://hydra.nixos.org/build/234446818 at 2023-09-13 + - tsuntsun # failure in job https://hydra.nixos.org/build/233259481 at 2023-09-02 + - tsvsql # failure in job https://hydra.nixos.org/build/233230566 at 2023-09-02 + - ttask # failure in job https://hydra.nixos.org/build/233227241 at 2023-09-02 + - ttl-hashtables # failure in job https://hydra.nixos.org/build/233251418 at 2023-09-02 + - ttn-client # failure in job https://hydra.nixos.org/build/233226059 at 2023-09-02 + - tttool # failure in job https://hydra.nixos.org/build/233234046 at 2023-09-02 + - tubes # failure in job https://hydra.nixos.org/build/233245507 at 2023-09-02 + - tuntap # failure in job https://hydra.nixos.org/build/233199575 at 2023-09-02 + - tuple-append-instances # failure in job https://hydra.nixos.org/build/233256201 at 2023-09-02 + - tupleinstances # failure in job https://hydra.nixos.org/build/233208004 at 2023-09-02 + - tuple-lenses # failure in job https://hydra.nixos.org/build/233239309 at 2023-09-02 + - turing-machines # failure in job https://hydra.nixos.org/build/233195604 at 2023-09-02 + - turing-music # failure in job https://hydra.nixos.org/build/233203435 at 2023-09-02 + - turtle-options # failure in job https://hydra.nixos.org/build/233255831 at 2023-09-02 + - tweak # failure in job https://hydra.nixos.org/build/233211020 at 2023-09-02 + - twentefp-websockets # failure in job https://hydra.nixos.org/build/233207022 at 2023-09-02 + - twhs # failure in job https://hydra.nixos.org/build/233201182 at 2023-09-02 + - twilio # failure in job https://hydra.nixos.org/build/233199959 at 2023-09-02 + - twiml # failure in job https://hydra.nixos.org/build/233219327 at 2023-09-02 + - twine # failure in job https://hydra.nixos.org/build/233191924 at 2023-09-02 + - twisty # failure in job https://hydra.nixos.org/build/233212390 at 2023-09-02 + - twitchapi # failure in job https://hydra.nixos.org/build/233245126 at 2023-09-02 + - twitch # failure in job https://hydra.nixos.org/build/233198940 at 2023-09-02 + - twitter # failure in job https://hydra.nixos.org/build/233244055 at 2023-09-02 + - twitter-feed # failure in job https://hydra.nixos.org/build/233251565 at 2023-09-02 + - tx # failure in job https://hydra.nixos.org/build/233223988 at 2023-09-02 + - txtblk # failure in job https://hydra.nixos.org/build/233219915 at 2023-09-02 + - TYB # failure in job https://hydra.nixos.org/build/233246075 at 2023-09-02 + - tyfam-witnesses # failure in job https://hydra.nixos.org/build/233191033 at 2023-09-02 + - typalyze # failure in job https://hydra.nixos.org/build/233246228 at 2023-09-02 + - typeable-th # failure in job https://hydra.nixos.org/build/233234377 at 2023-09-02 + - type-combinators # failure in job https://hydra.nixos.org/build/233230024 at 2023-09-02 + - type-compare # failure in job https://hydra.nixos.org/build/233207530 at 2023-09-02 + - TypeCompose # failure in job https://hydra.nixos.org/build/233212999 at 2023-09-02 + - typed-digits # failure in job https://hydra.nixos.org/build/233198266 at 2023-09-02 + - typed-encoding # failure in job https://hydra.nixos.org/build/233208093 at 2023-09-02 + - typedquery # failure in job https://hydra.nixos.org/build/233215307 at 2023-09-02 + - typed-spreadsheet # failure in job https://hydra.nixos.org/build/233248967 at 2023-09-02 + - typed-time # failure in job https://hydra.nixos.org/build/233246930 at 2023-09-02 + - typed-wire # failure in job https://hydra.nixos.org/build/233237626 at 2023-09-02 + - type-eq # failure in job https://hydra.nixos.org/build/233214388 at 2023-09-02 + - type-errors-pretty # failure in job https://hydra.nixos.org/build/233238808 at 2023-09-02 + - typehash # failure in job https://hydra.nixos.org/build/233207184 at 2023-09-02 + - type-indexed-queues # failure in job https://hydra.nixos.org/build/233197833 at 2023-09-02 + - type-interpreter # failure in job https://hydra.nixos.org/build/233192182 at 2023-09-02 + - type-int # failure in job https://hydra.nixos.org/build/233245978 at 2023-09-02 + - type-level-bst # failure in job https://hydra.nixos.org/build/233202030 at 2023-09-02 + - type-level-natural-number-induction # failure in job https://hydra.nixos.org/build/233259499 at 2023-09-02 + - type-level-natural-number-operations # failure in job https://hydra.nixos.org/build/233198314 at 2023-09-02 + - typelevel-rewrite-rules # failure in job https://hydra.nixos.org/build/233243365 at 2023-09-02 + - type-level-sets # failure in job https://hydra.nixos.org/build/233197419 at 2023-09-02 + - typelevel-tensor # failure in job https://hydra.nixos.org/build/233190827 at 2023-09-02 + - type-list # failure in job https://hydra.nixos.org/build/233234789 at 2023-09-02 + - typelits-witnesses # failure in job https://hydra.nixos.org/build/233224289 at 2023-09-02 + - type-of-html-static # failure in job https://hydra.nixos.org/build/233226924 at 2023-09-02 + - type-operators # failure in job https://hydra.nixos.org/build/233232802 at 2023-09-02 + - typeparams # failure in job https://hydra.nixos.org/build/233192078 at 2023-09-02 + - type-prelude # failure in job https://hydra.nixos.org/build/233221195 at 2023-09-02 + - typerep-map # failure in job https://hydra.nixos.org/build/233202720 at 2023-09-02 + - type-safe-avl # failure in job https://hydra.nixos.org/build/233203946 at 2023-09-02 + - types-compat # failure in job https://hydra.nixos.org/build/233249850 at 2023-09-02 + - type-settheory # failure in job https://hydra.nixos.org/build/233209513 at 2023-09-02 + - type-spine # failure in job https://hydra.nixos.org/build/233230265 at 2023-09-02 + - type-tree # failure in job https://hydra.nixos.org/build/233246842 at 2023-09-02 + - type-unary # failure in job https://hydra.nixos.org/build/233251718 at 2023-09-02 + - typograffiti # failure in job https://hydra.nixos.org/build/233195076 at 2023-09-02 + - typson-core # failure in job https://hydra.nixos.org/build/233257835 at 2023-09-02 + - tyro # failure in job https://hydra.nixos.org/build/233200171 at 2023-09-02 + - uAgda # failure in job https://hydra.nixos.org/build/233252487 at 2023-09-02 + - uberlast # failure in job https://hydra.nixos.org/build/233233074 at 2023-09-02 + - ucam-webauth-types # failure in job https://hydra.nixos.org/build/233260145 at 2023-09-02 + - ucl # failure in job https://hydra.nixos.org/build/233246651 at 2023-09-02 + - uconv # failure in job https://hydra.nixos.org/build/233215580 at 2023-09-02 + - udbus # failure in job https://hydra.nixos.org/build/233237275 at 2023-09-02 + - udp-conduit # failure in job https://hydra.nixos.org/build/233252067 at 2023-09-02 + - udp-streaming # failure in job https://hydra.nixos.org/build/233217795 at 2023-09-02 + - ueberzug # failure in job https://hydra.nixos.org/build/233205559 at 2023-09-02 + - uhexdump # failure in job https://hydra.nixos.org/build/233209647 at 2023-09-02 + - uhttpc # failure in job https://hydra.nixos.org/build/233232481 at 2023-09-02 + - ui-command # failure in job https://hydra.nixos.org/build/233223762 at 2023-09-02 + - ukrainian-phonetics-basic-array-bytestring # failure in job https://hydra.nixos.org/build/233228787 at 2023-09-02 + - unamb-custom # failure in job https://hydra.nixos.org/build/233197458 at 2023-09-02 + - unbeliever # failure in job https://hydra.nixos.org/build/233221256 at 2023-09-02 + - unbounded-delays-units # failure in job https://hydra.nixos.org/build/233200989 at 2023-09-02 + - unboxed-containers # failure in job https://hydra.nixos.org/build/233200384 at 2023-09-02 + - unboxed # failure in job https://hydra.nixos.org/build/233219555 at 2023-09-02 + - unboxed-references # failure in job https://hydra.nixos.org/build/233192713 at 2023-09-02 + - unbreak # failure in job https://hydra.nixos.org/build/233242560 at 2023-09-02 + - unfix-binders # failure in job https://hydra.nixos.org/build/233259262 at 2023-09-02 + - unicode-general-category # failure in job https://hydra.nixos.org/build/233250572 at 2023-09-02 + - unicode-prelude # failure in job https://hydra.nixos.org/build/233241723 at 2023-09-02 + - unicode-symbols # failure in job https://hydra.nixos.org/build/233241639 at 2023-09-02 + - unicode-tricks # failure in job https://hydra.nixos.org/build/233258238 at 2023-09-02 + - union-find # failure in job https://hydra.nixos.org/build/233245476 at 2023-09-02 + - union-map # failure in job https://hydra.nixos.org/build/233222765 at 2023-09-02 + - uniprot-kb # failure in job https://hydra.nixos.org/build/233209692 at 2023-09-02 + - uniqueid # failure in job https://hydra.nixos.org/build/233251447 at 2023-09-02 + - uniquely-represented-sets # failure in job https://hydra.nixos.org/build/233242429 at 2023-09-02 + - uniqueness-periods-general # failure in job https://hydra.nixos.org/build/233208045 at 2023-09-02 + - uniqueness-periods-vector-common # failure in job https://hydra.nixos.org/build/233210018 at 2023-09-02 + - uniqueness-periods-vector # failure in job https://hydra.nixos.org/build/233243213 at 2023-09-02 + - units-attoparsec # failure in job https://hydra.nixos.org/build/233196308 at 2023-09-02 + - unittyped # failure in job https://hydra.nixos.org/build/233215159 at 2023-09-02 + - unitym # failure in job https://hydra.nixos.org/build/233246346 at 2023-09-02 + - uni-util # failure in job https://hydra.nixos.org/build/233219676 at 2023-09-02 + - universal-binary # failure in job https://hydra.nixos.org/build/233240583 at 2023-09-02 + - universe-instances-base # failure in job https://hydra.nixos.org/build/233197845 at 2023-09-02 + - universe-instances-trans # failure in job https://hydra.nixos.org/build/233235623 at 2023-09-02 + - universe-some # failure in job https://hydra.nixos.org/build/233254356 at 2023-09-02 + - unix-handle # failure in job https://hydra.nixos.org/build/233233273 at 2023-09-02 + - unix-process-conduit # failure in job https://hydra.nixos.org/build/233191509 at 2023-09-02 + - unix-recursive # failure in job https://hydra.nixos.org/build/233194742 at 2023-09-02 + - unlifted-list # failure in job https://hydra.nixos.org/build/233205239 at 2023-09-02 + - unlift # failure in job https://hydra.nixos.org/build/233217875 at 2023-09-02 + - unliftio-messagebox # failure in job https://hydra.nixos.org/build/233200633 at 2023-09-02 + - unlift-stm # failure in job https://hydra.nixos.org/build/233202388 at 2023-09-02 + - unm-hip # failure in job https://hydra.nixos.org/build/233232865 at 2023-09-02 + - unordered-containers-rematch # failure in job https://hydra.nixos.org/build/233220800 at 2023-09-02 + - unordered-graphs # failure in job https://hydra.nixos.org/build/233190879 at 2023-09-02 + - unordered-intmap # failure in job https://hydra.nixos.org/build/233194954 at 2023-09-02 + - unpacked-either # failure in job https://hydra.nixos.org/build/233207731 at 2023-09-02 + - unpacked-maybe # failure in job https://hydra.nixos.org/build/233197926 at 2023-09-02 + - unpacked-maybe-numeric # failure in job https://hydra.nixos.org/build/233250596 at 2023-09-02 + - unpack-funcs # failure in job https://hydra.nixos.org/build/233196365 at 2023-09-02 + - unroll-ghc-plugin # failure in job https://hydra.nixos.org/build/233212728 at 2023-09-02 + - unsafely # failure in job https://hydra.nixos.org/build/233238669 at 2023-09-02 + - unsatisfiable # failure in job https://hydra.nixos.org/build/233228680 at 2023-09-02 + - unsequential # failure in job https://hydra.nixos.org/build/233244400 at 2023-09-02 + - unused # failure in job https://hydra.nixos.org/build/233243602 at 2023-09-02 + - uom-plugin # failure in job https://hydra.nixos.org/build/233228019 at 2023-09-02 + - Updater # failure in job https://hydra.nixos.org/build/233215373 at 2023-09-02 + - uploadcare # failure in job https://hydra.nixos.org/build/233197403 at 2023-09-02 + - upskirt # failure in job https://hydra.nixos.org/build/233226983 at 2023-09-02 + - urbit-hob # failure in job https://hydra.nixos.org/build/233209231 at 2023-09-02 + - uri-conduit # failure in job https://hydra.nixos.org/build/233252949 at 2023-09-02 + - uri-encoder # failure in job https://hydra.nixos.org/build/233228287 at 2023-09-02 + - uri # failure in job https://hydra.nixos.org/build/233229150 at 2023-09-02 + - uri-parse # failure in job https://hydra.nixos.org/build/233258573 at 2023-09-02 + - uri-template # failure in job https://hydra.nixos.org/build/233243696 at 2023-09-02 + - uri-templater # failure in job https://hydra.nixos.org/build/233231885 at 2023-09-02 + - URLb # failure in job https://hydra.nixos.org/build/233226766 at 2023-09-02 + - url-bytes # failure in job https://hydra.nixos.org/build/233226116 at 2023-09-02 + - urlcheck # failure in job https://hydra.nixos.org/build/233211869 at 2023-09-02 + - urldecode # failure in job https://hydra.nixos.org/build/233258501 at 2023-09-02 + - url-decoders # failure in job https://hydra.nixos.org/build/233245312 at 2023-09-02 + - urldisp-happstack # failure in job https://hydra.nixos.org/build/233194536 at 2023-09-02 + - urlencoded # failure in job https://hydra.nixos.org/build/233205629 at 2023-09-02 + - url-generic # failure in job https://hydra.nixos.org/build/233221641 at 2023-09-02 + - urn # failure in job https://hydra.nixos.org/build/233228949 at 2023-09-02 + - urn-random # failure in job https://hydra.nixos.org/build/233243986 at 2023-09-02 + - urxml # failure in job https://hydra.nixos.org/build/233195104 at 2023-09-02 + - useragents # failure in job https://hydra.nixos.org/build/233203723 at 2023-09-02 + - userid # failure in job https://hydra.nixos.org/build/233227019 at 2023-09-02 + - users-persistent # failure in job https://hydra.nixos.org/build/233258182 at 2023-09-02 + - utc # failure in job https://hydra.nixos.org/build/233218307 at 2023-09-02 + - utf8-conversions # failure in job https://hydra.nixos.org/build/233245725 at 2023-09-02 + - utf8-prelude # failure in job https://hydra.nixos.org/build/233240100 at 2023-09-02 + - utf8-validator # failure in job https://hydra.nixos.org/build/233211712 at 2023-09-02 + - util # failure in job https://hydra.nixos.org/build/233234741 at 2023-09-02 + - util-logict # failure in job https://hydra.nixos.org/build/233215338 at 2023-09-02 + - util-plus # failure in job https://hydra.nixos.org/build/233231591 at 2023-09-02 + - util-primitive # failure in job https://hydra.nixos.org/build/233258861 at 2023-09-02 + - uuagc-bootstrap # failure in job https://hydra.nixos.org/build/233254123 at 2023-09-02 + - uuagc-diagrams # failure in job https://hydra.nixos.org/build/233247645 at 2023-09-02 + - uu-cco # failure in job https://hydra.nixos.org/build/233259027 at 2023-09-02 + - uuid-aeson # failure in job https://hydra.nixos.org/build/233219695 at 2023-09-02 + - uusi # failure in job https://hydra.nixos.org/build/233201662 at 2023-09-02 + - uvector # failure in job https://hydra.nixos.org/build/233224782 at 2023-09-02 + - uxadt # failure in job https://hydra.nixos.org/build/233254972 at 2023-09-02 + - vabal-lib # failure in job https://hydra.nixos.org/build/233198776 at 2023-09-02 + - vacuum # failure in job https://hydra.nixos.org/build/233238529 at 2023-09-02 + - vado # failure in job https://hydra.nixos.org/build/233202865 at 2023-09-02 + - validated-types # failure in job https://hydra.nixos.org/build/233258079 at 2023-09-02 + - Validation # failure in job https://hydra.nixos.org/build/233253977 at 2023-09-02 + - validations # failure in job https://hydra.nixos.org/build/233208976 at 2023-09-02 + - validators # failure in job https://hydra.nixos.org/build/233235532 at 2023-09-02 + - validity-network-uri # failure in job https://hydra.nixos.org/build/233240551 at 2023-09-02 + - valid-names # failure in job https://hydra.nixos.org/build/233213115 at 2023-09-02 + - value-supply # failure in job https://hydra.nixos.org/build/233190936 at 2023-09-02 + - vampire # failure in job https://hydra.nixos.org/build/233216179 at 2023-09-02 + - varan # failure in job https://hydra.nixos.org/build/233232057 at 2023-09-02 + - var # failure in job https://hydra.nixos.org/build/233240303 at 2023-09-02 + - variable-media-field # failure in job https://hydra.nixos.org/build/233231573 at 2023-09-02 + - variables # failure in job https://hydra.nixos.org/build/233237682 at 2023-09-02 + - variadic # failure in job https://hydra.nixos.org/build/233209743 at 2023-09-02 + - variation # failure in job https://hydra.nixos.org/build/233240549 at 2023-09-02 + - vault-tool # failure in job https://hydra.nixos.org/build/233217613 at 2023-09-02 + - vcache # failure in job https://hydra.nixos.org/build/233250925 at 2023-09-02 + - vcatt # failure in job https://hydra.nixos.org/build/233236976 at 2023-09-02 + - vcf # failure in job https://hydra.nixos.org/build/233246613 at 2023-09-02 + - vcswrapper # failure in job https://hydra.nixos.org/build/233228400 at 2023-09-02 + - Vec-Boolean # failure in job https://hydra.nixos.org/build/233218305 at 2023-09-02 + - Vec-OpenGLRaw # failure in job https://hydra.nixos.org/build/233230560 at 2023-09-02 + - vect-floating # failure in job https://hydra.nixos.org/build/233196146 at 2023-09-02 + - vect-opengl # failure in job https://hydra.nixos.org/build/233230142 at 2023-09-02 + - vector-bytestring # failure in job https://hydra.nixos.org/build/233209167 at 2023-09-02 + - vector-circular # failure in job https://hydra.nixos.org/build/233230058 at 2023-09-02 + - vector-clock # failure in job https://hydra.nixos.org/build/233203491 at 2023-09-02 + - vector-conduit # failure in job https://hydra.nixos.org/build/233237284 at 2023-09-02 + - vector-doublezip # failure in job https://hydra.nixos.org/build/233252979 at 2023-09-02 + - vector-endian # failure in job https://hydra.nixos.org/build/233237355 at 2023-09-02 + - vector-fftw # failure in job https://hydra.nixos.org/build/233221978 at 2023-09-02 + - vector-functorlazy # failure in job https://hydra.nixos.org/build/233201658 at 2023-09-02 + - vector-heterogenous # failure in job https://hydra.nixos.org/build/233221627 at 2023-09-02 + - vector-random # failure in job https://hydra.nixos.org/build/233254910 at 2023-09-02 + - vector-read-instances # failure in job https://hydra.nixos.org/build/233220057 at 2023-09-02 + - vector-shuffling # failure in job https://hydra.nixos.org/build/233245999 at 2023-09-02 + - vector-space-map # failure in job https://hydra.nixos.org/build/233235784 at 2023-09-02 + - vector-space-opengl # failure in job https://hydra.nixos.org/build/233206443 at 2023-09-02 + - vector-space-points # failure in job https://hydra.nixos.org/build/233230506 at 2023-09-02 + - vector-static # failure in job https://hydra.nixos.org/build/233236346 at 2023-09-02 + - vega-view # failure in job https://hydra.nixos.org/build/233224980 at 2023-09-02 + - velma # failure in job https://hydra.nixos.org/build/233252875 at 2023-09-02 + - Verba # failure in job https://hydra.nixos.org/build/233237824 at 2023-09-02 + - verbalexpressions # failure in job https://hydra.nixos.org/build/233247870 at 2023-09-02 + - verdict # failure in job https://hydra.nixos.org/build/233238835 at 2023-09-02 + - verify # failure in job https://hydra.nixos.org/build/233239874 at 2023-09-02 + - verilog # failure in job https://hydra.nixos.org/build/233211999 at 2023-09-02 + - versioning # failure in job https://hydra.nixos.org/build/233205892 at 2023-09-02 + - vformat # failure in job https://hydra.nixos.org/build/233222840 at 2023-09-02 + - vgrep # failure in job https://hydra.nixos.org/build/233210982 at 2023-09-02 + - vhd # failure in job https://hydra.nixos.org/build/233230229 at 2023-09-02 + - vhdl # failure in job https://hydra.nixos.org/build/233244814 at 2023-09-02 + - vicinity # failure in job https://hydra.nixos.org/build/233240251 at 2023-09-02 + - viewprof # failure in job https://hydra.nixos.org/build/233257842 at 2023-09-02 + - views # failure in job https://hydra.nixos.org/build/233203371 at 2023-09-02 + - Villefort # failure in job https://hydra.nixos.org/build/233224994 at 2023-09-02 + - vimus # failure in job https://hydra.nixos.org/build/233227980 at 2023-09-02 + - vintage-basic # failure in job https://hydra.nixos.org/build/233241073 at 2023-09-02 + - vinyl-json # failure in job https://hydra.nixos.org/build/233207036 at 2023-09-02 + - vinyl-named-sugar # failure in job https://hydra.nixos.org/build/233205326 at 2023-09-02 + - vinyl-plus # failure in job https://hydra.nixos.org/build/233237873 at 2023-09-02 + - vinyl-utils # failure in job https://hydra.nixos.org/build/233209198 at 2023-09-02 + - vinyl-vectors # failure in job https://hydra.nixos.org/build/233209137 at 2023-09-02 + - virthualenv # failure in job https://hydra.nixos.org/build/233216281 at 2023-09-02 + - visibility # failure in job https://hydra.nixos.org/build/233206672 at 2023-09-02 + - visual-prof # failure in job https://hydra.nixos.org/build/233250080 at 2023-09-02 + - vitrea # failure in job https://hydra.nixos.org/build/233252038 at 2023-09-02 + - vk-aws-route53 # failure in job https://hydra.nixos.org/build/233250126 at 2023-09-02 + - VKHS # failure in job https://hydra.nixos.org/build/233246557 at 2023-09-02 + - vowpal-utils # failure in job https://hydra.nixos.org/build/233251505 at 2023-09-02 + - voyeur # failure in job https://hydra.nixos.org/build/233234792 at 2023-09-02 + - VRML # failure in job https://hydra.nixos.org/build/233256643 at 2023-09-02 + - vte # failure in job https://hydra.nixos.org/build/233234429 at 2023-09-02 + - vtegtk3 # failure in job https://hydra.nixos.org/build/233226713 at 2023-09-02 + - vt-utils # failure in job https://hydra.nixos.org/build/233244619 at 2023-09-02 + - vty-examples # failure in job https://hydra.nixos.org/build/233235872 at 2023-09-02 + - vty-menu # failure in job https://hydra.nixos.org/build/233232391 at 2023-09-02 + - vty-ui # failure in job https://hydra.nixos.org/build/233200900 at 2023-09-02 + - wacom-daemon # failure in job https://hydra.nixos.org/build/233213077 at 2023-09-02 + - waddle # failure in job https://hydra.nixos.org/build/233239973 at 2023-09-02 + - wai-git-http # failure in job https://hydra.nixos.org/build/233191513 at 2023-09-02 + - wai-graceful # failure in job https://hydra.nixos.org/build/233243180 at 2023-09-02 + - wai-handler-devel # failure in job https://hydra.nixos.org/build/233226033 at 2023-09-02 + - wai-handler-fastcgi # failure in job https://hydra.nixos.org/build/233221946 at 2023-09-02 + - wai-handler-scgi # failure in job https://hydra.nixos.org/build/233246939 at 2023-09-02 + - wai-handler-webkit # failure in job https://hydra.nixos.org/build/233236556 at 2023-09-02 + - wai-hmac-auth # failure in job https://hydra.nixos.org/build/233210044 at 2023-09-02 + - wai-lens # failure in job https://hydra.nixos.org/build/233225852 at 2023-09-02 + - wai-lite # failure in job https://hydra.nixos.org/build/233234657 at 2023-09-02 + - wai-logger-buffered # failure in job https://hydra.nixos.org/build/233196102 at 2023-09-02 + - wai-logger-prefork # failure in job https://hydra.nixos.org/build/233202495 at 2023-09-02 + - wai-middleware-auth # failure in job https://hydra.nixos.org/build/233199447 at 2023-09-02 + - wai-middleware-catch # failure in job https://hydra.nixos.org/build/233222782 at 2023-09-02 + - wai-middleware-crowd # failure in job https://hydra.nixos.org/build/233237853 at 2023-09-02 + - wai-middleware-delegate # failure in job https://hydra.nixos.org/build/233197338 at 2023-09-02 + - wai-middleware-etag # failure in job https://hydra.nixos.org/build/233212107 at 2023-09-02 + - wai-middleware-headers # failure in job https://hydra.nixos.org/build/233229927 at 2023-09-02 + - wai-middleware-hmac-client # failure in job https://hydra.nixos.org/build/233249856 at 2023-09-02 + - wai-middleware-preprocessor # failure in job https://hydra.nixos.org/build/233227365 at 2023-09-02 + - wai-middleware-static-caching # failure in job https://hydra.nixos.org/build/233208386 at 2023-09-02 + - wai-middleware-travisci # failure in job https://hydra.nixos.org/build/233215805 at 2023-09-02 + - wai-problem-details # failure in job https://hydra.nixos.org/build/233227727 at 2023-09-02 + - wai-rate-limit-postgres # failure in job https://hydra.nixos.org/build/233244097 at 2023-09-02 + - wai-rate-limit-redis # failure in job https://hydra.nixos.org/build/233207860 at 2023-09-02 + - wai-request-spec # failure in job https://hydra.nixos.org/build/233252640 at 2023-09-02 + - wai-responsible # failure in job https://hydra.nixos.org/build/233248119 at 2023-09-02 + - wai-router # failure in job https://hydra.nixos.org/build/233253691 at 2023-09-02 + - wai-routes # failure in job https://hydra.nixos.org/build/233243854 at 2023-09-02 + - wai-saml2 # failure in job https://hydra.nixos.org/build/233197938 at 2023-09-02 + - wai-secure-cookies # failure in job https://hydra.nixos.org/build/233216416 at 2023-09-02 + - wai-session-mysql # failure in job https://hydra.nixos.org/build/233206451 at 2023-09-02 + - wai-session-postgresql # failure in job https://hydra.nixos.org/build/233229871 at 2023-09-02 + - wai-session-redis # failure in job https://hydra.nixos.org/build/233218737 at 2023-09-02 + - wai-static-cache # failure in job https://hydra.nixos.org/build/233228597 at 2023-09-02 + - waitfree # failure in job https://hydra.nixos.org/build/233222583 at 2023-09-02 + - wai-throttler # failure in job https://hydra.nixos.org/build/233231002 at 2023-09-02 + - waitra # failure in job https://hydra.nixos.org/build/233222291 at 2023-09-02 + - wakame # failure in job https://hydra.nixos.org/build/233254673 at 2023-09-02 + - wallpaper # failure in job https://hydra.nixos.org/build/233219027 at 2023-09-02 + - warc # failure in job https://hydra.nixos.org/build/233215734 at 2023-09-02 + - warp-dynamic # failure in job https://hydra.nixos.org/build/233220479 at 2023-09-02 + - warp-static # failure in job https://hydra.nixos.org/build/233239581 at 2023-09-02 + - warp-systemd # failure in job https://hydra.nixos.org/build/233215956 at 2023-09-02 + - wasm # failure in job https://hydra.nixos.org/build/233249877 at 2023-09-02 + - watcher # failure in job https://hydra.nixos.org/build/233245056 at 2023-09-02 + - watchit # failure in job https://hydra.nixos.org/build/233199573 at 2023-09-02 + - wavefront # failure in job https://hydra.nixos.org/build/233248071 at 2023-09-02 + - wavefront-obj # failure in job https://hydra.nixos.org/build/233200951 at 2023-09-02 + - weak-bag # failure in job https://hydra.nixos.org/build/233198097 at 2023-09-02 + - WeakSets # failure in job https://hydra.nixos.org/build/233199611 at 2023-09-02 + - weather-api # failure in job https://hydra.nixos.org/build/233202108 at 2023-09-02 + - Weather # failure in job https://hydra.nixos.org/build/233197934 at 2023-09-02 + - web3-ipfs # failure in job https://hydra.nixos.org/build/233235342 at 2023-09-02 + - webapi # failure in job https://hydra.nixos.org/build/233243522 at 2023-09-02 + - webapp # failure in job https://hydra.nixos.org/build/233201743 at 2023-09-02 + - webauthn # failure in job https://hydra.nixos.org/build/233255527 at 2023-09-02 + - WebBits # failure in job https://hydra.nixos.org/build/233244872 at 2023-09-02 + - webby # failure in job https://hydra.nixos.org/build/233221764 at 2023-09-02 + - webcloud # failure in job https://hydra.nixos.org/build/233239317 at 2023-09-02 + - webcrank-dispatch # failure in job https://hydra.nixos.org/build/233209191 at 2023-09-02 + - webcrank # failure in job https://hydra.nixos.org/build/233212229 at 2023-09-02 + - web-css # failure in job https://hydra.nixos.org/build/233195455 at 2023-09-02 + - webdriver-angular # failure in job https://hydra.nixos.org/build/233212981 at 2023-09-02 + - webdriver-snoy # failure in job https://hydra.nixos.org/build/233251068 at 2023-09-02 + - web-encodings # failure in job https://hydra.nixos.org/build/233199718 at 2023-09-02 + - WeberLogic # failure in job https://hydra.nixos.org/build/233209283 at 2023-09-02 + - webfinger-client # failure in job https://hydra.nixos.org/build/233252528 at 2023-09-02 + - webkitgtk3 # failure in job https://hydra.nixos.org/build/233215712 at 2023-09-02 + - webkit-javascriptcore # failure in job https://hydra.nixos.org/build/233208424 at 2023-09-02 + - webmention # failure in job https://hydra.nixos.org/build/233208899 at 2023-09-02 + - web-output # failure in job https://hydra.nixos.org/build/233191936 at 2023-09-02 + - web-page # failure in job https://hydra.nixos.org/build/233243334 at 2023-09-02 + - web-plugins # failure in job https://hydra.nixos.org/build/233207596 at 2023-09-02 + - web-push # failure in job https://hydra.nixos.org/build/233206721 at 2023-09-02 + - Webrexp # failure in job https://hydra.nixos.org/build/233212376 at 2023-09-02 + - web-routes-quasi # failure in job https://hydra.nixos.org/build/233222454 at 2023-09-02 + - web-routes-transformers # failure in job https://hydra.nixos.org/build/233256428 at 2023-09-02 + - webshow # failure in job https://hydra.nixos.org/build/233243842 at 2023-09-02 + - webwire # failure in job https://hydra.nixos.org/build/233233892 at 2023-09-02 + - WEditor # failure in job https://hydra.nixos.org/build/233215233 at 2023-09-02 + - weighted-regexp # failure in job https://hydra.nixos.org/build/233243077 at 2023-09-02 + - welshy # failure in job https://hydra.nixos.org/build/233224249 at 2023-09-02 + - werewolf # failure in job https://hydra.nixos.org/build/233250937 at 2023-09-02 + - wgpu-raw-hs # failure in job https://hydra.nixos.org/build/233221814 at 2023-09-02 + - Wheb # failure in job https://hydra.nixos.org/build/233258281 at 2023-09-02 + - while-lang-parser # failure in job https://hydra.nixos.org/build/233237507 at 2023-09-02 + - whim # failure in job https://hydra.nixos.org/build/234465317 at 2023-09-13 + - whiskers # failure in job https://hydra.nixos.org/build/233258941 at 2023-09-02 + - whois # failure in job https://hydra.nixos.org/build/233250022 at 2023-09-02 + - why3 # failure in job https://hydra.nixos.org/build/233235892 at 2023-09-02 + - wide-word-instances # failure in job https://hydra.nixos.org/build/233253084 at 2023-09-02 + - wikicfp-scraper # failure in job https://hydra.nixos.org/build/233198432 at 2023-09-02 + - WikimediaParser # failure in job https://hydra.nixos.org/build/233242393 at 2023-09-02 + - wild-bind # failure in job https://hydra.nixos.org/build/233200102 at 2023-09-02 + - willow # failure in job https://hydra.nixos.org/build/233215807 at 2023-09-02 + - windns # failure in job https://hydra.nixos.org/build/233242724 at 2023-09-02 + - window-utils # failure in job https://hydra.nixos.org/build/233238667 at 2023-09-02 + - winerror # failure in job https://hydra.nixos.org/build/233196100 at 2023-09-02 + - wireguard-hs # failure in job https://hydra.nixos.org/build/233218722 at 2023-09-02 + - wires # failure in job https://hydra.nixos.org/build/233192321 at 2023-09-02 + - wiring # failure in job https://hydra.nixos.org/build/233191683 at 2023-09-02 + - witty # failure in job https://hydra.nixos.org/build/233194976 at 2023-09-02 + - wkt # failure in job https://hydra.nixos.org/build/233220848 at 2023-09-02 + - wkt-geom # failure in job https://hydra.nixos.org/build/233199774 at 2023-09-02 + - WL500gPLib # failure in job https://hydra.nixos.org/build/233203811 at 2023-09-02 + - wl-pprint-console # failure in job https://hydra.nixos.org/build/233204682 at 2023-09-02 + - wl-pprint-extras # failure in job https://hydra.nixos.org/build/233233369 at 2023-09-02 + - WMSigner # failure in job https://hydra.nixos.org/build/233199780 at 2023-09-02 + - woe # failure in job https://hydra.nixos.org/build/233222792 at 2023-09-02 + - woffex # failure in job https://hydra.nixos.org/build/233210566 at 2023-09-02 + - wol # failure in job https://hydra.nixos.org/build/233237896 at 2023-09-02 + - word24 # failure in job https://hydra.nixos.org/build/233259494 at 2023-09-02 + - word2vec-model # failure in job https://hydra.nixos.org/build/233209500 at 2023-09-02 + - word8set # failure in job https://hydra.nixos.org/build/233246039 at 2023-09-02 + - wordify # failure in job https://hydra.nixos.org/build/233229102 at 2023-09-02 + - Wordlint # failure in job https://hydra.nixos.org/build/233233123 at 2023-09-02 + - wordlist # failure in job https://hydra.nixos.org/build/233199456 at 2023-09-02 + - WordNet # failure in job https://hydra.nixos.org/build/233201604 at 2023-09-02 + - WordNet-ghc74 # failure in job https://hydra.nixos.org/build/233192586 at 2023-09-02 + - wordn # failure in job https://hydra.nixos.org/build/233238840 at 2023-09-02 + - wordpass # failure in job https://hydra.nixos.org/build/233202954 at 2023-09-02 + - wordsearch # failure in job https://hydra.nixos.org/build/233203803 at 2023-09-02 + - workdays # failure in job https://hydra.nixos.org/build/233209994 at 2023-09-02 + - Workflow # failure in job https://hydra.nixos.org/build/233203463 at 2023-09-02 + - workflow-osx # failure in job https://hydra.nixos.org/build/233235315 at 2023-09-02 + - workflow-windows # failure in job https://hydra.nixos.org/build/233257774 at 2023-09-02 + - work-time # failure in job https://hydra.nixos.org/build/233245304 at 2023-09-02 + - worldturtle # failure in job https://hydra.nixos.org/build/234448293 at 2023-09-13 + - wp-archivebot # failure in job https://hydra.nixos.org/build/233195749 at 2023-09-02 + - wreq-helper # failure in job https://hydra.nixos.org/build/233228914 at 2023-09-02 + - wreq-patchable # failure in job https://hydra.nixos.org/build/233237832 at 2023-09-02 + - wreq-sb # failure in job https://hydra.nixos.org/build/233259269 at 2023-09-02 + - writer-cps-lens # failure in job https://hydra.nixos.org/build/233238466 at 2023-09-02 + - writer-cps-monads-tf # failure in job https://hydra.nixos.org/build/233218245 at 2023-09-02 + - writer-cps-morph # failure in job https://hydra.nixos.org/build/233241891 at 2023-09-02 + - wsdl # failure in job https://hydra.nixos.org/build/233208187 at 2023-09-02 + - wsedit # failure in job https://hydra.nixos.org/build/233232333 at 2023-09-02 + - wtk # failure in job https://hydra.nixos.org/build/233220668 at 2023-09-02 + - wumpus-core # failure in job https://hydra.nixos.org/build/233244405 at 2023-09-02 + - wxdirect # failure in job https://hydra.nixos.org/build/233255519 at 2023-09-02 + - X11-extras # failure in job https://hydra.nixos.org/build/233226031 at 2023-09-02 + - X11-rm # failure in job https://hydra.nixos.org/build/233242806 at 2023-09-02 + - X11-xdamage # failure in job https://hydra.nixos.org/build/233194342 at 2023-09-02 + - X11-xfixes # failure in job https://hydra.nixos.org/build/233256494 at 2023-09-02 + - xchat-plugin # failure in job https://hydra.nixos.org/build/233238679 at 2023-09-02 + - xcp # failure in job https://hydra.nixos.org/build/233208926 at 2023-09-02 + - x-dsp # failure in job https://hydra.nixos.org/build/233218091 at 2023-09-02 + - Xec # failure in job https://hydra.nixos.org/build/233191564 at 2023-09-02 + - xenstore # failure in job https://hydra.nixos.org/build/233234469 at 2023-09-02 + - X # failure in job https://hydra.nixos.org/build/233217783 at 2023-09-02 + - xfconf # failure in job https://hydra.nixos.org/build/233234800 at 2023-09-02 + - xformat # failure in job https://hydra.nixos.org/build/233211918 at 2023-09-02 + - xhaskell-library # failure in job https://hydra.nixos.org/build/233221178 at 2023-09-02 + - xhb # failure in job https://hydra.nixos.org/build/233204853 at 2023-09-02 + - xilinx-lava # failure in job https://hydra.nixos.org/build/233247659 at 2023-09-02 + - xine # failure in job https://hydra.nixos.org/build/233255620 at 2023-09-02 + - xing-api # failure in job https://hydra.nixos.org/build/233220080 at 2023-09-02 + - xkbcommon # failure in job https://hydra.nixos.org/build/233237797 at 2023-09-02 + - xkcd # failure in job https://hydra.nixos.org/build/233211690 at 2023-09-02 + - xleb # failure in job https://hydra.nixos.org/build/233232785 at 2023-09-02 + - xls # failure in job https://hydra.nixos.org/build/233201430 at 2023-09-02 + - xlsior # failure in job https://hydra.nixos.org/build/233199562 at 2023-09-02 + - xlsx-tabular # failure in job https://hydra.nixos.org/build/233199137 at 2023-09-02 + - xlsx-templater # failure in job https://hydra.nixos.org/build/233245940 at 2023-09-02 + - xml2json # failure in job https://hydra.nixos.org/build/233254605 at 2023-09-02 + - xml-conduit-decode # failure in job https://hydra.nixos.org/build/233191276 at 2023-09-02 + - xml-conduit-parse # failure in job https://hydra.nixos.org/build/233200360 at 2023-09-02 + - xml-conduit-selectors # failure in job https://hydra.nixos.org/build/233223331 at 2023-09-02 + - xml-conduit-stylist # failure in job https://hydra.nixos.org/build/233226507 at 2023-09-02 + - xml-html-conduit-lens # failure in job https://hydra.nixos.org/build/233238471 at 2023-09-02 + - XmlHtmlWriter # failure in job https://hydra.nixos.org/build/233213597 at 2023-09-02 + - xml-parsec # failure in job https://hydra.nixos.org/build/233208461 at 2023-09-02 + - xml-prettify # failure in job https://hydra.nixos.org/build/233225974 at 2023-09-02 + - xml-prettify-text # failure in job https://hydra.nixos.org/build/233202586 at 2023-09-02 + - xml-query # failure in job https://hydra.nixos.org/build/233194795 at 2023-09-02 + - xml-syntax # failure in job https://hydra.nixos.org/build/233245678 at 2023-09-02 + - xml-to-json # failure in job https://hydra.nixos.org/build/233197489 at 2023-09-02 + - xml-tydom-core # failure in job https://hydra.nixos.org/build/233206253 at 2023-09-02 + - xml-verify # failure in job https://hydra.nixos.org/build/233237302 at 2023-09-02 + - XMMS # failure in job https://hydra.nixos.org/build/233196853 at 2023-09-02 + - xmonad-bluetilebranch # failure in job https://hydra.nixos.org/build/233221580 at 2023-09-02 + - xmonad-contrib-gpl # failure in job https://hydra.nixos.org/build/233251722 at 2023-09-02 + - xmonad-entryhelper # failure in job https://hydra.nixos.org/build/233249530 at 2023-09-02 + - xmonad-eval # failure in job https://hydra.nixos.org/build/233248519 at 2023-09-02 + - xmonad-screenshot # failure in job https://hydra.nixos.org/build/233233742 at 2023-09-02 + - xmonad-vanessa # failure in job https://hydra.nixos.org/build/233214303 at 2023-09-02 + - xmonad-wallpaper # failure in job https://hydra.nixos.org/build/233217165 at 2023-09-02 + - xmonad-windownames # failure in job https://hydra.nixos.org/build/233258043 at 2023-09-02 + - Xorshift128Plus # failure in job https://hydra.nixos.org/build/233225679 at 2023-09-02 + - xorshift-plus # failure in job https://hydra.nixos.org/build/233255176 at 2023-09-02 + - xsact # failure in job https://hydra.nixos.org/build/233221821 at 2023-09-02 + - xsd # failure in job https://hydra.nixos.org/build/233209021 at 2023-09-02 + - xsha1 # failure in job https://hydra.nixos.org/build/233257136 at 2023-09-02 + - xslt # failure in job https://hydra.nixos.org/build/233225636 at 2023-09-02 + - xxhash # failure in job https://hydra.nixos.org/build/233240335 at 2023-09-02 + - y0l0bot # failure in job https://hydra.nixos.org/build/233212722 at 2023-09-02 + - yabi-muno # failure in job https://hydra.nixos.org/build/233246871 at 2023-09-02 + - yackage # failure in job https://hydra.nixos.org/build/233213393 at 2023-09-02 + - YACPong # failure in job https://hydra.nixos.org/build/233203317 at 2023-09-02 + - yahoo-finance-api # failure in job https://hydra.nixos.org/build/233248439 at 2023-09-02 + - yahoo-finance-conduit # failure in job https://hydra.nixos.org/build/233235625 at 2023-09-02 + - yahoo-prices # failure in job https://hydra.nixos.org/build/233211650 at 2023-09-02 + - yahoo-web-search # failure in job https://hydra.nixos.org/build/233201427 at 2023-09-02 + - yajl # failure in job https://hydra.nixos.org/build/233242185 at 2023-09-02 + - yak # failure in job https://hydra.nixos.org/build/233207129 at 2023-09-02 + - yall # failure in job https://hydra.nixos.org/build/233254805 at 2023-09-02 + - yam-app # failure in job https://hydra.nixos.org/build/233250535 at 2023-09-02 + - yam-config # failure in job https://hydra.nixos.org/build/233194454 at 2023-09-02 + - yaml-combinators # failure in job https://hydra.nixos.org/build/233225265 at 2023-09-02 + - yaml-config # failure in job https://hydra.nixos.org/build/233242910 at 2023-09-02 + - yamlkeysdiff # failure in job https://hydra.nixos.org/build/233234710 at 2023-09-02 + - yaml-light-lens # failure in job https://hydra.nixos.org/build/233251688 at 2023-09-02 + - yaml-pretty-extras # failure in job https://hydra.nixos.org/build/233219040 at 2023-09-02 + - YamlReference # failure in job https://hydra.nixos.org/build/233222700 at 2023-09-02 + - yaml-rpc # failure in job https://hydra.nixos.org/build/233192097 at 2023-09-02 + - yaml-union # failure in job https://hydra.nixos.org/build/233253896 at 2023-09-02 + - yampa2048 # failure in job https://hydra.nixos.org/build/234450231 at 2023-09-13 + - yampa-glfw # failure in job https://hydra.nixos.org/build/233215695 at 2023-09-02 + - yampa-glut # failure in job https://hydra.nixos.org/build/234458324 at 2023-09-13 + - yampa-sdl2 # failure in job https://hydra.nixos.org/build/233246927 at 2023-09-02 + - YampaSynth # failure in job https://hydra.nixos.org/build/233226486 at 2023-09-02 + - yandex-translate # failure in job https://hydra.nixos.org/build/233225152 at 2023-09-02 + - yaop # failure in job https://hydra.nixos.org/build/233215867 at 2023-09-02 + - yapb # failure in job https://hydra.nixos.org/build/233246177 at 2023-09-02 + - yarn2nix # failure in job https://hydra.nixos.org/build/233216079 at 2023-09-02 + - yarr # failure in job https://hydra.nixos.org/build/233209487 at 2023-09-02 + - yate # failure in job https://hydra.nixos.org/build/233231754 at 2023-09-02 + - yaya-test # failure in job https://hydra.nixos.org/build/233254306 at 2023-09-02 + - yaya-unsafe-test # failure in job https://hydra.nixos.org/build/233194827 at 2023-09-02 + - yeller # failure in job https://hydra.nixos.org/build/233240270 at 2023-09-02 + - yeshql-hdbc # failure in job https://hydra.nixos.org/build/233245733 at 2023-09-02 + - yeshql-postgresql-simple # failure in job https://hydra.nixos.org/build/233238128 at 2023-09-02 + - yesod-angular # failure in job https://hydra.nixos.org/build/233237689 at 2023-09-02 + - yesod-angular-ui # failure in job https://hydra.nixos.org/build/233233873 at 2023-09-02 + - yesod-auth-account # failure in job https://hydra.nixos.org/build/233252535 at 2023-09-02 + - yesod-auth-account-fork # failure in job https://hydra.nixos.org/build/233251662 at 2023-09-02 + - yesod-auth-bcryptdb # failure in job https://hydra.nixos.org/build/233209630 at 2023-09-02 + - yesod-auth-bcrypt # failure in job https://hydra.nixos.org/build/233210341 at 2023-09-02 + - yesod-auth-deskcom # failure in job https://hydra.nixos.org/build/233230640 at 2023-09-02 + - yesod-auth-fb # failure in job https://hydra.nixos.org/build/233224172 at 2023-09-02 + - yesod-auth-hmac-keccak # failure in job https://hydra.nixos.org/build/233224778 at 2023-09-02 + - yesod-auth-kerberos # failure in job https://hydra.nixos.org/build/233245920 at 2023-09-02 + - yesod-auth-ldap-mediocre # failure in job https://hydra.nixos.org/build/233195322 at 2023-09-02 + - yesod-auth-ldap-native # failure in job https://hydra.nixos.org/build/233218681 at 2023-09-02 + - yesod-auth-nopassword # failure in job https://hydra.nixos.org/build/233197722 at 2023-09-02 + - yesod-auth-pam # failure in job https://hydra.nixos.org/build/233207688 at 2023-09-02 + - yesod-auth-smbclient # failure in job https://hydra.nixos.org/build/233234879 at 2023-09-02 + - yesod-auth-zendesk # failure in job https://hydra.nixos.org/build/233212653 at 2023-09-02 + - yesod-bootstrap # failure in job https://hydra.nixos.org/build/233205201 at 2023-09-02 + - yesod-comments # failure in job https://hydra.nixos.org/build/233252703 at 2023-09-02 + - yesod-content-pdf # failure in job https://hydra.nixos.org/build/233210723 at 2023-09-02 + - yesod-crud # failure in job https://hydra.nixos.org/build/233218383 at 2023-09-02 + - yesod-crud-persist # failure in job https://hydra.nixos.org/build/233245131 at 2023-09-02 + - yesod-csp # failure in job https://hydra.nixos.org/build/233207134 at 2023-09-02 + - yesod-datatables # failure in job https://hydra.nixos.org/build/233197763 at 2023-09-02 + - yesod-dsl # failure in job https://hydra.nixos.org/build/233210879 at 2023-09-02 + - yesod-fast-devel # failure in job https://hydra.nixos.org/build/233209381 at 2023-09-02 + - yesod-filter # failure in job https://hydra.nixos.org/build/233252569 at 2023-09-02 + - yesod-form-json # failure in job https://hydra.nixos.org/build/233210866 at 2023-09-02 + - yesod-form-richtext # failure in job https://hydra.nixos.org/build/233201156 at 2023-09-02 + - yesod-gitrev # failure in job https://hydra.nixos.org/build/233197294 at 2023-09-02 + - yesod-goodies # failure in job https://hydra.nixos.org/build/233223782 at 2023-09-02 + - yesod-ip # failure in job https://hydra.nixos.org/build/233254277 at 2023-09-02 + - yesod-job-queue # failure in job https://hydra.nixos.org/build/233259258 at 2023-09-02 + - yesod-katip # failure in job https://hydra.nixos.org/build/233236143 at 2023-09-02 + - yesod-links # failure in job https://hydra.nixos.org/build/233257763 at 2023-09-02 + - yesod-lucid # failure in job https://hydra.nixos.org/build/233231687 at 2023-09-02 + - yesod-paginate # failure in job https://hydra.nixos.org/build/233218563 at 2023-09-02 + - yesod-pagination # failure in job https://hydra.nixos.org/build/233204022 at 2023-09-02 + - yesod-pnotify # failure in job https://hydra.nixos.org/build/233258047 at 2023-09-02 + - yesod-pure # failure in job https://hydra.nixos.org/build/233192121 at 2023-09-02 + - yesod-raml # failure in job https://hydra.nixos.org/build/233230699 at 2023-09-02 + - yesod-recaptcha # failure in job https://hydra.nixos.org/build/233235972 at 2023-09-02 + - yesod-routes # failure in job https://hydra.nixos.org/build/233233323 at 2023-09-02 + - yesod-rst # failure in job https://hydra.nixos.org/build/233201863 at 2023-09-02 + - yesod-s3 # failure in job https://hydra.nixos.org/build/233224000 at 2023-09-02 + - yesod-sass # failure in job https://hydra.nixos.org/build/233240621 at 2023-09-02 + - yesod-static-angular # failure in job https://hydra.nixos.org/build/233249261 at 2023-09-02 + - yesod-static-remote # failure in job https://hydra.nixos.org/build/233218340 at 2023-09-02 + - yesod-static-streamly # failure in job https://hydra.nixos.org/build/233224664 at 2023-09-02 + - yesod-test-json # failure in job https://hydra.nixos.org/build/233227876 at 2023-09-02 + - yesod-text-markdown # failure in job https://hydra.nixos.org/build/233192278 at 2023-09-02 + - yesod-tls # failure in job https://hydra.nixos.org/build/233251484 at 2023-09-02 + - yesod-transloadit # failure in job https://hydra.nixos.org/build/233202132 at 2023-09-02 + - yesod-vend # failure in job https://hydra.nixos.org/build/233227545 at 2023-09-02 + - YFrob # failure in job https://hydra.nixos.org/build/233197612 at 2023-09-02 + - yggdrasil # failure in job https://hydra.nixos.org/build/233229923 at 2023-09-02 + - yhccore # failure in job https://hydra.nixos.org/build/233199669 at 2023-09-02 + - yhseq # failure in job https://hydra.nixos.org/build/233191724 at 2023-09-02 + - yices # failure in job https://hydra.nixos.org/build/233242137 at 2023-09-02 + - yi-language # failure in job https://hydra.nixos.org/build/233217570 at 2023-09-02 + - yoctoparsec # failure in job https://hydra.nixos.org/build/233192019 at 2023-09-02 + - yoda # failure in job https://hydra.nixos.org/build/233200530 at 2023-09-02 + - Yogurt # failure in job https://hydra.nixos.org/build/233212103 at 2023-09-02 + - yu-core # failure in job https://hydra.nixos.org/build/233202551 at 2023-09-02 + - yuiGrid # failure in job https://hydra.nixos.org/build/233223402 at 2023-09-02 + - yu-tool # failure in job https://hydra.nixos.org/build/233216535 at 2023-09-02 + - yxdb-utils # failure in job https://hydra.nixos.org/build/233210232 at 2023-09-02 + - z3-encoding # failure in job https://hydra.nixos.org/build/233254155 at 2023-09-02 + - z85 # failure in job https://hydra.nixos.org/build/233235083 at 2023-09-02 + - zabt # failure in job https://hydra.nixos.org/build/233249170 at 2023-09-02 + - zampolit # failure in job https://hydra.nixos.org/build/233223270 at 2023-09-02 + - zbar # failure in job https://hydra.nixos.org/build/233598222 at 2023-09-02 + - Z-Data # failure in job https://hydra.nixos.org/build/233256080 at 2023-09-02 + - ZEBEDDE # failure in job https://hydra.nixos.org/build/233217131 at 2023-09-02 + - zendesk-api # failure in job https://hydra.nixos.org/build/233257269 at 2023-09-02 + - zeno # failure in job https://hydra.nixos.org/build/233218338 at 2023-09-02 + - zeolite-lang # failure in job https://hydra.nixos.org/build/233217146 at 2023-09-02 + - zero # failure in job https://hydra.nixos.org/build/233209286 at 2023-09-02 + - zeromq3-haskell # failure in job https://hydra.nixos.org/build/233215557 at 2023-09-02 + - zeromq4-conduit # failure in job https://hydra.nixos.org/build/233198244 at 2023-09-02 + - zeromq-haskell # failure in job https://hydra.nixos.org/build/233196050 at 2023-09-02 + - zettelkast # failure in job https://hydra.nixos.org/build/233211485 at 2023-09-02 + - ZFS # failure in job https://hydra.nixos.org/build/233257824 at 2023-09-02 + - zifter # failure in job https://hydra.nixos.org/build/233196342 at 2023-09-02 + - zigbee-znet25 # failure in job https://hydra.nixos.org/build/233235729 at 2023-09-02 + - zip-conduit # failure in job https://hydra.nixos.org/build/233259721 at 2023-09-02 + - zipedit # failure in job https://hydra.nixos.org/build/233218886 at 2023-09-02 + - zipkin # failure in job https://hydra.nixos.org/build/233249243 at 2023-09-02 + - ziptastic-core # failure in job https://hydra.nixos.org/build/233220608 at 2023-09-02 + - zlib-bytes # failure in job https://hydra.nixos.org/build/233210142 at 2023-09-02 + - zlib-lens # failure in job https://hydra.nixos.org/build/233197265 at 2023-09-02 + - ZMachine # failure in job https://hydra.nixos.org/build/233244623 at 2023-09-02 + - zmidi-score # failure in job https://hydra.nixos.org/build/233208041 at 2023-09-02 + - zmqat # failure in job https://hydra.nixos.org/build/233236300 at 2023-09-02 + - zoneinfo # failure in job https://hydra.nixos.org/build/233203677 at 2023-09-02 + - zoom # failure in job https://hydra.nixos.org/build/233210779 at 2023-09-02 + - zoom-refs # failure in job https://hydra.nixos.org/build/233247488 at 2023-09-02 + - zsdd # failure in job https://hydra.nixos.org/build/233236944 at 2023-09-02 + - zsh-battery # failure in job https://hydra.nixos.org/build/233206733 at 2023-09-02 + - zsyntax # failure in job https://hydra.nixos.org/build/233233753 at 2023-09-02 + - ztail # failure in job https://hydra.nixos.org/build/233228534 at 2023-09-02 + - zuul # failure in job https://hydra.nixos.org/build/233204205 at 2023-09-02 + - Zwaluw # failure in job https://hydra.nixos.org/build/233216701 at 2023-09-02 + - zxcvbn-dvorak # failure in job https://hydra.nixos.org/build/233194326 at 2023-09-02 + - zydiskell # failure in job https://hydra.nixos.org/build/233259592 at 2023-09-02 + - zyre2 # failure in job https://hydra.nixos.org/build/233215215 at 2023-09-02 diff --git a/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix/main.yaml b/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix/main.yaml index 9f32c9011113..030ed98a6406 100644 --- a/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix/main.yaml +++ b/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix/main.yaml @@ -41,11 +41,6 @@ default-package-overrides: - dhall-nixpkgs == 1.0.9 - dhall-nix == 1.1.25 - # 2023-06-24: HLS at large can't deal with lsp-2.0.0.0 yet - - lsp == 1.6.* - - lsp-types == 1.6.* - - lsp-test == 0.14.* - # 2023-07-06: ghcide-2.0.0.1 explicitly needs implicit-hie < 0.1.3, because some sort of # breaking change was introduced in implicit-hie-0.1.3.0. # https://github.com/haskell/haskell-language-server/blob/feb596592de95f09cf4ee885f3e74178161919f1/ghcide/ghcide.cabal#L107-L111 @@ -112,6 +107,7 @@ extra-packages: - hspec-discover < 2.8 # 2022-04-07: Needed for tasty-hspec 1.1.6 - hspec-meta < 2.8 # 2022-12-07: Needed for elmPackages.elm / hspec-discover - hspec-golden == 0.1.* # 2022-04-07: Needed for elm-format + - http2 < 3.3 # 2023-08-24: Needed for twain <https://github.com/alexmingoia/twain/issues/5> - immortal == 0.2.2.1 # required by Hasura 1.3.1, 2020-08-20 - language-docker == 11.0.0 # required by hadolint 2.12.0, 2022-11-16 - language-javascript == 0.7.0.0 # required by purescript @@ -132,6 +128,7 @@ extra-packages: - sbv == 7.13 # required for pkgs.petrinizer - stylish-haskell == 0.14.3.0 # 2022-09-19: needed for hls on ghc 8.8 - tasty-hspec == 1.1.6 # 2022-04-07: Needed for elm-format + - th-abstraction < 0.6 # 2023-09-11: needed for aeson-2.2.0.0 - vty == 5.35.1 # 2022-07-08: needed for glirc-2.39.0.1 - weeder == 2.2.* # 2022-02-21: preserve for GHC 8.10.7 - weeder == 2.3.* # 2022-05-31: preserve for GHC 9.0.2 @@ -173,6 +170,7 @@ package-maintainers: - patat - svgcairo danielrolls: + - byte-count-reader - shellify domenkozar: - cachix @@ -580,6 +578,8 @@ unsupported-platforms: bustle: [ platforms.darwin ] # uses glibc-specific ptsname_r bytelog: [ platforms.darwin ] # due to posix-api camfort: [ aarch64-linux ] + chalkboard: [ platforms.darwin ] # depends on Codec-Image-DevIL + chalkboard-viewer: [ platforms.darwin ] # depends on chalkboard charsetdetect: [ aarch64-linux ] # not supported by vendored lib / not configured properly https://github.com/batterseapower/libcharsetdetect/issues/3 Codec-Image-DevIL: [ platforms.darwin ] # depends on mesa coinor-clp: [ aarch64-linux ] # aarch64-linux is not supported by required system dependency clp @@ -596,6 +596,7 @@ unsupported-platforms: gi-dbusmenugtk3: [ platforms.darwin ] gi-dbusmenu: [ platforms.darwin ] gi-ggit: [ platforms.darwin ] + gi-gtk-layer-shell: [ platforms.darwin ] # depends on gtk-layer-shell which is not supported on darwin gi-ibus: [ platforms.darwin ] gi-javascriptcore: [ platforms.darwin ] # webkitgtk marked broken on darwin gi-ostree: [ platforms.darwin ] @@ -610,7 +611,6 @@ unsupported-platforms: gtk-sni-tray: [ platforms.darwin ] h-raylib: [ platforms.darwin ] # depends on mesa haskell-snake: [ platforms.darwin ] - hb3sum: [ aarch64-linux ] # depends on blake3, which is not supported on aarch64-linux hcwiid: [ platforms.darwin ] HDRUtils: [ platforms.darwin ] hidapi: [ platforms.darwin ] @@ -664,6 +664,7 @@ unsupported-platforms: SDL-mpeg: [ platforms.darwin ] # depends on mesa sdl2-mixer: [ platforms.darwin ] sdl2-ttf: [ platforms.darwin ] + sdr: [ platforms.darwin ] # depends on rtlsdr sensei: [ platforms.darwin ] spade: [ platforms.darwin ] # depends on sdl2-mixer, which doesn't work on darwin synthesizer-alsa: [ platforms.darwin ] @@ -711,12 +712,14 @@ supported-platforms: gtk3-mac-integration: [ platforms.darwin ] halide-haskell: [ platforms.linux ] halide-JuicyPixels: [ platforms.linux ] + hb3sum: [ platforms.x86 ] # due to blake3 hommage-ds: [ platforms.windows ] hpapi: [ platforms.linux ] # limited by pkgs.papi hsignal: [ platforms.x86 ] # -msse2 HFuse: [ platforms.linux ] HQu: [ platforms.x86 ] # vendored C++ library needs i686/x86_64 hs-swisstable-hashtables-class: [ platforms.x86_64 ] # depends on swisstable, which Needs AVX2 + htune: [ platforms.linux ] # depends on alsa-pcm hw-prim-bits: [ platforms.x86 ] # x86 assembler inline-asm: [ platforms.x86 ] # x86 assembler keid-core: [ x86_64-linux ] # geomancy (only x86), vulkan (no i686, no darwin, …) @@ -844,7 +847,9 @@ dont-distribute-packages: # Packages that (transitively) depend on insecure packages - distributed-process-zookeeper # depends on hzk + - HDRUtils # depends on pfstools, which depends on imagemagick - hzk # depends on zookeeper_mt, which depends on openssl-1.1 - - persistent-zookeper # depends on hzk + - jobqueue # depends on hzk + - persistent-zookeeper # depends on hzk - pocket-dns # depends on persistent-zookeeper - zoovisitor # depends on zookeeper_mt, which depends on openssl-1.1 diff --git a/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix/stackage.yaml b/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix/stackage.yaml index 120d09d88c05..aad7f3182909 100644 --- a/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix/stackage.yaml +++ b/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix/stackage.yaml @@ -1,4 +1,4 @@ -# Stackage LTS 21.3 +# Stackage LTS 21.7 # This file is auto-generated by # maintainers/scripts/haskell/update-stackage.sh default-package-overrides: @@ -8,7 +8,7 @@ default-package-overrides: - AC-Angle ==1.0 - acc ==0.2.0.2 - ace ==0.6 - - acid-state ==0.16.1.2 + - acid-state ==0.16.1.3 - action-permutations ==0.0.0.1 - active ==0.2.0.18 - ad ==4.5.4 @@ -104,11 +104,12 @@ default-package-overrides: - atomic-primops ==0.8.4 - atomic-write ==0.2.0.7 - attoparsec ==0.14.4 + - attoparsec-aeson ==2.1.0.0 - attoparsec-base64 ==0.0.0 - attoparsec-binary ==0.2 - attoparsec-data ==1.0.5.3 - attoparsec-expr ==0.1.1.2 - - attoparsec-framer ==0.1.0.0 + - attoparsec-framer ==0.1.0.1 - attoparsec-iso8601 ==1.1.0.0 - attoparsec-path ==0.0.0.1 - attoparsec-run ==0.0.2.0 @@ -116,7 +117,7 @@ default-package-overrides: - audacity ==0.0.2.1 - authenticate ==1.3.5.1 - authenticate-oauth ==1.7 - - autodocodec ==0.2.0.3 + - autodocodec ==0.2.0.4 - autodocodec-openapi3 ==0.2.1.1 - autodocodec-schema ==0.1.0.3 - autodocodec-yaml ==0.2.0.3 @@ -166,7 +167,7 @@ default-package-overrides: - benri-hspec ==0.1.0.1 - between ==0.11.0.0 - bhoogle ==0.1.4.2 - - bibtex ==0.1.0.6 + - bibtex ==0.1.0.7 - bifunctor-classes-compat ==0.1 - bifunctors ==5.5.15 - bimap ==0.5.0 @@ -195,7 +196,7 @@ default-package-overrides: - bitset-word8 ==0.1.1.2 - bits-extra ==0.0.2.3 - bitvec ==1.1.4.0 - - bitwise-enum ==1.0.1.0 + - bitwise-enum ==1.0.1.2 - blake2 ==0.3.0 - Blammo ==1.1.2.1 - blank-canvas ==0.7.3 @@ -215,7 +216,7 @@ default-package-overrides: - bm ==0.2.0.0 - bmp ==1.2.6.3 - bnb-staking-csvs ==0.2.1.0 - - BNFC ==2.9.4.1 + - BNFC ==2.9.5 - BNFC-meta ==0.6.1 - board-games ==0.4 - bodhi ==0.1.0 @@ -226,11 +227,11 @@ default-package-overrides: - boots ==0.2.0.1 - bordacount ==0.1.0.0 - boring ==0.2.1 - - bound ==2.0.6 + - bound ==2.0.7 - BoundedChan ==1.0.3.0 - bounded-queue ==1.0.0 - boundingboxes ==0.2.3 - - box ==0.9.1 + - box ==0.9.2.0 - boxes ==0.1.5 - breakpoint ==0.1.2.1 - brick ==1.9 @@ -251,16 +252,16 @@ default-package-overrides: - burrito ==2.0.1.6 - bv ==0.5 - byteable ==0.1.1 - - bytebuild ==0.3.13.0 + - bytebuild ==0.3.14.0 - byte-count-reader ==0.10.1.10 - bytedump ==1.0 - bytehash ==0.1.0.0 - byte-order ==0.1.3.0 - byteorder ==1.0.4 - - bytes ==0.17.2 + - bytes ==0.17.3 - byteset ==0.1.1.0 - - byteslice ==0.2.10.0 - - bytesmith ==0.3.9.1 + - byteslice ==0.2.11.1 + - bytesmith ==0.3.10.0 - bytestring-builder ==0.10.8.2.0 - bytestring-lexing ==0.5.0.10 - bytestring-mmap ==0.2.2 @@ -270,7 +271,7 @@ default-package-overrides: - bytestring-trie ==0.2.7.2 - bz2 ==1.0.1.0 - bzlib-conduit ==0.3.0.2 - - c14n ==0.1.0.2 + - c14n ==0.1.0.3 - c2hs ==0.28.8 - cabal2spec ==2.7.0 - cabal-appimage ==0.4.0.1 @@ -278,7 +279,7 @@ default-package-overrides: - cabal-doctest ==1.0.9 - cabal-file ==0.1.1 - cabal-install-solver ==3.8.1.0 - - cabal-rpm ==2.1.1 + - cabal-rpm ==2.1.2 - cache ==0.1.3.0 - cached-json-file ==0.1.1 - cacophony ==0.10.1 @@ -316,7 +317,7 @@ default-package-overrides: - cgi ==3001.5.0.1 - chan ==0.0.4.1 - character-cases ==0.1.0.6 - - charset ==0.3.9 + - charset ==0.3.10 - charsetdetect-ae ==1.1.0.4 - Chart ==1.9.4 - Chart-diagrams ==1.9.4 @@ -336,13 +337,13 @@ default-package-overrides: - circle-packing ==0.1.0.6 - circular ==0.4.0.3 - citeproc ==0.8.1 - - classy-prelude ==1.5.0.2 + - classy-prelude ==1.5.0.3 - classy-prelude-conduit ==1.5.0 - classy-prelude-yesod ==1.5.0 - cleff ==0.3.3.0 - - clientsession ==0.9.1.2 + - clientsession ==0.9.2.0 - Clipboard ==2.3.2.0 - - clock ==0.8.3 + - clock ==0.8.4 - closed ==0.2.0.2 - clumpiness ==0.17.0.2 - ClustalParser ==1.3.0 @@ -461,6 +462,7 @@ default-package-overrides: - cryptohash-sha256 ==0.11.102.1 - cryptohash-sha512 ==0.11.102.0 - crypton ==0.32 + - crypton-conduit ==0.2.3 - cryptonite ==0.30 - cryptonite-conduit ==0.2.2 - cryptonite-openssl ==0.7 @@ -519,7 +521,7 @@ default-package-overrides: - data-hash ==0.2.0.1 - data-interval ==2.1.1 - data-inttrie ==0.1.4 - - data-lens-light ==0.1.2.3 + - data-lens-light ==0.1.2.4 - data-memocombinators ==0.5.1 - data-msgpack ==0.0.13 - data-msgpack-types ==0.0.3 @@ -555,7 +557,7 @@ default-package-overrides: - derive-storable ==0.3.1.0 - derive-topdown ==0.0.3.0 - deriving-aeson ==0.2.9 - - deriving-compat ==0.6.3 + - deriving-compat ==0.6.5 - deriving-trans ==0.5.2.0 - detour-via-sci ==1.0.0 - df1 ==0.4.1 @@ -597,7 +599,7 @@ default-package-overrides: - distributive ==0.6.2.1 - diversity ==0.8.1.0 - djinn-lib ==0.0.1.4 - - dl-fedora ==0.9.5 + - dl-fedora ==0.9.5.1 - dlist ==1.0 - dlist-instances ==0.1.1.1 - dlist-nonempty ==0.1.3 @@ -609,7 +611,7 @@ default-package-overrides: - doctest-discover ==0.2.0.0 - doctest-driver-gen ==0.3.0.7 - doctest-exitcode-stdio ==0.0 - - doctest-extract ==0.1.1 + - doctest-extract ==0.1.1.1 - doctest-lib ==0.1 - doctest-parallel ==0.3.0.1 - doldol ==0.4.1.2 @@ -634,7 +636,7 @@ default-package-overrides: - dsp ==0.2.5.2 - dual ==0.1.1.1 - dual-tree ==0.2.3.1 - - dublincore-xml-conduit ==0.1.0.2 + - dublincore-xml-conduit ==0.1.0.3 - dunai ==0.11.1 - duration ==0.2.0.0 - dvorak ==0.1.0.0 @@ -674,8 +676,8 @@ default-package-overrides: - elynx-tools ==0.7.2.1 - elynx-tree ==0.7.2.2 - emacs-module ==0.1.1.1 - - email-validate ==2.3.2.18 - - emojis ==0.1.2 + - email-validate ==2.3.2.19 + - emojis ==0.1.3 - enclosed-exceptions ==1.0.3 - ENIG ==0.0.1.0 - entropy ==0.4.1.10 @@ -698,7 +700,7 @@ default-package-overrides: - errors ==2.3.0 - errors-ext ==0.4.2 - ersatz ==0.4.13 - - esqueleto ==3.5.10.0 + - esqueleto ==3.5.10.1 - event-list ==0.1.2 - eventstore ==1.4.2 - every ==0.0.1 @@ -753,7 +755,7 @@ default-package-overrides: - fields-json ==0.4.0.0 - file-embed ==0.0.15.0 - file-embed-lzma ==0.0.1 - - filelock ==0.1.1.6 + - filelock ==0.1.1.7 - filemanip ==0.3.6.3 - file-modules ==0.1.2.4 - filepath-bytestring ==1.4.2.1.13 @@ -838,7 +840,7 @@ default-package-overrides: - generic-constraints ==1.1.1.1 - generic-data ==1.1.0.0 - generic-data-surgery ==0.3.0.0 - - generic-deriving ==1.14.4 + - generic-deriving ==1.14.5 - generic-functor ==1.1.0.0 - generic-lens ==2.2.2.0 - generic-lens-core ==2.2.1.0 @@ -892,8 +894,8 @@ default-package-overrides: - ghci-hexcalc ==0.1.1.0 - ghcjs-codemirror ==0.0.0.2 - ghcjs-perch ==0.3.3.3 - - ghc-lib ==9.4.5.20230430 - - ghc-lib-parser ==9.4.5.20230430 + - ghc-lib ==9.4.6.20230808 + - ghc-lib-parser ==9.4.6.20230808 - ghc-lib-parser-ex ==9.4.0.0 - ghc-paths ==0.1.0.12 - ghc-prof ==1.4.1.12 @@ -999,7 +1001,7 @@ default-package-overrides: - harp ==0.4.3.6 - HasBigDecimal ==0.2.0.0 - hasbolt ==0.1.6.2 - - hashable ==1.4.2.0 + - hashable ==1.4.3.0 - hashing ==0.1.1.0 - hashmap ==1.3.3 - hashtables ==1.3.1 @@ -1018,7 +1020,7 @@ default-package-overrides: - haskoin-node ==0.18.1 - haskoin-store-data ==0.65.5 - hasktags ==0.72.0 - - hasql ==1.6.3 + - hasql ==1.6.3.2 - hasql-dynamic-statements ==0.3.1.2 - hasql-implicits ==0.1.1 - hasql-interpolate ==0.1.0.4 @@ -1054,7 +1056,7 @@ default-package-overrides: - hedis ==0.15.2 - hedn ==0.3.0.4 - heist ==1.1.1.1 - - here ==1.2.13 + - here ==1.2.14 - heredoc ==0.2.0.0 - heterocephalus ==1.0.5.7 - hetzner ==0.2.1.1 @@ -1126,7 +1128,7 @@ default-package-overrides: - hset ==2.2.0 - hs-GeoIP ==0.3 - hsignal ==0.2.7.5 - - hsini ==0.5.1.2 + - hsini ==0.5.2.1 - hsinstall ==2.8 - HSlippyMap ==3.0.1 - hslogger ==1.3.1.0 @@ -1156,7 +1158,7 @@ default-package-overrides: - hspec-core ==2.10.10 - hspec-discover ==2.10.10 - hspec-expectations ==0.8.2 - - hspec-expectations-json ==1.0.0.7 + - hspec-expectations-json ==1.0.2.0 - hspec-expectations-lifted ==0.10.0 - hspec-expectations-pretty-diff ==0.7.2.6 - hspec-golden ==0.2.1.0 @@ -1256,7 +1258,7 @@ default-package-overrides: - hxt-regex-xmlschema ==9.2.0.7 - hxt-tagsoup ==9.1.4 - hxt-unicode ==9.0.2.4 - - hybrid-vectors ==0.2.3 + - hybrid-vectors ==0.2.4 - hyper ==0.2.1.1 - hyperloglog ==0.4.6 - hyphenation ==0.8.2 @@ -1298,7 +1300,7 @@ default-package-overrides: - integer-roots ==1.0.2.0 - integer-types ==0.1.4.0 - integration ==0.2.1 - - intern ==0.9.4 + - intern ==0.9.5 - interpolate ==0.2.1 - interpolatedstring-perl6 ==1.0.2 - interpolation ==0.1.1.2 @@ -1306,7 +1308,7 @@ default-package-overrides: - IntervalMap ==0.6.2.1 - intervals ==0.9.2 - intset-imperative ==0.1.0.0 - - invariant ==0.6.1 + - invariant ==0.6.2 - invert ==1.0.0.4 - invertible-grammar ==0.1.3.4 - io-machine ==0.2.0.0 @@ -1366,7 +1368,7 @@ default-package-overrides: - kazura-queue ==0.1.0.4 - kdt ==0.2.5 - keep-alive ==0.2.1.0 - - keter ==2.1.1 + - keter ==2.1.2 - keycode ==0.2.2 - keyed-vals ==0.2.2.0 - keyed-vals-hspec-tests ==0.2.2.0 @@ -1376,7 +1378,7 @@ default-package-overrides: - ki ==1.0.1.0 - kind-apply ==0.4.0.0 - kind-generics ==0.5.0.0 - - kind-generics-th ==0.2.3.2 + - kind-generics-th ==0.2.3.3 - ki-unlifted ==1.0.0.1 - kleene ==0.1 - kmeans ==0.1.3 @@ -1428,11 +1430,11 @@ default-package-overrides: - lens-properties ==4.11.1 - lens-regex ==0.1.3 - lens-regex-pcre ==1.1.0.0 - - lentil ==1.5.5.4 + - lentil ==1.5.6.0 - LetsBeRational ==1.0.0.0 - leveldb-haskell ==0.6.5 - lexer-applicative ==2.1.0.2 - - libBF ==0.6.5.1 + - libBF ==0.6.6 - libffi ==0.2.1 - libgit ==0.3.1 - liboath-hs ==0.0.1.2 @@ -1570,7 +1572,7 @@ default-package-overrides: - misfortune ==0.1.2.1 - missing-foreign ==0.1.1 - MissingH ==1.6.0.0 - - mixed-types-num ==0.5.11 + - mixed-types-num ==0.5.12 - mmap ==0.5.9 - mmark ==0.0.7.6 - mmark-cli ==0.0.5.1 @@ -1692,7 +1694,7 @@ default-package-overrides: - network-messagepack-rpc ==0.1.2.0 - network-messagepack-rpc-websocket ==0.1.1.1 - network-multicast ==0.3.2 - - Network-NineP ==0.4.7.2 + - Network-NineP ==0.4.7.3 - network-run ==0.2.6 - network-simple ==0.4.5 - network-simple-tls ==0.4.1 @@ -1799,7 +1801,7 @@ default-package-overrides: - pandoc-plot ==1.7.0 - pandoc-symreg ==0.2.0.0 - pandoc-throw ==0.1.0.0 - - pandoc-types ==1.23.0.1 + - pandoc-types ==1.23.1 - pango ==0.13.10.0 - pantry ==0.8.3 - parallel ==3.2.2.0 @@ -1966,7 +1968,7 @@ default-package-overrides: - profunctors ==5.6.2 - projectroot ==0.2.0.1 - project-template ==0.2.1.0 - - prometheus-client ==1.1.0 + - prometheus-client ==1.1.1 - prometheus-metrics-ghc ==1.0.1.2 - promises ==0.3 - prompt ==0.1.1.2 @@ -2049,7 +2051,7 @@ default-package-overrides: - rawfilepath ==1.0.1 - rawstring-qm ==0.2.3.0 - raw-strings-qq ==1.1 - - rcu ==0.2.6 + - rcu ==0.2.7 - rdf ==0.1.0.7 - rdtsc ==1.3.0.1 - re2 ==0.3 @@ -2090,7 +2092,7 @@ default-package-overrides: - regex-pcre-builtin ==0.95.2.3.8.44 - regex-posix ==0.96.0.1 - regex-posix-clib ==2.7 - - regex-tdfa ==1.3.2.1 + - regex-tdfa ==1.3.2.2 - regex-with-pcre ==1.1.0.2 - reinterpret-cast ==0.1.0 - rel8 ==1.4.1.0 @@ -2170,7 +2172,7 @@ default-package-overrides: - sandwich-hedgehog ==0.1.3.0 - sandwich-quickcheck ==0.1.0.7 - sandwich-slack ==0.1.2.0 - - sandwich-webdriver ==0.2.2.0 + - sandwich-webdriver ==0.2.3.0 - say ==0.1.0.1 - sbp ==4.15.0 - sbv ==10.2 @@ -2279,10 +2281,10 @@ default-package-overrides: - simple-cabal ==0.1.3.1 - simple-cmd ==0.2.7 - simple-cmd-args ==0.1.8 - - simple-expr ==0.1.0.2 + - simple-expr ==0.1.1.0 - simple-media-timestamp ==0.2.1.0 - simple-media-timestamp-attoparsec ==0.1.0.0 - - simple-prompt ==0.2.0.1 + - simple-prompt ==0.2.1 - simple-reflect ==0.3.3 - simple-sendfile ==0.2.32 - simple-session ==2.0.0 @@ -2302,8 +2304,8 @@ default-package-overrides: - skein ==1.0.9.4 - skews ==0.1.0.3 - skip-var ==0.1.1.0 - - skylighting ==0.13.4 - - skylighting-core ==0.13.4 + - skylighting ==0.13.4.1 + - skylighting-core ==0.13.4.1 - skylighting-format-ansi ==0.1 - skylighting-format-blaze-html ==0.1.1 - skylighting-format-context ==0.1.0.2 @@ -2408,7 +2410,7 @@ default-package-overrides: - strict-base-types ==0.8 - strict-concurrency ==0.2.4.3 - strict-lens ==0.4.0.3 - - strict-list ==0.1.7.1 + - strict-list ==0.1.7.2 - strict-tuple ==0.1.5.2 - strict-wrapper ==0.0.0.0 - stringable ==0.1.3 @@ -2428,7 +2430,7 @@ default-package-overrides: - stripe-signature ==1.0.0.16 - stripe-wreq ==1.0.1.16 - strive ==6.0.0.9 - - structs ==0.1.8 + - structs ==0.1.9 - structured ==0.1.1 - structured-cli ==2.7.0.1 - subcategories ==0.2.0.1 @@ -2439,8 +2441,8 @@ default-package-overrides: - svg-tree ==0.6.2.4 - swagger2 ==2.8.7 - swish ==0.10.4.0 - - syb ==0.7.2.3 - - sydtest ==0.15.0.0 + - syb ==0.7.2.4 + - sydtest ==0.15.1.0 - sydtest-aeson ==0.1.0.0 - sydtest-amqp ==0.1.0.0 - sydtest-autodocodec ==0.0.0.0 @@ -2563,8 +2565,8 @@ default-package-overrides: - text-regex-replace ==0.1.1.5 - text-rope ==0.2 - text-short ==0.1.5 - - text-show ==3.10.3 - - text-show-instances ==3.9.5 + - text-show ==3.10.4 + - text-show-instances ==3.9.6 - text-zipper ==0.13 - tfp ==1.0.2 - tf-random ==0.5 @@ -2581,7 +2583,7 @@ default-package-overrides: - these-skinny ==0.7.5 - th-expand-syns ==0.4.11.0 - th-lego ==0.3.0.2 - - th-lift ==0.8.3 + - th-lift ==0.8.4 - th-lift-instances ==0.1.20 - th-nowq ==0.1.0.5 - th-orphans ==0.13.14 @@ -2632,7 +2634,7 @@ default-package-overrides: - token-bucket ==0.1.0.1 - toml-reader ==0.2.1.0 - toml-reader-parse ==0.1.1.1 - - tophat ==1.0.5.1 + - tophat ==1.0.6.0 - topograph ==1.0.0.2 - torrent ==10000.1.3 - torsor ==0.1 @@ -2650,7 +2652,7 @@ default-package-overrides: - tree-fun ==0.8.1.0 - tree-view ==0.5.1 - trie-simple ==0.4.2 - - trifecta ==2.1.2 + - trifecta ==2.1.3 - trimdent ==0.1.0.0 - triplesec ==0.2.2.1 - trivial-constraint ==0.7.0.0 @@ -2718,7 +2720,7 @@ default-package-overrides: - unique-logic ==0.4.0.1 - unique-logic-tf ==0.5.1 - unit-constraint ==0.0.0 - - units-parser ==0.1.1.4 + - units-parser ==0.1.1.5 - universe ==1.2.2 - universe-base ==1.1.3.1 - universe-dependent-sum ==1.3 @@ -2861,7 +2863,7 @@ default-package-overrides: - within ==0.2.0.1 - with-location ==0.1.0 - with-utf8 ==1.0.2.4 - - witness ==0.6.1 + - witness ==0.6.2 - wizards ==1.0.3 - wl-pprint ==1.2.1 - wl-pprint-annotated ==0.1.0.1 @@ -2873,7 +2875,7 @@ default-package-overrides: - word-wrap ==0.5 - world-peace ==1.0.2.0 - wrap ==0.0.0 - - wreq ==0.5.4.0 + - wreq ==0.5.4.1 - wreq-stringless ==0.5.9.1 - writer-cps-exceptions ==0.1.0.1 - writer-cps-mtl ==0.1.1.6 @@ -2913,26 +2915,26 @@ default-package-overrides: - xml-types ==0.3.8 - xmonad ==0.17.2 - xmonad-contrib ==0.17.1 - - xor ==0.0.1.1 + - xor ==0.0.1.2 - xss-sanitize ==0.3.7.2 - xxhash-ffi ==0.2.0.0 - yaml ==0.11.11.2 - yaml-unscrambler ==0.1.0.17 - - Yampa ==0.14.3 + - Yampa ==0.14.4 - yarn-lock ==0.6.5 - yeshql-core ==4.2.0.0 - yesod ==1.6.2.1 - yesod-auth ==1.6.11.1 - yesod-auth-basic ==0.1.0.3 - yesod-auth-hashdb ==1.7.1.7 - - yesod-auth-oauth2 ==0.7.1.0 + - yesod-auth-oauth2 ==0.7.1.1 - yesod-auth-oidc ==0.1.4 - yesod-bin ==1.6.2.2 - - yesod-core ==1.6.24.3 + - yesod-core ==1.6.24.4 - yesod-eventsource ==1.6.0.1 - yesod-fb ==0.6.1 - yesod-form ==1.7.4 - - yesod-form-bootstrap4 ==3.0.1 + - yesod-form-bootstrap4 ==3.0.1.1 - yesod-gitrepo ==0.3.0 - yesod-gitrev ==0.2.2 - yesod-markdown ==0.12.6.13 @@ -2941,7 +2943,7 @@ default-package-overrides: - yesod-page-cursor ==2.0.1.0 - yesod-paginator ==1.1.2.2 - yesod-persistent ==1.6.0.8 - - yesod-recaptcha2 ==1.0.2 + - yesod-recaptcha2 ==1.0.2.1 - yesod-routes-flow ==3.0.0.2 - yesod-sitemap ==1.6.0 - yesod-static ==1.6.1.0 diff --git a/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix/transitive-broken.yaml b/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix/transitive-broken.yaml index 10340d5afe6c..92800986c971 100644 --- a/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix/transitive-broken.yaml +++ b/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix/transitive-broken.yaml @@ -30,6 +30,7 @@ dont-distribute-packages: - AutoForms - AvlTree - BASIC + - BPS - Barracuda - BerlekampAlgorithm - BioHMM @@ -63,7 +64,6 @@ dont-distribute-packages: - CSPM-Interpreter - CSPM-ToProlog - CSPM-cspm - - Capabilities - CarneadesIntoDung - Chart-fltkhs - ClustalParser @@ -104,7 +104,6 @@ dont-distribute-packages: - Eternal10Seconds - Etherbunny - EventSocket - - Extra - FComp - FM-SBLEX - FTPLine @@ -113,6 +112,7 @@ dont-distribute-packages: - FieldTrip - Fin - Finance-Treasury + - FiniteCategories - FiniteMap - FirstOrderTheory - Flippi @@ -201,6 +201,7 @@ dont-distribute-packages: - HStringTemplateHelpers - HTab - HXMPP + - HaMinitel - HaRe - HaTeX-meta - HaTeX-qq @@ -211,6 +212,7 @@ dont-distribute-packages: - HasGP - Haschoo - Hashell + - HaskRel - Hate - Hawk - Hayoo @@ -222,7 +224,6 @@ dont-distribute-packages: - Hoed - Holumbus-Distribution - Holumbus-MapReduce - - Holumbus-Searchengine - Holumbus-Storage - HongoDB - Hs2lib @@ -269,7 +270,6 @@ dont-distribute-packages: - MFlow - MIP-glpk - MSQueue - - MagicHaskeller - MailchimpSimple - Map - MetaObject @@ -288,9 +288,11 @@ dont-distribute-packages: - MuCheck-Hspec - MuCheck-QuickCheck - MuCheck-SmallCheck + - Munkres-simple - MutationOrder - NGLess - NTRU + - NXT - NaperianNetCDF - NaturalLanguageAlphabets - NearContextAlgebra @@ -325,7 +327,9 @@ dont-distribute-packages: - Pup-Events - Pup-Events-Demo - Quelea + - QuickPlot - RESTng + - RJson - RMP - RNAFold - RNAFoldProgs @@ -335,7 +339,7 @@ dont-distribute-packages: - RNAwolf - Raincat - Ranka - - ReplaceUmlaut + - Rlang-QQ - RollingDirectory - S3 - SBench @@ -375,7 +379,6 @@ dont-distribute-packages: - SimpleServer - Smooth - Snusmumrik - - SoOSiM - SoccerFun - SoccerFunGL - SourceGraph @@ -386,9 +389,9 @@ dont-distribute-packages: - SybWidget - SyntaxMacros - TV + - TastyTLT - Taxonomy - TaxonomyTools - - TeX-my-math - TeaHS - TreeCounter - Treiber @@ -412,7 +415,6 @@ dont-distribute-packages: - WebCont - Wired - WordAlignment - - Workflow - WxGeneric - XML - XMPP @@ -454,9 +456,7 @@ dont-distribute-packages: - adhoc-network - adict - adp-multi-monadiccp - - aeson-extra_0_5_1_3 - aeson-native - - aeson-pretty_0_8_10 - affine - afv - agda-server @@ -470,7 +470,9 @@ dont-distribute-packages: - algebra-sql - algebraic - algolia + - algorithmic-composition-additional - algorithmic-composition-basic + - algorithmic-composition-complex - algorithmic-composition-frequency-shift - algorithmic-composition-overtones - alms @@ -480,151 +482,15 @@ dont-distribute-packages: - alsa-seq-tests - alto - amazon-emailer-client-snap - - amazonka - - amazonka-alexa-business - - amazonka-apigateway - - amazonka-application-autoscaling - - amazonka-appstream - - amazonka-appsync - - amazonka-athena - - amazonka-autoscaling - - amazonka-autoscaling-plans - - amazonka-batch - - amazonka-budgets - - amazonka-certificatemanager - - amazonka-certificatemanager-pca - - amazonka-cloud9 - - amazonka-clouddirectory - - amazonka-cloudformation - - amazonka-cloudfront - - amazonka-cloudhsm - - amazonka-cloudhsmv2 - - amazonka-cloudsearch - - amazonka-cloudsearch-domains - - amazonka-cloudtrail - - amazonka-cloudwatch - - amazonka-cloudwatch-events - - amazonka-cloudwatch-logs - - amazonka-codebuild - - amazonka-codecommit - - amazonka-codedeploy - - amazonka-codepipeline - - amazonka-codestar - - amazonka-cognito-identity - - amazonka-cognito-idp - - amazonka-cognito-sync - - amazonka-comprehend - - amazonka-config - - amazonka-connect - amazonka-contrib-rds-utils - - amazonka-cost-explorer - - amazonka-cur - - amazonka-datapipeline - - amazonka-devicefarm - - amazonka-directconnect - - amazonka-discovery - - amazonka-dms - - amazonka-ds - - amazonka-dynamodb - - amazonka-dynamodb-dax - - amazonka-dynamodb-streams - - amazonka-ec2 - - amazonka-ecr - - amazonka-ecs - - amazonka-efs - - amazonka-elasticache - - amazonka-elasticbeanstalk - - amazonka-elasticsearch - - amazonka-elastictranscoder - - amazonka-elb - - amazonka-elbv2 - - amazonka-emr - - amazonka-fms - - amazonka-gamelift - - amazonka-glacier - - amazonka-glue - - amazonka-greengrass - - amazonka-guardduty - - amazonka-health - - amazonka-iam - - amazonka-importexport - - amazonka-inspector - - amazonka-iot - - amazonka-iot-analytics - - amazonka-iot-dataplane - - amazonka-iot-jobs-dataplane - - amazonka-kinesis - - amazonka-kinesis-analytics - - amazonka-kinesis-firehose - - amazonka-kinesis-video - - amazonka-kinesis-video-archived-media - - amazonka-kinesis-video-media - - amazonka-kms - - amazonka-lambda - - amazonka-lex-models - - amazonka-lex-runtime - - amazonka-lightsail - - amazonka-marketplace-analytics - - amazonka-marketplace-entitlement - - amazonka-marketplace-metering - - amazonka-mechanicalturk - - amazonka-mediaconvert - - amazonka-medialive - - amazonka-mediapackage - - amazonka-mediastore - - amazonka-mediastore-dataplane - - amazonka-migrationhub - - amazonka-ml - - amazonka-mobile - - amazonka-mq - - amazonka-opsworks - - amazonka-opsworks-cm - - amazonka-organizations - - amazonka-pinpoint - - amazonka-polly - - amazonka-pricing - - amazonka-rds - - amazonka-redshift - - amazonka-rekognition - - amazonka-resourcegroups - - amazonka-resourcegroupstagging - - amazonka-route53 - - amazonka-route53-autonaming - - amazonka-route53-domains - - amazonka-s3 + - amazonka-s3-encryption - amazonka-s3-streaming - - amazonka-sagemaker - - amazonka-sagemaker-runtime - - amazonka-sdb - - amazonka-secretsmanager - - amazonka-serverlessrepo - - amazonka-servicecatalog - - amazonka-ses - - amazonka-shield - - amazonka-sms - - amazonka-snowball - - amazonka-sns - - amazonka-sqs - - amazonka-ssm - - amazonka-stepfunctions - - amazonka-storagegateway - - amazonka-sts - - amazonka-support - - amazonka-swf - - amazonka-test - - amazonka-transcribe - - amazonka-translate - - amazonka-waf - - amazonka-waf-regional - - amazonka-workdocs - - amazonka-workmail - - amazonka-workspaces - - amazonka-xray - amby - ampersand - amqp-streamly - amqp-utils_0_6_4_0 - amqp_0_22_2 + - analyze-client - anatomy - animate-example - animate-frames @@ -645,7 +511,6 @@ dont-distribute-packages: - antiope-shell - antiope-sns - antiope-sqs - - antiope-swf - antlrc - apecs-gloss - apecs-physics-gloss @@ -672,7 +537,6 @@ dont-distribute-packages: - apotiki - approx-rand-test - arbor-monad-metric-datadog - - archive-libarchive - archive-tar-bytestring - archlinux-web - arduino-copilot @@ -684,13 +548,11 @@ dont-distribute-packages: - arithmetic-circuits - array-forth - arraylist - - ascii - ascii-cows - - ascii-numbers - - ascii-superset - ascii-table - - ascii-th - asic + - assert4hs + - assert4hs-core - assert4hs-hspec - assert4hs-tasty - assimp @@ -710,7 +572,6 @@ dont-distribute-packages: - aura - authoring - autodocodec-openapi3 - - autodocodec-servant-multipart - automata - autonix-deps-kf5 - avers @@ -732,7 +593,6 @@ dont-distribute-packages: - aws-kinesis-client - aws-kinesis-reshard - aws-lambda - - aws-lambda-haskell-runtime-wai - aws-mfa-credentials - aws-sdk - aws-sdk-xml-unordered @@ -740,16 +600,17 @@ dont-distribute-packages: - aws-sign4 - aws-simple - aws-sns + - axel - axiom - azimuth-hs - azure-functions-worker - azure-service-api - azure-servicebus - b-tree + - b9 - babylon - backblaze-b2-hs - backdropper - - balkon - ballast - bamboo - bamboo-launcher @@ -786,7 +647,6 @@ dont-distribute-packages: - belka - bff - bglib - - bidirectionalization-combined - bifunctor - billboard-parser - billeksah-forms @@ -816,6 +676,7 @@ dont-distribute-packages: - bird - bisc - biscuit-servant + - bishbosh - bit-array - bitcoin-address - bitcoin-api @@ -833,12 +694,9 @@ dont-distribute-packages: - blatex - blaze-builder-enumerator - blaze-colonnade - - blaze-html-contrib - ble - blink1 - blip - - blockfrost-client - - blockfrost-client-core - blogination - bloodhound-amazonka-auth - bloxorz @@ -846,13 +704,10 @@ dont-distribute-packages: - bluetile - blunt - bno055-haskell - - board-games - bogre-banana - - boilerplate - bond - bond-haskell - bond-haskell-compiler - - bookhound-format - bookkeeper - bookkeeper-permissions - bookkeeping-jp @@ -862,9 +717,6 @@ dont-distribute-packages: - boots-web - borel - both - - bowntz - - box-csv - - box-socket - breakout - bricks - bricks-internal-test @@ -882,11 +734,10 @@ dont-distribute-packages: - bulletproofs - bulmex - burnt-explorer + - bus-pirate - buster-gtk - buster-network - butterflies - - bv-sized - - bv-sized-lens - bytable - bytehash - bytelog @@ -898,6 +749,8 @@ dont-distribute-packages: - cabal-cache - cabal-cargs - cabal-flatpak + - cabal-helper + - cabal-plan-bounds - cabal-query - cabal-test - cabal2arch @@ -920,6 +773,7 @@ dont-distribute-packages: - cao - cap - capnp + - caps - captcha-2captcha - captcha-capmonster - captcha-core @@ -960,9 +814,8 @@ dont-distribute-packages: - cfipu - cflp - cfopu - - cgi-undecidable - - cgi-utils - chainweb-mining-client + - chakra - chalkboard-viewer - chapelure - charade @@ -970,7 +823,6 @@ dont-distribute-packages: - chart-svg - chart-svg-various - chart-unit - - chassis - cheapskate-highlight - cheapskate-lucid - cheapskate-terminal @@ -990,6 +842,7 @@ dont-distribute-packages: - chu2 - chuchu - chunks + - circle - citation-resolve - citeproc-hs-pandoc-filter - clac @@ -1013,14 +866,20 @@ dont-distribute-packages: - clckwrks - clckwrks-cli - clckwrks-dot-com + - clckwrks-plugin-bugs - clckwrks-plugin-ircbot + - clckwrks-plugin-mailinglist - clckwrks-plugin-media - clckwrks-plugin-page - clckwrks-plugin-redirect - clckwrks-theme-bootstrap + - clckwrks-theme-clckwrks + - clckwrks-theme-geo-bootstrap - cleff-plugin - cless - cleveland + - cli-git + - cli-nix - click-clack - clickhouse-haskell - clifford @@ -1030,7 +889,9 @@ dont-distribute-packages: - cloud-seeder - cloudyfs - clr-bindings + - clr-inline - clua + - clustering - clustertools - clutterhs - cmathml3 @@ -1061,6 +922,7 @@ dont-distribute-packages: - columbia - columnar - comark + - combinat-diagrams - commsec - commsec-keyexchange - comonad-random @@ -1068,51 +930,27 @@ dont-distribute-packages: - compact-mutable - compact-mutable-vector - compact-socket - - compdata-automata - - compdata-dags - - compdata-param - - compdoc - - compdoc-dhall-decoder - complexity - - composite-aeson - - composite-aeson-cofree-list - - composite-aeson-throw - - composite-aeson-writeonly - - composite-binary - - composite-dhall - - composite-ekg - - composite-hashable - - composite-ix - - composite-lens-extra - - composite-opaleye - - composite-swagger - - composite-tuple - - composite-xml - - composite-xstep - comprehensions-ghc - - compstrat - - comptrans - computational-algebra - concraft - concraft-hr - concraft-pl - concrete-haskell - concrete-haskell-autogen + - concurrency-benchmarks - condor - conductive-hsc3 - conductive-song - conduit-throttle - conduit-vfs-zip - confcrypt - - conferer-aeson - - conferer-hedis - conferer-provider-dhall - conferer-provider-yaml - conferer-source-dhall - conferer-source-yaml - - conferer-warp - - conferer-yaml - conffmt + - confide - config-select - configifier - configurator-ng @@ -1133,6 +971,7 @@ dont-distribute-packages: - control-monad-attempt - conversions - convert + - convert-annotation - convertible-ascii - convertible-text - coordinate @@ -1143,6 +982,7 @@ dont-distribute-packages: - copilot-libraries - copilot-sbv - copilot-theorem + - corenlp-parser - coroutine-enumerator - coroutine-iteratee - couch-simple @@ -1170,17 +1010,18 @@ dont-distribute-packages: - crf-chain2-generic - crf-chain2-tiers - criu-rpc + - criu-rpc-types - cron-compat - crypto-classical - crypto-conduit - crypto-pubkey - - crypto-sodium - cryptocipher - cryptoids - cryptoids-class - cryptol - cryptonite-cd - crystalfontz + - csg - csound-catalog - csound-controllers - csound-expression @@ -1191,6 +1032,7 @@ dont-distribute-packages: - csv-enumerator - ctpl - cube + - curryer-rpc - cv-combinators - cypher - daino @@ -1206,8 +1048,8 @@ dont-distribute-packages: - data-basic - data-cycle - data-default-extra - - data-diverse-lens - data-layer + - data-lens-ixset - data-object-json - data-object-yaml - data-result @@ -1243,7 +1085,6 @@ dont-distribute-packages: - debug - decidable - decimal-arithmetic - - declarative - dedukti - deeplearning-hs - deepzoom @@ -1261,6 +1102,8 @@ dont-distribute-packages: - delta - delta-h - dep-t-advice + - dep-t-dynamic + - dep-t-value - dependent-literals - dependent-literals-plugin - dependent-state @@ -1270,22 +1113,22 @@ dont-distribute-packages: - deptrack-dot - dequeue - derive-IG + - deriving-openapi3 - descript-lang - detour-via-uom - devtools - dewdrop - dfinity-radix-tree - - dhall-recursive-adt - dhall-secret - dia-functions - - diagrams-haddock - - diagrams-html5 - - diagrams-pandoc - diagrams-reflex - diagrams-wx - dialog - diff - difference-monoid + - differential + - digestive-foundation-lucid + - digestive-functors-heist - digestive-functors-hsp - dimensional-tf - dimensions @@ -1299,8 +1142,8 @@ dont-distribute-packages: - discogs-haskell - discord-gateway - discord-hs + - discord-register - discord-rest - - disposable - distributed-fork - distributed-fork-aws-lambda - distributed-process @@ -1325,7 +1168,6 @@ dont-distribute-packages: - distribution-plot - dixi - dl-fedora - - dl-fedora_0_9_5_1 - dmenu-pkill - dmenu-pmount - dmenu-search @@ -1337,11 +1179,10 @@ dont-distribute-packages: - dobutokO3 - dobutokO4 - doc-review - - domain - - domain-aeson - - domain-cereal + - doi - domaindriven - dormouse-client + - dotparse - dovetail - dovetail-aeson - dow @@ -1356,10 +1197,12 @@ dont-distribute-packages: - dropbox-sdk - dropsolve - dsh-sql + - dsmc - dsmc-tools - dtd - dvda - dynamic-cabal + - dynamic-pipeline - dynamic-plot - dynamic-pp - dynamodb-simple @@ -1367,9 +1210,7 @@ dont-distribute-packages: - easytensor - easytensor-vulkan - ec2-unikernel - - eccrypto-ed25519-bindings - ecdsa - - ecta - edenskel - edentv - edge @@ -1378,6 +1219,7 @@ dont-distribute-packages: - edits - effect-monad - effective-aspects-mzv + - eflint - egison - egison-pattern-src-haskell-mode - egison-pattern-src-th-mode @@ -1386,7 +1228,6 @@ dont-distribute-packages: - ekg - ekg-carbon - ekg-cloudwatch - - ekg-prometheus-adapter - ekg-wai - elasticsearch-interchange - electrs-client @@ -1441,6 +1282,7 @@ dont-distribute-packages: - ethereum-merkle-patricia-db - eths-rlp - euphoria + - evdev-streamly - event-monad - eventful-core - eventful-dynamodb @@ -1460,13 +1302,6 @@ dont-distribute-packages: - eventuo11y-prometheus - every-bit-counts - exference - - exinst-aeson - - exinst-base - - exinst-bytes - - exinst-cereal - - exinst-deepseq - - exinst-hashable - - exinst-serialise - exist - exist-instances - expand @@ -1479,16 +1314,17 @@ dont-distribute-packages: - expressions-z3 - extemp - extended-containers-lens + - extensible-skeleton - extract-dependencies - factual-api - fadno - fair + - falling-turnip - fallingblocks - family-tree - fast-arithmetic - fast-bech32 - fastcdc - - fastcgi - fastirc - fault-tree - fay-base @@ -1504,7 +1340,6 @@ dont-distribute-packages: - fay-websockets - fbrnch - fcd - - fckeditor - feature-flipper-postgres - fedora-composes - fedora-img-dl @@ -1515,12 +1350,14 @@ dont-distribute-packages: - feed2twitter - feedback - fei-base + - fei-cocoapi - fei-dataiter - fei-datasets - fei-examples - fei-modelzoo - fei-nn - feldspar-compiler + - feldspar-language - festung - fficxx - ffmpeg-tutorials @@ -1547,6 +1384,7 @@ dont-distribute-packages: - flatbuffers - flexiwrap - flexiwrap-smallcheck + - flink-statefulfun - flite - flower - flowsim @@ -1558,18 +1396,20 @@ dont-distribute-packages: - fluent-logger - fluent-logger-conduit - fmt-for-rio + - fn-extra - foldable1 - foldl-transduce-attoparsec - follower - foo + - formal - format - format-status + - forml - formlets - formlets-hsp - forms-data-format - forsyde-deep - forth-hll - - fortran-vars - foscam-directory - foscam-sort - fpco-api @@ -1579,15 +1419,19 @@ dont-distribute-packages: - freckle-app - free-functors - free-game - - free-theorems-counterexamples - - free-theorems-seq - free-theorems-seq-webui - - free-theorems-webui - freekick2 - freelude - freer-converse + - freer-simple-catching + - freer-simple-http + - freer-simple-profiling + - freer-simple-random + - freer-simple-time - fresnel-fused-effects + - friday-devil - friday-juicypixels + - friday-scale-dct - front - frpnow-gloss - frpnow-gtk @@ -1599,9 +1443,11 @@ dont-distribute-packages: - ftshell - funbot - funbot-git-hook + - funcons-lambda-cbv-mp - funcons-simple - funcons-tools - function-combine + - functional-arrow - functor - functor-combo - funflow @@ -1612,12 +1458,16 @@ dont-distribute-packages: - fused-effects-squeal - fwgl-glfw - fwgl-javascript + - fxpak - g2 - g2q - gact - galois-fft + - galois-field + - gamma - gargoyle-postgresql - gargoyle-postgresql-connect + - gargoyle-postgresql-nix - gbu - gdax - gdiff-ig @@ -1625,15 +1475,17 @@ dont-distribute-packages: - gedcom - geek - geek-server + - gegl - gelatin-freetype2 - gelatin-fruity - gelatin-gl - gelatin-sdl2 - gelatin-shaders - - gemini-router - gemini-textboard + - gencheck - generic-accessors - generic-override-aeson + - generic-xml - generics-mrsop-gdiff - genesis - genesis-test @@ -1642,28 +1494,23 @@ dont-distribute-packages: - geniconvert - geniserver - genvalidity-network-uri - - geo-uk - geodetic - geolite-csv - getemx - - gf - ghc-dump-util - ghc-imported-from - ghc-instances - ghc-mod + - ghc-plugs-out - ghc-session - - ghc-tags-pipes - - ghc-tags-plugin - ghci-pretty + - ghcide-bench - ghcjs-dom-hello - ghcjs-dom-webkit - - ghcjs-fetch - ghcjs-hplay - ghcup - ght - gi-cairo-again - - gi-clutter - - gi-coglpango - gi-ges - gi-gsk - gi-gstpbutils @@ -1676,6 +1523,7 @@ dont-distribute-packages: - git-remote-ipfs - git-sanity - gitdo + - github-data - github-webhook-handler-snap - gitlib-cross - gitlib-s3 @@ -1894,6 +1742,7 @@ dont-distribute-packages: - google-drive - google-mail-filters - google-maps-geocoding + - google-static-maps - googleplus - gore-and-ash-actor - gore-and-ash-async @@ -1929,6 +1778,7 @@ dont-distribute-packages: - grenade - greskell - greskell-websocket + - grid-proto - gridbounds - gridland - grisette @@ -1962,9 +1812,9 @@ dont-distribute-packages: - gtkimageview - gtkrsync - guarded-rewriting + - guess-combinator - hArduino - hOff-display - - hOpenPGP - hPDB - hPDB-examples - habit @@ -1972,13 +1822,10 @@ dont-distribute-packages: - hablog - hack-contrib - hack-contrib-press - - hack-frontend-monadcgi - hack-handler-epoll - hack-handler-evhttp - hack-handler-fastcgi - - hack-handler-happstack - hack-handler-hyena - - hack-handler-kibro - hack-handler-simpleserver - hack-middleware-cleanpath - hack-middleware-clientsession @@ -1987,12 +1834,12 @@ dont-distribute-packages: - hack2-handler-happstack-server - hack2-handler-mongrel2-http - hack2-handler-snap-server + - hackage-cli - hackage2twitter - hackmanager - haddock - haddock_2_23_1 - haddocset - - hadolint - hadoop-tools - haggis - hailgun-send @@ -2007,6 +1854,7 @@ dont-distribute-packages: - hall-symbols - halma-gui - halma-telegram-bot + - ham - hamilton - hamusic - hans-pcap @@ -2020,10 +1868,10 @@ dont-distribute-packages: - happstack-data - happstack-dlg - happstack-facebook - - happstack-fastcgi - happstack-fay - happstack-fay-ajax - happstack-foundation + - happstack-heist - happstack-helpers - happstack-ixset - happstack-plugins @@ -2031,12 +1879,11 @@ dont-distribute-packages: - happstack-static-routing - happybara-webkit - haquil - - harg + - hardware-edsl - hark - harmony - haroonga-httpd - has-th - - hasbolt-extras - hascat - hascat-lib - hascat-setup @@ -2045,8 +1892,10 @@ dont-distribute-packages: - hasherize - hashflare - hask-home + - haskanoid - haskdeep - haskeem + - haskell-abci - haskell-admin - haskell-admin-health - haskell-admin-managed-functions @@ -2060,6 +1909,7 @@ dont-distribute-packages: - haskell-pdf-presenter - haskell-platform-test - haskell-reflect + - haskell-snake - haskell-src-exts-observe - haskell-token-utils - haskell-tools-ast @@ -2097,6 +1947,7 @@ dont-distribute-packages: - haskelldb-hsql-sqlite3 - haskelldb-th - haskelm + - haskey - haskey-mtl - haskgame - hasklepias @@ -2127,6 +1978,7 @@ dont-distribute-packages: - hasql-postgres - hasql-postgres-options - hasql-streams-conduit + - hasql-streams-core - hasql-streams-example - hasql-streams-pipes - hasql-streams-streaming @@ -2160,9 +2012,7 @@ dont-distribute-packages: - hdbi-postgresql - hdbi-sqlite - hdbi-tests - - hdf - hdiff - - hdigest - hdirect - hdocs - hdph @@ -2174,8 +2024,12 @@ dont-distribute-packages: - heavy-logger-instances - hecc - hedgehog-checkers-lens + - hedgehog-gen - hedgehog-gen-json - hedis-pile + - heist-aeson + - heist-async + - heist-extra - helic - helics - helics-wai @@ -2204,12 +2058,13 @@ dont-distribute-packages: - hfiar - hfractal - hgalib - - hgdal - hgen - hgeometry - hgeometry-combinatorial + - hgeometry-ipe - hgeometry-svg - hgithub + - hi - hiccup - hie-core - hierarchical-clustering-diagrams @@ -2225,6 +2080,7 @@ dont-distribute-packages: - hinze-streams - hip - hipbot + - hipe - hipsql-client - hipsql-server - hipsql-tx-simple @@ -2236,7 +2092,6 @@ dont-distribute-packages: - hist-pl-lmf - hit - hit-graph - - hix - hjsonschema - hjugement-cli - hlcm @@ -2244,16 +2099,14 @@ dont-distribute-packages: - hls - hls-exactprint-utils - hmark - - hmatrix-backprop - hmatrix-repa - hmatrix-sundials - hmeap - hmeap-utils - hmep - - hmm-lapack - hmt-diagrams + - hnetcdf - hnormalise - - ho-rewriting - hoauth2-demo - hoauth2-providers-tutorial - hob @@ -2275,10 +2128,6 @@ dont-distribute-packages: - hoppy-docs - hoppy-runtime - hoppy-std - - horizon-gen-nix - - horizon-spec-lens - - horizon-spec-pretty - - horizontal-rule - hotswap - hp2any-graph - hp2any-manager @@ -2286,6 +2135,7 @@ dont-distribute-packages: - hpaco-lib - hpage - hpaste + - hpath-directory - hpath-io - hpc-tracer - hplayground @@ -2309,26 +2159,18 @@ dont-distribute-packages: - hs-pkpass - hs-sdl-term-emulator - hs2dot - - hsaml2 - hsautogui - hsbackup - hsbencher-codespeed - hsbencher-fusion - hsc3-auditor - - hsc3-cairo - hsc3-data - - hsc3-db - - hsc3-dot - hsc3-forth - hsc3-graphs - - hsc3-lang - hsc3-lisp - hsc3-plot - - hsc3-process - - hsc3-rec - hsc3-server - hsc3-sf-hsndfile - - hsc3-unsafe - hsc3-utils - hscassandra - hscope @@ -2337,10 +2179,12 @@ dont-distribute-packages: - hsfacter - hsinspect-lsp - hslogstash + - hsparql - hspec-expectations-pretty - hspec-pg-transact - hspec-setup - hspec-shouldbe + - hspec-snap - hspecVariant - hsprocess - hsql-mysql @@ -2357,19 +2201,22 @@ dont-distribute-packages: - hswip - hsx-xhtml - hsyslog-tcp - - htar - html-kure - html-parse-util - htoml-parse - htsn-import - http-client-auth + - http-client-restricted_0_1_0 - http-client-rustls - - http-client-tls_0_3_6_2 - - http-conduit_2_3_8_3 + - http-client-tls_0_3_6_3 - http-enumerator + - http-io-streams + - http-response-decoder + - http2-client-exe - http2-client-grpc - http2-grpc-proto-lens - http2-grpc-proto3-wire + - http3 - https-everywhere-rules - https-everywhere-rules-raw - httpspec @@ -2385,6 +2232,8 @@ dont-distribute-packages: - hw-all - hw-aws-sqs-conduit - hw-json + - hw-json-demo + - hw-json-lens - hw-json-simple-cursor - hw-json-standard-cursor - hw-uri @@ -2411,8 +2260,6 @@ dont-distribute-packages: - hyena - hylotab - hyloutils - - hyper-extra - - hyper-haskell-server - hyperpublic - i - iException @@ -2436,12 +2283,14 @@ dont-distribute-packages: - imj-base - imj-game-hamazed - imj-measure-stdout + - imm - imparse + - imperative-edsl + - imperative-edsl-vhdl - importify - imprevu-happstack - improve - inch - - incipit - incremental-computing - incremental-maps - increments @@ -2472,7 +2321,6 @@ dont-distribute-packages: - introduction-test - intset - invertible-hlist - - invertible-hxt - ion - ipatch - ipc @@ -2511,19 +2359,13 @@ dont-distribute-packages: - ivy-web - ix - ixset - - ixset-typed-binary-instance - - ixset-typed-cassava - - ixset-typed-conversions - - ixset-typed-hashable-instance - iyql + - j - j2hs - - jackpolynomials - - java-bridge - java-bridge-extras - java-character - java-reflect - javaclass - - javascript-extras - javasf - jespresso - jmacro-rpc-happstack @@ -2548,13 +2390,13 @@ dont-distribute-packages: - json-pointer-hasql - json-query - json-rpc-client + - json-schema - json-spec - json-spec-openapi - json-togo - json-tokens - json2-hdbc - json2sg - - jsonrpc-conduit - jsons-to-schema - jspath - jvm @@ -2590,12 +2432,10 @@ dont-distribute-packages: - keera-hails-reactivelenses - keera-posture - keid-resource-gltf + - keid-ui-dearimgui - kerry - kevin - key-vault - - keyed-vals-hspec-tests - - keyed-vals-mem - - keyed-vals-redis - keyring - keysafe - keyvaluehash @@ -2621,13 +2461,12 @@ dont-distribute-packages: - labsat - labyrinth - labyrinth-server - - lackey + - lagrangian - laika - lambda-devs - lambda-options - lambdaFeed - lambdaLit - - lambdabot-telegram-plugins - lambdabot-zulip - lambdacat - lambdacms-media @@ -2640,6 +2479,7 @@ dont-distribute-packages: - lambdacube-samples - lambdaya-bus - lambdiff + - lame-tester - landlock - lang - language-Modula2 @@ -2652,8 +2492,6 @@ dont-distribute-packages: - language-python-colour - language-qux - language-spelling - - lapack - - lapack-hmatrix - large-anon - large-records - lat @@ -2665,7 +2503,6 @@ dont-distribute-packages: - layered-state - layers-game - layouting - - lazy-hash - lazy-hash-cache - lda - ldap-scim-bridge @@ -2696,7 +2533,6 @@ dont-distribute-packages: - libmolude - libraft - librato - - libssh2-conduit - libxml-enumerator - lifted-base-tf - lightning-haskell @@ -2704,18 +2540,16 @@ dont-distribute-packages: - lighttpd-conf - lighttpd-conf-qq - linear-accelerate - - linear-circuit - linear-code - linearEqSolver - - linearmap-category - linearscan-hoopl - linkchk - linkcore + - linnet - linnet-aeson - linnet-conduit - linux-ptrace - lio-eci11 - - lio-simple - lion - liquid-base - liquid-bytestring @@ -2732,6 +2566,8 @@ dont-distribute-packages: - list-tuple - list-witnesses - listenbrainz-client + - liszt + - lit - live-sequencer - llvm - llvm-analysis @@ -2740,6 +2576,7 @@ dont-distribute-packages: - llvm-data-interop - llvm-dsl - llvm-extension + - llvm-extra - llvm-general - llvm-general-quote - llvm-hs-pretty @@ -2753,8 +2590,6 @@ dont-distribute-packages: - locked-poll - log - log-effect-syslog - - log-effectful - - log-elasticsearch - log-postgres - log-utils - log4hs @@ -2796,7 +2631,6 @@ dont-distribute-packages: - macosx-make-standalone - magic-wormhole - magicbane - - magico - mahoro - maid - mailgun @@ -2820,9 +2654,9 @@ dont-distribute-packages: - manatee-template - manatee-terminal - manatee-welcome + - mangopay - mangrove - manifold-random - - manifolds - marionetta - markdown-pap - markdown2svg @@ -2832,6 +2666,7 @@ dont-distribute-packages: - marquise - marvin - masakazu-bot + - massiv-persist - matchers - math-programming-glpk - math-programming-tests @@ -2848,7 +2683,6 @@ dont-distribute-packages: - mellon-gpio - mellon-web - memcache-conduit - - memis - memory-cd - memory-hexstring - merkle-patricia-db @@ -2864,11 +2698,13 @@ dont-distribute-packages: - minecraft-data - minesweeper - mini-egison + - minilight - minilight-lua - minimung - minioperational - minirotate - mismi-kernel + - mismi-s3-core - miss - miss-porcelain - missing-py2 @@ -2876,10 +2712,11 @@ dont-distribute-packages: - mkbndl - mlist - mmsyn6ukr - - mmsyn6ukr-array - mmsyn7h + - mmsyn7l - mmsyn7s - mmsyn7ukr + - mmsyn7ukr-array - mmtl-base - moan - modify-fasta @@ -2898,10 +2735,10 @@ dont-distribute-packages: - monetdb-mapi - mongrel2-handler - monky - - monoidmap - - monomer-hagrid + - monomer-flatpak-example - monte-carlo - moo + - moo-nad - moonshine - morley - morley-client @@ -2929,6 +2766,7 @@ dont-distribute-packages: - msgpack-rpc-conduit - msgpack-testsuite - msi-kb-backlit + - mtgoxapi - mu-avro - mu-graphql - mu-grpc-client @@ -2955,7 +2793,6 @@ dont-distribute-packages: - multirec-alt-deriver - multirec-binary - multisetrewrite - - multivariant - murder - murmurhash3 - mushu @@ -2977,18 +2814,18 @@ dont-distribute-packages: - mxnet-examples - mxnet-nn - myTestlll - - mybitcoin-sci + - mysnapsession - mysnapsession-example - - mysql-haskell - mysql-haskell-nem - mysql-haskell-openssl - mysql-simple-typed - mywatch + - mywork - n2o-web - nakadi-client - named-servant-client - named-servant-server - - named-text + - nanq - nats-queue - natural-number - nemesis-titan @@ -2996,6 +2833,8 @@ dont-distribute-packages: - nero-wai - nero-warp - nested-routes + - net-mqtt_0_8_5_0 + - net-spider - net-spider-cli - net-spider-pangraph - net-spider-rpl @@ -3019,6 +2858,7 @@ dont-distribute-packages: - network-rpca - network-stream - network-topic-models + - network-unexceptional - network-uri-json - network-websocket - neural @@ -3046,22 +2886,23 @@ dont-distribute-packages: - nri-kafka - nri-observability - nri-postgresql + - nri-prelude - nri-redis - nri-test-encoding - numerals-base - numeric-kinds - numeric-ode - - numeric-optimization-backprop - numerical - numhask-hedgehog + - numhask-histogram - numhask-range - numhask-test - nyan - - nyan-interpolation - - nyan-interpolation-simple - nymphaea + - nyx-game - oath - oauth2-jwt-bearer + - obd - obdd - oberon0 - obj @@ -3081,18 +2922,14 @@ dont-distribute-packages: - ogma-language-fret-reqs - ois-input-manager - olwrapper - - om-fork - - om-http - om-kubernetes - om-legion - - om-logging - - om-socket - - online - online-csv - opc-xml-da-client - open-adt-tutorial + - open-typerep + - opencv - opencv-extra - - openpgp - openpgp-Crypto - openpgp-crypto-api - openssh-github-keys @@ -3104,7 +2941,6 @@ dont-distribute-packages: - opentracing-zipkin-common - opentracing-zipkin-v1 - opentracing-zipkin-v2 - - oplang - optima-for-hasql - optimal-blocks - optimusprime @@ -3116,13 +2952,17 @@ dont-distribute-packages: - osm-download - otp-authenticator - outsort + - overeasy + - overload - package-o-tron - padKONTROL + - pagerduty - pairing - panda - pandoc-highlighting-extensions - pandoc-japanese-filters - pandora-io + - panfiguration - papa - papa-base - papa-base-implement @@ -3131,8 +2971,8 @@ dont-distribute-packages: - papa-lens - papa-semigroupoids - paprika + - par-dual - parallel-tree-search - - parameterized - parco-attoparsec - parco-parsec - parconc-examples @@ -3140,12 +2980,14 @@ dont-distribute-packages: - parse-help - parsestar - parsley - - parsley-core - parsley-garnish + - partage - passman-cli - patch-image - path-text-utf8_0_0_2_0 + - pathfindingcore - patterns + - paypal-rest-client - pcap-enumerator - pcapng - pcf @@ -3162,6 +3004,7 @@ dont-distribute-packages: - peparser - perceptual-hash - perdure + - perf - perf-analysis - perfecthash - periodic-client @@ -3178,43 +3021,35 @@ dont-distribute-packages: - persistent-map - persistent-mtl - persistent-mysql-haskell + - persistent-mysql-pure - persistent-protobuf - persistent-relational-record - persona-idp - peyotls - peyotls-codec - pg-entity - - phatsort - - phladiprelio-general-shared - - phladiprelio-general-simple - - phladiprelio-ukrainian-shared - - phladiprelio-ukrainian-simple - phonetic-languages-common - phonetic-languages-constraints - phonetic-languages-examples - phonetic-languages-general - phonetic-languages-permutations - phonetic-languages-properties - - phonetic-languages-simplified-base - phonetic-languages-simplified-common - phonetic-languages-simplified-examples-array - phonetic-languages-simplified-examples-common - - phonetic-languages-simplified-generalized-examples-array - - phonetic-languages-simplified-generalized-examples-common - - phonetic-languages-simplified-generalized-properties-array - phonetic-languages-simplified-lists-examples - - phonetic-languages-simplified-properties-array - phonetic-languages-simplified-properties-lists - phonetic-languages-simplified-properties-lists-double - phonetic-languages-ukrainian - phooey + - phybin - pianola - pier + - pine - ping - pinpon - pipe-enumerator - pipes-attoparsec-streaming - - pipes-bzip - pipes-cacophony - pipes-cereal-plus - pipes-conduit @@ -3234,37 +3069,35 @@ dont-distribute-packages: - planet-mitchell - playlists-http - plocketed - - plow-log-async - plugins-auto - png-file - pngload - point-octree - pointless-lenses - pointless-rewrite + - poke - poker - polh-lexicon - polydata - - polyglot - polysemy-RandomFu - polysemy-account - polysemy-account-api - - polysemy-conc - polysemy-db - polysemy-extra - polysemy-fskvstore - polysemy-hasql - polysemy-hasql-test - polysemy-http - - polysemy-log + - polysemy-kvstore-jsonfile - polysemy-log-co - - polysemy-log-di - polysemy-methodology + - polysemy-methodology-co-log - polysemy-methodology-composite - - polysemy-optics - - polysemy-process + - polysemy-path - polysemy-scoped-fs - polysemy-uncontrolled - - polyseq + - polysemy-video + - polysemy-vinyl - polytypeable-utils - pomodoro - pontarius-mediaserver @@ -3296,7 +3129,6 @@ dont-distribute-packages: - pred-set - pred-trie - prednote-test - - prefork - prelate - presto-hdbc - pretty-diff @@ -3316,30 +3148,29 @@ dont-distribute-packages: - product - prof2dot - progressbar + - project-m36 - prolog-graph - prolog-graph-lib - prologue - prolude - - prometheus-wai-middleware - - proof-assistant-bot - propane - proplang - prosidyc + - proto-lens-combinators - proto-lens-descriptors - proto-lens-protobuf-types - proto-lens-protoc - proto-lens-setup - proto3-suite - - proto3-wire - protobuf-native - protocol-buffers-descriptor - protocol-buffers-descriptor-fork - proton + - psc-ide - psql - ptera - ptera-core - ptera-th - - pubsub - puffytools - pugs-compat - pugs-hsregex @@ -3350,6 +3181,7 @@ dont-distribute-packages: - purenix - purescript - purescript-iso + - purescript-tsd-gen - pursuit-client - push-notify - push-notify-apn @@ -3375,6 +3207,7 @@ dont-distribute-packages: - queryparser-vertica - queuelike - quickbench + - quickcheck-lockstep - quickcheck-poly - quickcheck-regex - quickcheck-relaxng @@ -3436,13 +3269,10 @@ dont-distribute-packages: - rdioh - react-flux-servant - reactive - - reactive-balsa - reactive-banana-sdl - reactive-banana-wx - reactive-fieldtrip - reactive-glut - - reactive-jack - - reactive-midyim - reactor - readline-in-other-words - readpyc @@ -3454,7 +3284,6 @@ dont-distribute-packages: - records-th - recursion-schemes-ix - redHandlers - - redact - reddit - redis-io - redis-resp @@ -3468,12 +3297,14 @@ dont-distribute-packages: - reflex-gadt-api - reflex-ghci - reflex-gloss-scene + - reflex-libtelnet - reflex-localize - reflex-localize-dom - reflex-monad-auth - reflex-potatoes - reflex-process - refractor + - refurb - reg-alloc-graph-color - regex-deriv - regex-genex @@ -3509,22 +3340,27 @@ dont-distribute-packages: - remotion - repa-algorithms - repa-array + - repa-bytestring - repa-convert + - repa-devil + - repa-examples - repa-fftw - repa-flow - repa-io + - repa-linear-algebra - repa-plugin - repa-sndfile - repa-stream - repa-v4l2 - replicant + - repo-based-blog - repr - representable-tries - req_3_13_1 - reserve - resin - - resistor-cube - resource-simple + - respond - rest-client - rest-core - rest-example @@ -3559,6 +3395,7 @@ dont-distribute-packages: - ribosome-host-test - ribosome-root - ribosome-test + - ridley - ridley-extras - rio-process-pool - riot @@ -3585,6 +3422,7 @@ dont-distribute-packages: - rose-trie - roshask - rosmsg-bin + - rounded - rounded-hw - roundtrip-xml - route-generator @@ -3622,6 +3460,7 @@ dont-distribute-packages: - samtools-enumerator - samtools-iteratee - sarsi + - sasha - sasl - sat-micro-hs - satchmo-examples @@ -3631,6 +3470,7 @@ dont-distribute-packages: - sbv-program - sbvPlugin - sc2-lowlevel + - sc2-proto - sc2-support - sc2hs - sc3-rdu @@ -3639,7 +3479,6 @@ dont-distribute-packages: - scalpel-search - scan-metadata - scan-vector-machine - - scgi - scheduling - schematic - scholdoc @@ -3653,11 +3492,11 @@ dont-distribute-packages: - scotty-form - scotty-hastache - scotty-haxl + - scotty-params-parser - scp-streams - scrabble-bot - scrapbook - scroll - - scubature - sdl2-sprite - sdp-binary - sdp-deepseq @@ -3674,11 +3513,12 @@ dont-distribute-packages: - sednaDBXML - seitz-symbol - selda-json + - selda-postgresql - selda-sqlite - selenium-server - - self-extract - semantic-source - semi-iso + - semialign-extras - semiring - semiring-num - sensenet @@ -3691,13 +3531,13 @@ dont-distribute-packages: - serpentine - serv - serv-wai + - servant-auth-hmac - servant-auth-token - servant-auth-token-acid - servant-auth-token-api - servant-auth-token-leveldb - servant-auth-token-persistent - servant-auth-token-rocksdb - - servant-cli - servant-client-namedargs - servant-csharp - servant-db-postgresql @@ -3705,48 +3545,41 @@ dont-distribute-packages: - servant-ekg - servant-examples - servant-haxl-client - - servant-js + - servant-http2-client - servant-matrix-param - - servant-multipart - - servant-multipart-client + - servant-mock - servant-oauth2 - servant-oauth2-examples - servant-openapi3 - - servant-options - - servant-polysemy - servant-postgresql + - servant-proto-lens - servant-pushbullet-client - servant-queryparam-openapi3 - servant-rate-limit - servant-reason - - servant-ruby - servant-serialization - servant-server-namedargs - servant-snap - servant-streaming-client - servant-streaming-docs - servant-streaming-server - - servant-subscriber - servant-swagger-tags - servant-to-elm - - servant-typescript - servant-util - servant-util-beam-pg - servant-waargonaut - servant-zeppelin-client - servant-zeppelin-server - servant-zeppelin-swagger - - serverless-haskell + - serversession-frontend-snap + - ses-html-snaplet - sessiontypes-distributed - sgf - sgrep - - sha1 - shady-gen - shady-graphics - shake-bindist - shake-minify-css - - shake-pack - - shake-plus-extended - shakebook - shaker - shapefile @@ -3755,6 +3588,7 @@ dont-distribute-packages: - shelduck - shellmate-extras - shine-varying + - short-vec - short-vec-lens - showdown - shpider @@ -3762,19 +3596,19 @@ dont-distribute-packages: - si-clock - sibe - sigma-ij + - signable + - signable-haskell-protoc - signals - signature - - signify-hs - silvi - simgi - - simple - simple-c-value - simple-firewire - simple-log-syslog + - simple-logging - simple-nix - simple-pascal - simple-postgresql-orm - - simple-session - simpleirc-lens - simseq - siphon @@ -3790,7 +3624,6 @@ dont-distribute-packages: - smallcheck-laws - smallcheck-lens - smallstring - - smarties - smartword - smash-aeson - smash-lens @@ -3800,33 +3633,62 @@ dont-distribute-packages: - smith-cli - smith-client - smt - - smtlib-backends-process - - smtlib-backends-tests - - smtlib-backends-z3 - smtlib2-debug - smtlib2-pipe - smtlib2-quickcheck - smtlib2-timing - smtp2mta + - snap + - snap-auth-cli - snap-elm + - snap-error-collector - snap-extras + - snap-routes + - snap-testing + - snap-utils + - snap-web-routes + - snaplet-acid-state - snaplet-actionlog + - snaplet-amqp + - snaplet-auth-acid + - snaplet-coffee + - snaplet-css-min - snaplet-customauth + - snaplet-environments - snaplet-fay + - snaplet-ghcjs - snaplet-hasql - snaplet-haxl - snaplet-hdbc + - snaplet-hslogger + - snaplet-i18n + - snaplet-influxdb - snaplet-lss + - snaplet-mandrill - snaplet-mongoDB + - snaplet-mongodb-minimalistic + - snaplet-mysql-simple - snaplet-oauth + - snaplet-persistent + - snaplet-postgresql-simple - snaplet-postmark + - snaplet-purescript + - snaplet-recaptcha + - snaplet-redis - snaplet-redson - snaplet-rest - snaplet-riak + - snaplet-sass + - snaplet-scoped-session - snaplet-sedna + - snaplet-ses-html + - snaplet-sqlite-simple + - snaplet-sqlite-simple-jwt-auth - snaplet-stripe - snaplet-tasks + - snaplet-typed-sessions - snaplet-wordpress + - snappy-iteratee - sndfile-enumerators - sneakyterm - sneathlane-haste @@ -3838,16 +3700,16 @@ dont-distribute-packages: - snumber - sock2stream - socket-io + - sockets - socketson - solga-swagger - solr - - sonic-visualiser - souffle-dsl - source-code-server + - spade - sparkle - sparrow - sparsebit - - sparser - spartacon - spata - spatial-math_0_5_0_1 @@ -3866,7 +3728,6 @@ dont-distribute-packages: - sproxy - sproxy-web - sproxy2 - - sqel - sql-simple-mysql - sql-simple-pool - sql-simple-postgresql @@ -3878,6 +3739,7 @@ dont-distribute-packages: - squeal-postgresql-uuid-ossp - squeeze - sr-extra + - srt-dhall - srt-formatting - sscgi - sshd-lint @@ -3896,17 +3758,15 @@ dont-distribute-packages: - stackage-setup - stackage-upload - stackage2nix - - stan + - stackctl - starrover2 - stateful-mtl - static-closure + - statistics-dirichlet - statsd-client - statsdi - - stdcxx - steeloverseer - stern-brocot - - stm-actor - - stm-supply - stmcontrol - storablevector-streamfusion - stratum-tool @@ -3915,14 +3775,15 @@ dont-distribute-packages: - stratux-http - stratux-websockets - streaming-base64 + - streaming-concurrency - streaming-fft - streaming-process + - streaming-sort - strelka - strelka-wai - strict-containers-lens - strict-containers-serialise - strict-data - - string-interpreter - string-typelits - stripe-haskell - stripe-http-client @@ -3939,6 +3800,7 @@ dont-distribute-packages: - sugar-json - sugar-scheme - summoner-tui + - sump - sunroof-examples - sunroof-server - supercollider-ht @@ -3953,6 +3815,7 @@ dont-distribute-packages: - swapper - sweet-egison - switch + - syb-with-class-instances-text - sydtest-amqp - sydtest-webdriver-screenshot - sydtest-webdriver-yesod @@ -3978,13 +3841,16 @@ dont-distribute-packages: - systemstats - t3-client - ta - - tableaux - tag-stream - tagged-list - tagged-th - tagsoup-navigate - tagstew + - tahoe-chk + - tahoe-great-black-swamp + - tahoe-ssk - tak-ai + - takahashi - tal - talash - tamarin-prover @@ -3995,7 +3861,6 @@ dont-distribute-packages: - task - task-distribution - tasty-bdd - - tasty-checklist - tasty-groundhog-converters - tasty-hspec_1_2_0_4 - tasty-integrate @@ -4005,21 +3870,16 @@ dont-distribute-packages: - tasty-sugar - tateti-tateti - tbox - - tcache-AWS - tccli + - tcod-haskell + - tdd-util - techlab - telegram-bot - - telegram-bot-api - - telegram-bot-simple - telegram-raw-api - temporal-csound - ten-lens - ten-unordered-containers - - tensorflow - - tensorflow-core-ops - - tensorflow-logging - tensorflow-opgen - - tensorflow-ops - tensorflow-proto - terminal-text - terrahs @@ -4041,6 +3901,7 @@ dont-distribute-packages: - thumbnail-polish - tic-tac-toe - tickle + - tidal-serial - tighttp - timberc - time-exts @@ -4051,6 +3912,7 @@ dont-distribute-packages: - timezone-unix - tinkoff-invest-sdk - tintin + - tinyMesh - tinytools - tinytools-vty - tip-haskell-frontend @@ -4067,6 +3929,7 @@ dont-distribute-packages: - to-string-instances - toboggan - todos + - tokstyle - toktok - tomlcheck - tonatona @@ -4087,7 +3950,6 @@ dont-distribute-packages: - trajectory - trans-fx-data - trans-fx-io - - transf - transfer-db - transformations - transformers-convert @@ -4107,13 +3969,16 @@ dont-distribute-packages: - trek-app - trek-db - triangulation + - trigger - trimpolya - trurl - tsession-happstack - tsweb - tuntap-simple - tup-functor - - tuple-append-instances + - tuple-gen + - tuple-hlist + - tuple-morph - tuple-ops - turingMachine - twee @@ -4124,9 +3989,11 @@ dont-distribute-packages: - twentefp-rosetree - twentefp-trees - twentyseven + - twfy-api-client - twidge - twilight-stm - twill + - twirl - twitter-enumerator - txt - type-assertions @@ -4168,11 +4035,7 @@ dont-distribute-packages: - uni-uDrawGraph - unicode-normalization - unicoder - - uniform-http - uniform-io - - uniform-latex2pdf - - uniform-pandoc - - uniform-shake - uniform-watch - uniqueness-periods - uniqueness-periods-vector-examples @@ -4180,6 +4043,7 @@ dont-distribute-packages: - uniqueness-periods-vector-general - uniqueness-periods-vector-properties - unitym-servant + - unitym-yesod - universal - universe - universe-dependent-sum @@ -4263,11 +4127,9 @@ dont-distribute-packages: - wahsp - wai-devel - wai-dispatch - - wai-frontend-monadcgi - wai-handler-hal - wai-handler-snap - wai-hastache - - wai-log - wai-middleware-brotli - wai-middleware-cache - wai-middleware-cache-redis @@ -4275,8 +4137,9 @@ dont-distribute-packages: - wai-middleware-content-type - wai-middleware-rollbar - wai-middleware-route - - wai-middleware-throttle + - wai-middleware-validation - wai-middleware-verbs + - wai-predicates - wai-route - wai-routing - wai-session-alt @@ -4290,9 +4153,8 @@ dont-distribute-packages: - wavesurfer - wavy - weatherhs - - web-inv-route + - web-fpco - web-mongrel2 - - web-rep - web-routes-regular - web-routing - web3 @@ -4302,7 +4164,6 @@ dont-distribute-packages: - web3-polkadot - web3-provider - web3-solidity - - webcloud - webcrank-wai - webdriver-w3c - webgear-openapi @@ -4312,6 +4173,7 @@ dont-distribute-packages: - websockets-rpc - websockets-simple - websockets-simple-extra + - weekdaze - weierstrass-functions - weighted - werewolf-slack @@ -4326,6 +4188,7 @@ dont-distribute-packages: - wild-bind-indicator - wild-bind-task-x11 - wild-bind-x11 + - windowslive - winery - winio - wire-streams @@ -4346,7 +4209,6 @@ dont-distribute-packages: - wsjtx-udp - wtk-gtk - wu-wei - - wuerfelschlange - wumpus-basic - wumpus-drawing - wumpus-microprint @@ -4362,7 +4224,6 @@ dont-distribute-packages: - wxturtle - wyvern - xdcc - - xdg-basedir-compliant - xhb-atom-cache - xhb-ewmh - xml-catalog @@ -4373,6 +4234,7 @@ dont-distribute-packages: - xml-pipe - xml-push - xml-query-xml-conduit + - xml-query-xml-types - xml-tydom-conduit - xml2x - xmltv @@ -4402,7 +4264,6 @@ dont-distribute-packages: - yaml-rpc-snap - yaml-streamly - yarr-image-io - - yasi - yavie - ycextra - yeamer @@ -4451,11 +4312,11 @@ dont-distribute-packages: - yu-launch - yuuko - zasni-gerna + - zephyr - zephyr-copilot - zerobin - zeromq3-conduit - zeroth - - zeugma - zifter-cabal - zifter-git - zifter-google-java-format diff --git a/nixpkgs/pkgs/development/haskell-modules/configuration-nix.nix b/nixpkgs/pkgs/development/haskell-modules/configuration-nix.nix index 6b8e254c3af9..6242b5af6f4f 100644 --- a/nixpkgs/pkgs/development/haskell-modules/configuration-nix.nix +++ b/nixpkgs/pkgs/development/haskell-modules/configuration-nix.nix @@ -69,7 +69,7 @@ self: super: builtins.intersectAttrs super { -e "s/@@GHC_VERSION@@/${self.ghc.version}/" \ -e "s/@@BOOT_PKGS@@/$BOOT_PKGS/" \ -e "s/@@ABI_HASHES@@/$(for dep in $BOOT_PKGS; do printf "%s:" "$dep" && ghc-pkg-${self.ghc.version} field $dep abi --simple-output ; done | tr '\n' ' ' | xargs)/" \ - -e "s!Consider installing ghc.* via ghcup or build HLS from source.!Visit https://haskell4nix.readthedocs.io/nixpkgs-users-guide.html#how-to-install-haskell-language-server to learn how to correctly install a matching hls for your ghc with nix.!" \ + -e "s!Consider installing ghc.* via ghcup or build HLS from source.!Visit https://nixos.org/manual/nixpkgs/unstable/#haskell-language-server to learn how to correctly install a matching hls for your ghc with nix.!" \ bindist/wrapper.in > "$out/bin/haskell-language-server" ln -s "$out/bin/haskell-language-server" "$out/bin/haskell-language-server-${self.ghc.version}" chmod +x "$out/bin/haskell-language-server" @@ -123,7 +123,6 @@ self: super: builtins.intersectAttrs super { hls-brittany-plugin hls-floskell-plugin hls-fourmolu-plugin - hls-cabal-plugin hls-overloaded-record-dot-plugin ; @@ -134,6 +133,7 @@ self: super: builtins.intersectAttrs super { hls-gadt-plugin # https://github.com/haskell/haskell-language-server/pull/3431 + hls-cabal-plugin hls-cabal-fmt-plugin hls-code-range-plugin hls-explicit-record-fields-plugin @@ -452,6 +452,8 @@ self: super: builtins.intersectAttrs super { wxc = (addBuildDepend self.split super.wxc).override { wxGTK = pkgs.wxGTK32; }; wxcore = super.wxcore.override { wxGTK = pkgs.wxGTK32; }; + shellify = enableSeparateBinOutput super.shellify; + # Test suite wants to connect to $DISPLAY. bindings-GLFW = dontCheck super.bindings-GLFW; gi-gtk-declarative = dontCheck super.gi-gtk-declarative; @@ -599,7 +601,17 @@ self: super: builtins.intersectAttrs super { # # Additional note: nixpkgs' freeglut and macOS's OpenGL implementation do not cooperate, # so disable this on Darwin only - ${if pkgs.stdenv.isDarwin then null else "GLUT"} = addPkgconfigDepend pkgs.freeglut (appendPatch ./patches/GLUT.patch super.GLUT); + ${if pkgs.stdenv.isDarwin then null else "GLUT"} = overrideCabal (drv: { + pkg-configDepends = drv.pkg-configDepends or [] ++ [ + pkgs.freeglut + ]; + patches = drv.patches or [] ++ [ + ./patches/GLUT.patch + ]; + prePatch = drv.prePatch or "" + '' + ${lib.getBin pkgs.buildPackages.dos2unix}/bin/dos2unix *.cabal + ''; + }) super.GLUT; libsystemd-journal = doJailbreak (addExtraLibrary pkgs.systemd super.libsystemd-journal); diff --git a/nixpkgs/pkgs/development/haskell-modules/hackage-packages.nix b/nixpkgs/pkgs/development/haskell-modules/hackage-packages.nix index 3d7bcf49a143..d6cc9f68a091 100644 --- a/nixpkgs/pkgs/development/haskell-modules/hackage-packages.nix +++ b/nixpkgs/pkgs/development/haskell-modules/hackage-packages.nix @@ -546,6 +546,8 @@ self: { pname = "ALUT"; version = "2.4.0.3"; sha256 = "04nrh7vribs4jvg99hj3fmshzcw6kkf45r842iys19ln3l51p2bi"; + revision = "1"; + editedCabalFile = "1im8j56gwv8ask7f2gqbnsvw4jafs1yigrhdxx0bji3l4msswnr6"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ base OpenAL StateVar transformers ]; @@ -822,8 +824,8 @@ self: { pname = "Agda"; version = "2.6.3"; sha256 = "05k0insn1c2dbpddl1slcdn972j8vgkzzy870yxl43j75j0ckb5y"; - revision = "3"; - editedCabalFile = "1dhwih518sm0ldwcfvbgywmgvvdskkpwmrm6gj9pxyma8hrdsfsd"; + revision = "4"; + editedCabalFile = "1xfhn4ap5h5aj9vp9m474p98jz7g4azf5m7ngwbj7ivhgnkl32wh"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; @@ -1314,8 +1316,8 @@ self: { }: mkDerivation { pname = "BNFC"; - version = "2.9.4.1"; - sha256 = "0l3jw86b14jfrd4phjkhpl9qq8im3lnbbhhadmivdr1k2sf614sy"; + version = "2.9.5"; + sha256 = "0ssp096ilnwyn1n20jlnkv67r033g7sm18w20ilc64mxlaifjdgs"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -1377,7 +1379,6 @@ self: { description = "Translations of classic Truth Maintenance Systems"; license = lib.licenses.gpl3Only; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "Baggins" = callPackage @@ -1848,6 +1849,8 @@ self: { pname = "BiobaseNewick"; version = "0.0.0.2"; sha256 = "1g8kdmraxv0qf3nzm7hi36nhw0j8kyjmjlwslp7a5n2zly2gcck4"; + revision = "1"; + editedCabalFile = "0q5r5ywb66inl3pmdyd62bfr34rgcaiyw51aycqki1q7vixv695h"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -1863,9 +1866,7 @@ self: { ]; description = "Newick file format parser"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "TestForestStructure"; - broken = true; }) {}; "BiobaseTrainingData" = callPackage @@ -2942,6 +2943,7 @@ self: { description = "Separate and contain effects of IO monad"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "Cardinality" = callPackage @@ -3059,11 +3061,11 @@ self: { pname = "ChannelT"; version = "0.0.0.7"; sha256 = "183pghm74vk1vdcn0mdn6g5q284sncpl1cc49lpczz1wbr15s89y"; + revision = "1"; + editedCabalFile = "18hv00jlxmarwx8rk9zac6wzavylf322njag1mzkm8jsfkzyfxv2"; libraryHaskellDepends = [ base free mmorph mtl transformers-base ]; description = "Generalized stream processors"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "Chart" = callPackage @@ -5747,6 +5749,7 @@ self: { description = "A grab bag of modules"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "FAI" = callPackage @@ -6250,7 +6253,6 @@ self: { description = "Finite categories and usual categorical constructions on them"; license = lib.licenses.gpl3Plus; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "FiniteMap" = callPackage @@ -6978,6 +6980,8 @@ self: { pname = "GLUT"; version = "2.7.0.16"; sha256 = "0vdkfj4wjzigdpzgr5l001y9wkhwgl00mclr26gf93kps14fkymn"; + revision = "1"; + editedCabalFile = "0y8lif1xq62ca3w3cf56z2b37nz2yzr3s6r9q8q24gyxl60yvsl9"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -8334,7 +8338,6 @@ self: { license = lib.licenses.bsd3; badPlatforms = lib.platforms.darwin; hydraPlatforms = lib.platforms.none; - broken = true; }) {inherit (pkgs) pfstools;}; "HERA" = callPackage @@ -9081,6 +9084,8 @@ self: { pname = "HMock"; version = "0.5.1.0"; sha256 = "1nbdgndk5bmd45wabfnndzmava9d8cf24li0w1093yl6099gmwas"; + revision = "1"; + editedCabalFile = "0dimg8vcppmz0f3jg3yjghfn1cvn46xns8y3p54nxnngh6fxl7ph"; libraryHaskellDepends = [ base constraints containers data-default exceptions explainable-predicates extra monad-control mtl stm syb @@ -9094,8 +9099,6 @@ self: { ]; description = "A flexible mock framework for testing effectful code"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "HNM" = callPackage @@ -9244,8 +9247,8 @@ self: { ({ mkDerivation, base, bcm2835, bytestring }: mkDerivation { pname = "HPi"; - version = "0.7.0"; - sha256 = "094wdlnby4iqp5zvd3iimp3whn386w5h6x04izz5xxf43bzzbl2a"; + version = "0.9.0"; + sha256 = "1cwapxr6amsrcnlqdmc4klb97wv3xcqb5ms4ag4wa7bvz6rx3rx3"; libraryHaskellDepends = [ base bytestring ]; librarySystemDepends = [ bcm2835 ]; description = "GPIO, I2C and SPI functions for the Raspberry Pi"; @@ -9327,8 +9330,8 @@ self: { }: mkDerivation { pname = "HROOT"; - version = "0.10.0.2"; - sha256 = "1si50g4dhjphg1lqji8wlihgn1wnshsarhl5gjhc8107absddbmb"; + version = "0.10.0.3"; + sha256 = "049r8d2p1nij9d1byf3zyskp01a8hlql7gnzbz0slj2f1a6qyfs2"; setupHaskellDepends = [ base Cabal process ]; libraryHaskellDepends = [ base HROOT-core HROOT-graf HROOT-hist HROOT-io HROOT-math HROOT-net @@ -9340,16 +9343,16 @@ self: { }) {}; "HROOT-core" = callPackage - ({ mkDerivation, base, Cabal, fficxx, fficxx-runtime, process - , stdcxx, template-haskell + ({ mkDerivation, base, Cabal, fficxx-runtime, process, stdcxx + , template-haskell }: mkDerivation { pname = "HROOT-core"; - version = "0.10.0.2"; - sha256 = "0cis7fjm1lisn9ipfxk8dkxdxdr8kpfrfp21ac2y6chcappxxpjp"; + version = "0.10.0.3"; + sha256 = "1pb2bf1nmrk5wp6z8zyx8ksbcbhhg46830bizmma2a4kl2smnwfj"; setupHaskellDepends = [ base Cabal process ]; libraryHaskellDepends = [ - base fficxx fficxx-runtime stdcxx template-haskell + base fficxx-runtime stdcxx template-haskell ]; description = "Haskell binding to ROOT Core modules"; license = lib.licenses.lgpl21Plus; @@ -9358,17 +9361,16 @@ self: { }) {}; "HROOT-graf" = callPackage - ({ mkDerivation, base, Cabal, fficxx, fficxx-runtime, HROOT-core + ({ mkDerivation, base, Cabal, fficxx-runtime, HROOT-core , HROOT-hist, process, stdcxx, template-haskell }: mkDerivation { pname = "HROOT-graf"; - version = "0.10.0.2"; - sha256 = "0qfcqla07cz06xw09xdh5jnsixrrl5f4l1gxsf2cg2x2nl4yvpna"; + version = "0.10.0.3"; + sha256 = "04ck4z913acvqc6h2lq4j6ws4y0aygisaic917wbr6abzhkj7zbg"; setupHaskellDepends = [ base Cabal process ]; libraryHaskellDepends = [ - base fficxx fficxx-runtime HROOT-core HROOT-hist stdcxx - template-haskell + base fficxx-runtime HROOT-core HROOT-hist stdcxx template-haskell ]; description = "Haskell binding to ROOT Graf modules"; license = lib.licenses.lgpl21Plus; @@ -9376,16 +9378,16 @@ self: { }) {}; "HROOT-hist" = callPackage - ({ mkDerivation, base, Cabal, fficxx, fficxx-runtime, HROOT-core - , process, stdcxx, template-haskell + ({ mkDerivation, base, Cabal, fficxx-runtime, HROOT-core, process + , stdcxx, template-haskell }: mkDerivation { pname = "HROOT-hist"; - version = "0.10.0.2"; - sha256 = "0xyh3xnjpfz0218jg0r67kl1frw9gf2m11bnjlaxvqlzfnrxgxr0"; + version = "0.10.0.3"; + sha256 = "1dz06d63qzlvgim1v6vzasyk8s8m0fvjhdwqwbanicn0h2gd1qkl"; setupHaskellDepends = [ base Cabal process ]; libraryHaskellDepends = [ - base fficxx fficxx-runtime HROOT-core stdcxx template-haskell + base fficxx-runtime HROOT-core stdcxx template-haskell ]; description = "Haskell binding to ROOT Hist modules"; license = lib.licenses.lgpl21Plus; @@ -9393,16 +9395,16 @@ self: { }) {}; "HROOT-io" = callPackage - ({ mkDerivation, base, Cabal, fficxx, fficxx-runtime, HROOT-core - , process, stdcxx, template-haskell + ({ mkDerivation, base, Cabal, fficxx-runtime, HROOT-core, process + , stdcxx, template-haskell }: mkDerivation { pname = "HROOT-io"; - version = "0.10.0.2"; - sha256 = "0h36jpc8ljwhk6rmv6i7i8mls0s0lcii3fdjaa23r9bbrl76jgk4"; + version = "0.10.0.3"; + sha256 = "10293ranj094xz9dzyfa5xndxfg0jgcgh7vj5mw2sq01s77vxxv0"; setupHaskellDepends = [ base Cabal process ]; libraryHaskellDepends = [ - base fficxx fficxx-runtime HROOT-core stdcxx template-haskell + base fficxx-runtime HROOT-core stdcxx template-haskell ]; description = "Haskell binding to ROOT IO modules"; license = lib.licenses.lgpl21Plus; @@ -9410,16 +9412,16 @@ self: { }) {}; "HROOT-math" = callPackage - ({ mkDerivation, base, Cabal, fficxx, fficxx-runtime, HROOT-core - , process, stdcxx, template-haskell + ({ mkDerivation, base, Cabal, fficxx-runtime, HROOT-core, process + , stdcxx, template-haskell }: mkDerivation { pname = "HROOT-math"; - version = "0.10.0.2"; - sha256 = "1sgj7lr0j7yik0x6fy6vfiv2qqw1b58yhm2z8fq765x3ypilj24m"; + version = "0.10.0.3"; + sha256 = "0b9lyqpaj4lw6k0gmfcp4gazqmfrbi7iww2sy413n375nckvz2sd"; setupHaskellDepends = [ base Cabal process ]; libraryHaskellDepends = [ - base fficxx fficxx-runtime HROOT-core stdcxx template-haskell + base fficxx-runtime HROOT-core stdcxx template-haskell ]; description = "Haskell binding to ROOT Math modules"; license = lib.licenses.lgpl21Plus; @@ -9427,17 +9429,16 @@ self: { }) {}; "HROOT-net" = callPackage - ({ mkDerivation, base, Cabal, fficxx, fficxx-runtime, HROOT-core - , HROOT-io, process, RHTTP, stdcxx, template-haskell + ({ mkDerivation, base, Cabal, fficxx-runtime, HROOT-core, HROOT-io + , process, RHTTP, stdcxx, template-haskell }: mkDerivation { pname = "HROOT-net"; - version = "0.10.0.2"; - sha256 = "1lw0zkb8wmd5raa1fbjaw5l3r6kvvll72vs4rmdjqmg0rld3hgnk"; + version = "0.10.0.3"; + sha256 = "0d4azl97v0v6d5bld6xqn7dk91dbvndmyffxsbv88vfqcj231vdj"; setupHaskellDepends = [ base Cabal process ]; libraryHaskellDepends = [ - base fficxx fficxx-runtime HROOT-core HROOT-io stdcxx - template-haskell + base fficxx-runtime HROOT-core HROOT-io stdcxx template-haskell ]; librarySystemDepends = [ RHTTP ]; description = "Haskell binding to ROOT Net modules"; @@ -9446,16 +9447,16 @@ self: { }) {RHTTP = null;}; "HROOT-tree" = callPackage - ({ mkDerivation, base, Cabal, fficxx, fficxx-runtime, HROOT-core - , process, stdcxx, template-haskell + ({ mkDerivation, base, Cabal, fficxx-runtime, HROOT-core, process + , stdcxx, template-haskell }: mkDerivation { pname = "HROOT-tree"; - version = "0.10.0.2"; - sha256 = "1k5sfd9a02hgbxq9slsvaxw40l0i6nyvw0ihjs1v7lamsicd8b7y"; + version = "0.10.0.3"; + sha256 = "1ndb0amk9n44dpgwvh1gbyff08vcqbd5igj640ldhpr2idkbpcfz"; setupHaskellDepends = [ base Cabal process ]; libraryHaskellDepends = [ - base fficxx fficxx-runtime HROOT-core stdcxx template-haskell + base fficxx-runtime HROOT-core stdcxx template-haskell ]; description = "Haskell binding to ROOT Tree modules"; license = lib.licenses.lgpl21Plus; @@ -9950,7 +9951,6 @@ self: { description = "An Haskell library to drive the french Minitel through a serial port"; license = lib.licenses.gpl3Only; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "HaPy" = callPackage @@ -10415,7 +10415,6 @@ self: { description = "HaskRel, Haskell as a DBMS with support for the relational algebra"; license = lib.licenses.gpl2Only; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "HaskellAnalysisProgram" = callPackage @@ -10976,6 +10975,7 @@ self: { description = "A search and indexing engine"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "Holumbus-Storage" = callPackage @@ -11288,8 +11288,8 @@ self: { pname = "HsYAML"; version = "0.2.1.1"; sha256 = "0a7nbvpl4p8kwbbjfn1dj6s3fif5k8zhbckdvyz1k74pj3yb8ns6"; - revision = "3"; - editedCabalFile = "0dyvkrnzdpba4lwxvqyrsjgcmi0aza7nz19xjw638qdq1xdxrwcp"; + revision = "4"; + editedCabalFile = "1ai0y5jmj68q1rami0hdg34rflammpagb96sww4fkbr127cbdwhx"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -11311,8 +11311,8 @@ self: { pname = "HsYAML-aeson"; version = "0.2.0.1"; sha256 = "139hqd07hkr8ykvrgmcshh9f3vp9dnrj6ks5nl8hgrpi990jsy5r"; - revision = "7"; - editedCabalFile = "1zriyncrkfdz21adlqy2v1wydm01j3w3jxqa2ls1psjp2p1mmv6x"; + revision = "8"; + editedCabalFile = "0wprpy6312ly8dh5w2fdr5ni0p3ywfn8m6axmzh8i79zjaffnxcl"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -11549,8 +11549,8 @@ self: { ({ mkDerivation, base, mtl, QuickCheck, Stream }: mkDerivation { pname = "IOSpec"; - version = "0.3.1.1"; - sha256 = "1w6f7jnjm4n0s4mr18yqv81rsnrh8f6806x523gnqljbyak18p1l"; + version = "0.3.1.2"; + sha256 = "0ig57drcyynndgbbpfycvxvfz2ddyr1xsxks0xabfp7r0w7f0bi0"; libraryHaskellDepends = [ base mtl QuickCheck Stream ]; description = "A pure specification of the IO monad"; license = lib.licenses.bsd3; @@ -13688,6 +13688,7 @@ self: { description = "Automatic inductive functional programmer by systematic search"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "MailchimpSimple" = callPackage @@ -14528,7 +14529,6 @@ self: { description = "Simple and typesafe layer over the Munkres package"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "MusicBrainz" = callPackage @@ -14768,7 +14768,6 @@ self: { description = "A Haskell interface to Lego Mindstorms NXT"; license = lib.licenses.lgpl3Only; hydraPlatforms = lib.platforms.none; - broken = true; }) {bluetooth = null;}; "NXTDSL" = callPackage @@ -14813,8 +14812,6 @@ self: { testToolDepends = [ tasty-discover ]; description = "Easy-and-safe-to-use high-level Haskell bindings to NaCl"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "NameGenerator" = callPackage @@ -15036,8 +15033,8 @@ self: { }: mkDerivation { pname = "Network-NineP"; - version = "0.4.7.2"; - sha256 = "19m5iz99igw6bdqh9zln1cmfms0nrghvf9553fb2gjzv0i9dbygs"; + version = "0.4.7.3"; + sha256 = "1ddmg5644af3aq53lclwyifir9x56by61w43ipb4lj1p40hki0j1"; libraryHaskellDepends = [ async base binary bytestring containers convertible exceptions hslogger monad-loops monad-peel mstate mtl network network-bsd @@ -15382,15 +15379,15 @@ self: { }) {}; "OGDF" = callPackage - ({ mkDerivation, base, COIN, fficxx, fficxx-runtime, OGDF, stdcxx + ({ mkDerivation, base, COIN, fficxx-runtime, OGDF, stdcxx , template-haskell }: mkDerivation { pname = "OGDF"; - version = "1.0.0.0"; - sha256 = "0sn5xyn2yqh7aywadzxvaxcyqj2clivvizqdbnjkljib7960w44z"; + version = "1.0.0.1"; + sha256 = "0xxh88qzqmfpz3lphgm1iihbc6x8m3irwzpp0s3ysss64a6jn7j7"; libraryHaskellDepends = [ - base fficxx fficxx-runtime stdcxx template-haskell + base fficxx-runtime stdcxx template-haskell ]; librarySystemDepends = [ COIN OGDF ]; description = "Haskell binding to OGDF"; @@ -15647,8 +15644,8 @@ self: { pname = "Only"; version = "0.1"; sha256 = "0rdj3a629fk2vp121jq8mf2smkblrz5w3cxhlsyx6my2x29s2ymb"; - revision = "1"; - editedCabalFile = "1ahk7p34kmh041mz7lyc10nhcxgv2i4z8nvzxvqm2x34gslmsbzr"; + revision = "2"; + editedCabalFile = "01rvhsm4gyvjpgakrj7nzlfb1bjhkkx87xj3hng2x00g3qc3s0y6"; libraryHaskellDepends = [ base deepseq ]; description = "The 1-tuple type or single-value \"collection\""; license = lib.licenses.bsd3; @@ -15701,6 +15698,8 @@ self: { pname = "OpenAL"; version = "1.7.0.5"; sha256 = "167qgbls1439wbckva281cf61bclkca0krbbi4451l7qjinm8fil"; + revision = "1"; + editedCabalFile = "1k5ynfm92wbxl8nf0rni8sxplxdwqjr3zsvj9p1ss1b7ns4s1hnb"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -17233,7 +17232,6 @@ self: { description = "Quick and easy data visualization with Haskell"; license = lib.licenses.gpl3Only; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "Quickson" = callPackage @@ -17346,7 +17344,6 @@ self: { description = "A reflective JSON serializer/parser"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "RLP" = callPackage @@ -17897,6 +17894,7 @@ self: { license = "GPL"; hydraPlatforms = lib.platforms.none; mainProgram = "replaceUmlaut"; + broken = true; }) {}; "ReplicateEffects" = callPackage @@ -17998,7 +17996,6 @@ self: { description = "quasiquoter for inline-R code"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "RollingDirectory" = callPackage @@ -18044,8 +18041,8 @@ self: { }: mkDerivation { pname = "RtMidi"; - version = "0.7.0.0"; - sha256 = "0gfhl9p961hpzljcx3cphl5wzhgjkbklnf5a1wikmm86xa5ziz1w"; + version = "0.8.0.0"; + sha256 = "1cp0pzsc7agjq3dr4h2lldb1x9wl0p2047f45mpsxc4w6gw97fwz"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ base deepseq vector ]; @@ -19548,6 +19545,29 @@ self: { mainProgram = "Sit.bin"; }) {}; + "Sit_0_2023_8_3" = callPackage + ({ mkDerivation, alex, array, base, containers, data-lens-light + , happy, mtl + }: + mkDerivation { + pname = "Sit"; + version = "0.2023.8.3"; + sha256 = "1psmfgh1bklx52az1097n23nzfjnab002dcqrq140jqhnpfw7ynr"; + isLibrary = true; + isExecutable = true; + enableSeparateDataOutput = true; + libraryHaskellDepends = [ + array base containers data-lens-light mtl + ]; + libraryToolDepends = [ alex happy ]; + executableHaskellDepends = [ base ]; + testHaskellDepends = [ base ]; + description = "Prototypical type checker for Type Theory with Sized Natural Numbers"; + license = "unknown"; + hydraPlatforms = lib.platforms.none; + mainProgram = "Sit.bin"; + }) {}; + "SizeCompare" = callPackage ({ mkDerivation, base, containers }: mkDerivation { @@ -19657,6 +19677,7 @@ self: { description = "Abstract full system simulator"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "SoccerFun" = callPackage @@ -20267,8 +20288,6 @@ self: { doHaddock = false; description = "Svg Icons and more"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "SybWidget" = callPackage @@ -20385,8 +20404,6 @@ self: { ]; description = "A Transactional cache with user-defined persistence"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "THEff" = callPackage @@ -20644,7 +20661,6 @@ self: { license = lib.licenses.lgpl3Only; hydraPlatforms = lib.platforms.none; mainProgram = "TLT-exe"; - broken = true; }) {}; "Taxonomy" = callPackage @@ -20709,6 +20725,7 @@ self: { license = lib.licenses.gpl3Only; hydraPlatforms = lib.platforms.none; mainProgram = "TeXmyMath-example"; + broken = true; }) {}; "TeaHS" = callPackage @@ -22341,6 +22358,7 @@ self: { description = "Workflow patterns over a monad for thread state logging & recovery"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "WxGeneric" = callPackage @@ -22750,16 +22768,19 @@ self: { }) {}; "Yampa" = callPackage - ({ mkDerivation, base, deepseq, random, simple-affine-space }: + ({ mkDerivation, base, criterion, deepseq, filepath, random + , simple-affine-space, time + }: mkDerivation { pname = "Yampa"; - version = "0.14.3"; - sha256 = "0hsi2458m0wncb6khzjzplzxlmcwz3czm3q59fcqfz0c6yn8px7j"; + version = "0.14.4"; + sha256 = "1lj1fj77ajckxhv9avx22djyl4jp44693y54j6hyx0xfp4dafhr5"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ base deepseq random simple-affine-space ]; + benchmarkHaskellDepends = [ base criterion filepath time ]; description = "Elegant Functional Reactive Programming Language for Hybrid Systems"; license = lib.licenses.bsd3; }) {}; @@ -22880,8 +22901,8 @@ self: { }: mkDerivation { pname = "Z-Data"; - version = "2.0.0.1"; - sha256 = "0w78yrphmb7w2vay75r1a5rlcar1345rvbsq8cx8zh3jcx2r802g"; + version = "2.0.0.2"; + sha256 = "1ix3bdhb8291wgh3iaiwfhkkkcbp51r50xszs4i1x57kzzxbsjf3"; setupHaskellDepends = [ base Cabal ]; libraryHaskellDepends = [ base bytestring case-insensitive containers deepseq hashable @@ -24057,8 +24078,8 @@ self: { }: mkDerivation { pname = "acid-state"; - version = "0.16.1.2"; - sha256 = "1ixg62liif1g18ggxap8zdhzy261fj5d24pmb0n36gfhz18b38bx"; + version = "0.16.1.3"; + sha256 = "0vmggxzp6alv92ycwc9bc53vn73s1cbn8rhmbcb2jvcglyakvws5"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -26056,8 +26077,6 @@ self: { ]; description = "Declarative JSON matchers"; license = lib.licenses.bsd2; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "aeson-modern-tojson" = callPackage @@ -26516,8 +26535,8 @@ self: { }: mkDerivation { pname = "aeson-via"; - version = "0.2.1"; - sha256 = "0g8sf1sv1fmqkqmfwsb8sxbgy63zg503gi9sxhhc9apfrp5z9kig"; + version = "0.2.2"; + sha256 = "04hmnhi64wj80s8li9v6dza3alv155vaigpqy3y6j40b6p7hglk9"; libraryHaskellDepends = [ aeson aeson-casing base newtype-generics text ]; @@ -26526,8 +26545,6 @@ self: { ]; description = "Wrappers to derive-via Aeson ToJSON/FromJSON typeclasses"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "aeson-warning-parser" = callPackage @@ -26904,8 +26921,8 @@ self: { ({ mkDerivation, base, hspec }: mkDerivation { pname = "agreeing"; - version = "0.1.0.0"; - sha256 = "09dayjwdq16kjcmalhy55mv8gcv0s0d583fkw1k6smvcy1fal32r"; + version = "0.2.0.0"; + sha256 = "1zhxzhxhqanchr64rkbwzrn9q7lhmylsggf6ax0zai0zg9sk56yc"; libraryHaskellDepends = [ base ]; testHaskellDepends = [ base hspec ]; description = "Idiomatic data structure for agreement"; @@ -27869,7 +27886,6 @@ self: { license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; mainProgram = "acb"; - broken = true; }) {}; "algorithmic-composition-basic" = callPackage @@ -27910,7 +27926,6 @@ self: { description = "Helps to create more complex experimental music from a file (especially timbre)"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "algorithmic-composition-frequency-shift" = callPackage @@ -28186,8 +28201,6 @@ self: { ]; description = "A character between a-z"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "alpino-tools" = callPackage @@ -28590,658 +28603,1393 @@ self: { }) {}; "amazonka" = callPackage - ({ mkDerivation, amazonka-core, base, bytestring, conduit - , conduit-extra, directory, exceptions, http-client, http-conduit - , http-types, ini, mmorph, monad-control, mtl, resourcet, retry - , tasty, tasty-hunit, text, time, transformers, transformers-base - , transformers-compat, unliftio-core, void + ({ mkDerivation, aeson, amazonka-core, amazonka-sso, amazonka-sts + , base, bytestring, conduit, directory, exceptions, http-client + , http-conduit, http-types, ini, lens, resourcet, retry, text, time + , transformers, unordered-containers, uuid }: mkDerivation { pname = "amazonka"; - version = "1.6.1"; - sha256 = "104ifvmwdc1w3y42qcbq57v579zcnmlfv3f0bsazbcqdxnvr9dzd"; - revision = "3"; - editedCabalFile = "1fkmnk2ikx6j6vpda9wx1pc3yl16d2j7gz3wgfh6hj0z856rm4gf"; + version = "2.0"; + sha256 = "044ypj06rg6vb6yfdnfjk0piwpwgqchryskzhws6knjf7qag67g0"; libraryHaskellDepends = [ - amazonka-core base bytestring conduit conduit-extra directory - exceptions http-client http-conduit http-types ini mmorph - monad-control mtl resourcet retry text time transformers - transformers-base transformers-compat unliftio-core void + aeson amazonka-core amazonka-sso amazonka-sts base bytestring + conduit directory exceptions http-client http-conduit http-types + ini lens resourcet retry text time transformers + unordered-containers uuid ]; - testHaskellDepends = [ base tasty tasty-hunit ]; description = "Comprehensive Amazon Web Services SDK"; license = lib.licenses.mpl20; hydraPlatforms = lib.platforms.none; + broken = true; + }) {}; + + "amazonka-accessanalyzer" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-accessanalyzer"; + version = "2.0"; + sha256 = "0d95kxjq0b6lfqnz4lkihmsnxkvia7v6889mbg2hjl2b6d8gq9hf"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Access Analyzer SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-account" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-account"; + version = "2.0"; + sha256 = "05d5428jya57w6s798mynd31wdp1bwi0gmqr2n6wvbxrwjxjvn2g"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Account SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-alexa-business" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-alexa-business"; - version = "1.6.1"; - sha256 = "0k94d4i7fnrlf2zbvndw5bpl9g54ar03s43yzlygq1rg4qfwakhq"; + version = "2.0"; + sha256 = "03jfkwvzkl168hnn3vz53l4jgv59q8k2w8q5awnz2fzzm5i0vg5j"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Alexa For Business SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-amp" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-amp"; + version = "2.0"; + sha256 = "151k7wshjifnypywvq6nkbpfq3bsyfpxayqnnxqk88zcgp52b2i5"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Prometheus Service SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-amplify" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-amplify"; + version = "2.0"; + sha256 = "0k2nvz7dw9j2cwh24a4c5bmzp25lyn362mgqlbk5n0576v2yqdkh"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Amplify SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-amplifybackend" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-amplifybackend"; + version = "2.0"; + sha256 = "1jmar2yzlmcdw869a4bih64z6h31yj3llkg7h2lqhk3n231xlxx7"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon AmplifyBackend SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-amplifyuibuilder" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-amplifyuibuilder"; + version = "2.0"; + sha256 = "1jw75bi3r3myd05l5v22w5mmj7iyihmgp35zncr1n6b07jm8ig8l"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Amplify UI Builder SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-apigateway" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-apigateway"; - version = "1.6.1"; - sha256 = "11gkml1xp2h5j9idgk84h6nlz2fvszrrn9hmqjm4b76hj3a3v11v"; + version = "2.0"; + sha256 = "17m30b7wdvvf859aai64h71hxwz6w432n6ck1i9b11fcxf03sdlf"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon API Gateway SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-apigatewaymanagementapi" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-apigatewaymanagementapi"; + version = "2.0"; + sha256 = "15yx8ljfvidx7xm7i5iwdyqdrdw0b9qzi5s1pw2d5q7xbgdsg8wj"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon ApiGatewayManagementApi SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-apigatewayv2" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-apigatewayv2"; + version = "2.0"; + sha256 = "1b48a8zbr1d44zvvbmyfwcqn548vwq4qh94wdllxiizsszb8aq6k"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon ApiGatewayV2 SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-appconfig" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-appconfig"; + version = "2.0"; + sha256 = "1dqmaq0ysl4ki792zh4bmsvjf6fh628r7l5fhsqa6s1lrmn746mn"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon AppConfig SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-appconfigdata" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-appconfigdata"; + version = "2.0"; + sha256 = "12yrh69ixicgh3gnz9viw0w8fgcz1l81inclh4cq2gz1pjyasp17"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon AppConfig Data SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-appflow" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-appflow"; + version = "2.0"; + sha256 = "02374a03lifbg1y7zzvg4v91r0k1mji7ad9nm2c6v8k45791f4d0"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Appflow SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-appintegrations" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-appintegrations"; + version = "2.0"; + sha256 = "0yi057njjx38xy03ic7dkjdmkyk4wh3wiv7zbgz77xq2yjnv6gjy"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon AppIntegrations Service SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-application-autoscaling" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-application-autoscaling"; - version = "1.6.1"; - sha256 = "11njiad7rzx2vbzr6m3qwrvzqaplnp6h1zkd92mcc16chaial3ns"; + version = "2.0"; + sha256 = "1za4q19j9157q11y3k32p3xkj94bbb92q440gn1c7m55m6caskm0"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Application Auto Scaling SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-application-insights" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-application-insights"; + version = "2.0"; + sha256 = "198h6phfxdcwcp6k7nfjwjjxpv271j8xrgcl30bg4jqswp47hy1x"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon CloudWatch Application Insights SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-applicationcostprofiler" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-applicationcostprofiler"; + version = "2.0"; + sha256 = "1kqzw2553sllyh17svckzl65i45r0n6sjm3ap3f9s3z1x946hdlx"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Application Cost Profiler SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-appmesh" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-appmesh"; + version = "2.0"; + sha256 = "1dr3nq9imivwb4z183vgylcp740bhhpc29cpjkzn8zxm5hnf7lqr"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon App Mesh SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-apprunner" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-apprunner"; + version = "2.0"; + sha256 = "1g5j1jhdxj3ra9z7qjcnhiszxv9rq2w8g4qjzdjdd54v7lnwb5v5"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon App Runner SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-appstream" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-appstream"; - version = "1.6.1"; - sha256 = "1308gj35ibv54asgwng2rxsvwyz5gmcnfigikm1ib950a33zli36"; + version = "2.0"; + sha256 = "0nbvdkaml27jscrxw9raydqfg79zczhm47lirdgq7jm58r6pn3w5"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon AppStream SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-appsync" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-appsync"; - version = "1.6.1"; - sha256 = "0py1hf3hjcyrck39s8b8nircz0zi80rq27b4snwmrbs5l5lhp23s"; + version = "2.0"; + sha256 = "08n4yy2wilfyp92i7nlmn3gib53fwsxiia2igbnr2mlmvb1vm67r"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon AppSync SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-arc-zonal-shift" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-arc-zonal-shift"; + version = "2.0"; + sha256 = "0wbzxm1an9kcd4k4s76h1mp412rdca9nwl3xib04kkkkzd3bkggd"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon ARC - Zonal Shift SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-athena" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-athena"; - version = "1.6.1"; - sha256 = "17avw47p6jkfbwgr4hlv0kx10xbjgm2rfpdj26whjzwv1mpy83ip"; + version = "2.0"; + sha256 = "0hcww9mr096ys1imba8zhvyy4g9vaskh8gs4bd8hb0nh8gsaa2sh"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Athena SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-auditmanager" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-auditmanager"; + version = "2.0"; + sha256 = "1182nz5rzlsx97lczhh78lxv7nrnh1rdw3c202jvsla9f6hr7hi7"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Audit Manager SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-autoscaling" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-autoscaling"; - version = "1.6.1"; - sha256 = "0569mjl9k4v0z740vhaz665zy5s3jzrvp562n4j6mkim9p0svd1g"; + version = "2.0"; + sha256 = "043j4anckd05j5bz259f1gpkcacdinsscf06avbcbq08r8cqf8wf"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Auto Scaling SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-autoscaling-plans" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-autoscaling-plans"; - version = "1.6.1"; - sha256 = "1xg9lcqjsdczy9gnssy78wbapypbdhnzs9kb3k779h5r8dv4rbx9"; + version = "2.0"; + sha256 = "10bwadh8rdqnrdwy1skc3xsmc2c5ikawi83l8b9liapcyb81r7j1"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Auto Scaling Plans SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-backup" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-backup"; + version = "2.0"; + sha256 = "1m2zjz3zs8kw84ph0hi88q1zrshs24n8dr3xpcy84ir9xgpl37lq"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Backup SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-backup-gateway" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-backup-gateway"; + version = "2.0"; + sha256 = "13wqbdjq6ippm64cy8c2465vzx3g4g8q8lfymp2lajk1vvp02nyh"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Backup Gateway SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-backupstorage" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-backupstorage"; + version = "2.0"; + sha256 = "08fkx40xzi6fhbpid93zp0hpll71qy8w84jm38zb4i1phwsb466y"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Backup Storage SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-batch" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-batch"; - version = "1.6.1"; - sha256 = "197wjj11y5qjapz6c9qpp92fkhsfbc8pm9f0pyw63k7cyr3vcrq6"; + version = "2.0"; + sha256 = "1m0gh0jamvs493raqg3id2kag68sjws15f4d1qshzp5gahsc6a08"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Batch SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-billingconductor" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-billingconductor"; + version = "2.0"; + sha256 = "0cx2dccf1symgn9nzi0d27hnfgxhhjcd56g3x7j57y8h05dg1lmn"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon BillingConductor SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-braket" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-braket"; + version = "2.0"; + sha256 = "09dp4n8gjs5cmq1a1hq1ng9ndd43gslmcy5idkrabs4as4piqr9d"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Braket SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-budgets" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-budgets"; - version = "1.6.1"; - sha256 = "0wv25m25dnwsv3r8njjjg4ffy8d3r1xw5q56adhpifir0j2j1aw4"; + version = "2.0"; + sha256 = "0mqlxh126i6qprjsz753hp0ir4i47442v943fzhddcw41cyrdchk"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Budgets SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-certificatemanager" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-certificatemanager"; - version = "1.6.1"; - sha256 = "1wlyd1wn11ngq5pbh3mcg1acknsycyc9j41l7miwir5swxkpngc3"; + version = "2.0"; + sha256 = "0xqq13gjxy5czh7xz1bdr57hd2rykgh36vriw5mgfrhs09vry6zn"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Certificate Manager SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-certificatemanager-pca" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-certificatemanager-pca"; - version = "1.6.1"; - sha256 = "1qcmbw2n6vw0a9ksbvqhf7qmlk5zlxifc4hg9wzr3zywmj4zygq0"; + version = "2.0"; + sha256 = "1gwzb5lq1ms62m8l1c3fqfkpz2y2l1fsij7hradiic6zp55xsy4p"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Certificate Manager Private Certificate Authority SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-chime" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-chime"; + version = "2.0"; + sha256 = "0bysdxbqsq9657854hdhzvcjwnfqpg4k31chwfvldilnyid2w9l1"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Chime SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-chime-sdk-identity" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-chime-sdk-identity"; + version = "2.0"; + sha256 = "0j6yx3rprfmj7l41yjrz9qd59jlpy79ksrmqv55lb8n5s4zl9ar4"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Chime SDK Identity SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-chime-sdk-media-pipelines" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-chime-sdk-media-pipelines"; + version = "2.0"; + sha256 = "1b5s8krabpcw8sywxm2nf7jlmblzz7rvnvm1pxy9xc9vd3m760sd"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Chime SDK Media Pipelines SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-chime-sdk-meetings" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-chime-sdk-meetings"; + version = "2.0"; + sha256 = "1v1khlbbisdbdz7iap4gx0gc6b3l2qcqnv53jskwmq3qy7a2ys7s"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Chime SDK Meetings SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-chime-sdk-messaging" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-chime-sdk-messaging"; + version = "2.0"; + sha256 = "0zr6wyr97ss9r245i56cffkk4rb0jshrbzp7jnkgh9qqkbry8pal"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Chime SDK Messaging SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-chime-sdk-voice" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-chime-sdk-voice"; + version = "2.0"; + sha256 = "1ym5c3ckk9p08ypyfbh5s9ajj74pyvcwj45j90nb3fgzi8gvv2cp"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Chime SDK Voice SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-cloud9" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-cloud9"; - version = "1.6.1"; - sha256 = "1ikkcd9i45zmfx7p25wf97pn52314xs0ga4lh3r32b8xrh2d9kq4"; + version = "2.0"; + sha256 = "0javf3vmlmskprjrlj353hhkaprkc8g7cgpqm6vp4vgzqiln46bf"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Cloud9 SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-cloudcontrol" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-cloudcontrol"; + version = "2.0"; + sha256 = "13nh5mm8sdckmyn7cmykli6l3kbrxg77ycnfpd9i3y28lyycp3mx"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Cloud Control API SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-clouddirectory" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-clouddirectory"; - version = "1.6.1"; - sha256 = "012nilk1j8jmp8bhcc5qff95g1ivsky05jwk4xpfh05j573cdaqz"; + version = "2.0"; + sha256 = "02iiam1i2cyafmhl7gbd02s03lx4r0p0g6ccl3k3rz853sp6jqw8"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon CloudDirectory SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-cloudformation" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-cloudformation"; - version = "1.6.1"; - sha256 = "1j5gsgswcflfrmry777drc7f7619azr1qffdz636y2vb8nsyl8z8"; + version = "2.0"; + sha256 = "01gw438fw7b87ibdgnjl3hqxx8s1ckkmaj0b12b4plqsdjsx6h1b"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon CloudFormation SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-cloudfront" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-cloudfront"; - version = "1.6.1"; - sha256 = "0yd7vx90wnjid99gq4vypxfmgqjrfa4ws2s7x77qx1rl1pxh0ncc"; + version = "2.0"; + sha256 = "1zsn0avbhc789gvk8ylgawjpdkxvdj71n96bd66xmmwizqb5vqsw"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon CloudFront SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-cloudhsm" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-cloudhsm"; - version = "1.6.1"; - sha256 = "06d0w3h3njblqjh95crf6gslkdcjx29g1h66f6z0gqikrwiy5prl"; + version = "2.0"; + sha256 = "0xpvvgk3nnkmjbbx34ancq2l1ij7621qww0fxpa2vgdmn4qg9m7a"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon CloudHSM SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-cloudhsmv2" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-cloudhsmv2"; - version = "1.6.1"; - sha256 = "1j7ns5daj4rsvc1f956wfy7hpshb7z8vffa6vyzvrfmw8kfspvfa"; + version = "2.0"; + sha256 = "03sbrqpw3lh9b3rz1f8r1y368cax6k9ywi4apl3cdaqsq8k6mpp0"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon CloudHSM V2 SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-cloudsearch" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-cloudsearch"; - version = "1.6.1"; - sha256 = "1p3srndrj4kgwwz9021mfh91za8qgrhf4hzk02nva6awdp114j6h"; + version = "2.0"; + sha256 = "07xpfkfr229i1lh6v9fi4j1gmv9aqiwy709nbczaph6nsln9hjb2"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon CloudSearch SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-cloudsearch-domains" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-cloudsearch-domains"; - version = "1.6.1"; - sha256 = "07xihv4bay6vz9pw89y3issfmi7iicryzi1wh22sxw3qb1jnq6q4"; + version = "2.0"; + sha256 = "0is587zw0v71q3njaf8092s0dlrlgax9k72jk21whrlw2hcwmp53"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon CloudSearch Domain SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-cloudtrail" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-cloudtrail"; - version = "1.6.1"; - sha256 = "1abx1xp75fdnavxwxi2ak5gk3b2vc2380znv3mi28s72l8aprk2m"; + version = "2.0"; + sha256 = "03cm69j3g8s0d6s8gdx3p1d3rwz0ph0qyx76fv1l5cn27phm8mbn"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon CloudTrail SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-cloudwatch" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-cloudwatch"; - version = "1.6.1"; - sha256 = "0v9j950qlfhy4dz77kq0329877050lpwaqi5h5s00kxiqwbvcmjf"; + version = "2.0"; + sha256 = "1h8mnjskkr4lkb2bvww0nhp9xn0cqjab0hqgl1ncv6p61jf1zw5g"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon CloudWatch SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-cloudwatch-events" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-cloudwatch-events"; - version = "1.6.1"; - sha256 = "0ikn7z6fp648qi5ch664wnym6v1mcq37khxw7fwfnfqnir13sclb"; + version = "2.0"; + sha256 = "1dipj5gl92q6339246kz8m9f5x7h0vlhnp665h6d5mzcnbvz97b9"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; - description = "Amazon CloudWatch Events SDK"; + description = "Amazon EventBridge SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-cloudwatch-logs" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-cloudwatch-logs"; - version = "1.6.1"; - sha256 = "146llix63givxlry48la1m5c28q9008bmpfmbj3x5mwrgjxxxpv1"; + version = "2.0"; + sha256 = "0gpwvz8kwd908n28zvbk5vmx4jrmqx8jybypddlysk223rcfzb82"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon CloudWatch Logs SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-codeartifact" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-codeartifact"; + version = "2.0"; + sha256 = "14913n9qlalp0bmnzdsfcfi20xdxa64j826dn10lx0wmpg138inv"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon CodeArtifact SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-codebuild" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-codebuild"; - version = "1.6.1"; - sha256 = "1c56766yz3dj1zq643503mphdw7kb82kfn63ldi6f7i6dc5yyx8k"; + version = "2.0"; + sha256 = "13yx256hk14f8gaawgnvfxjw3ai8r5ldy1j0d51iknd95qbdg2yp"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon CodeBuild SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-codecommit" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-codecommit"; - version = "1.6.1"; - sha256 = "0cq73pd93la058sgpcvhmrqc5r4splh5x9w571fxrilmc08a6fdn"; + version = "2.0"; + sha256 = "1c50c249cxnw4wlcfbwh74k3qy9csmnf92nm99gsb8c8smhhsxxf"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon CodeCommit SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-codedeploy" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-codedeploy"; - version = "1.6.1"; - sha256 = "1yjlbcbzfp72621sj458b2lv35rf8fcr88ljb47mrp7ihb86zzrx"; + version = "2.0"; + sha256 = "0pppzrwv2b5qnh4xw90c21imqwa8wrzjqjmmfcym5805qj5c1fhp"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon CodeDeploy SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-codeguru-reviewer" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-codeguru-reviewer"; + version = "2.0"; + sha256 = "1k1bcv2gxdzc6qp2r5n6q5wfr628i3xq5nkdck1i01rd5sswjbrw"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon CodeGuru Reviewer SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-codeguruprofiler" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-codeguruprofiler"; + version = "2.0"; + sha256 = "07jjql6lfv02wwx7ydqqwrlsicg4gprarn5kwjsk76464p6p893i"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon CodeGuru Profiler SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-codepipeline" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-codepipeline"; - version = "1.6.1"; - sha256 = "1jb5775n31yhbqahv8jiiz5i1qzvq5rls3f1jf35wnwjvzzzz85z"; + version = "2.0"; + sha256 = "1pbd4f6w7c6nlgxcq1xacg94jpx3m8srr1l9jzsgam4s6wf3ixp1"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon CodePipeline SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-codestar" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-codestar"; - version = "1.6.1"; - sha256 = "1vf0cg2kby5bnb6j575c0mcldbqj577mkmfzsbwy3hnfv92rhipy"; + version = "2.0"; + sha256 = "1spjb2h4da1fypx9wj82ha5277zk1qqnnphrsd56ak7mlar1shpq"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon CodeStar SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-codestar-connections" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-codestar-connections"; + version = "2.0"; + sha256 = "19vddgc61mm0ypvzfkwgn7m37dnkha30pa3ry6sphw9aynv9agla"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon CodeStar connections SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-codestar-notifications" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-codestar-notifications"; + version = "2.0"; + sha256 = "1djib5ksv63adng9s6n04ldvxi0i2kmyl6zkz4im3703xcjii7l9"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon CodeStar Notifications SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-cognito-identity" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-cognito-identity"; - version = "1.6.1"; - sha256 = "0142kq7hfdala7bl9l1d5s0rkf50zz89qm6m0f3mpfp4iiaphxxr"; + version = "2.0"; + sha256 = "1wwbna3iarjqsglqwpbrjg6x5ib4rsbh2lx5fwdh414r25710yls"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Cognito Identity SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-cognito-idp" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-cognito-idp"; - version = "1.6.1"; - sha256 = "06zhx3rajqf0llc5xvyp9nd77pb0h5mfv8f83kbp9696yi7c6qnz"; + version = "2.0"; + sha256 = "0p99w1fpvm68rldw28bjq7q9ah1ad50dz50vic4552nhpqvw07kb"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Cognito Identity Provider SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-cognito-sync" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-cognito-sync"; - version = "1.6.1"; - sha256 = "0qyvj02y7c0lvw5axr50l22pvmzj8l6c8ivdlf6myrdmfb8gjj70"; + version = "2.0"; + sha256 = "15hslp7zp91392asl9p5bif2dspbjjhwmaa47i1b0kmarl2haq1z"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Cognito Sync SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-comprehend" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-comprehend"; - version = "1.6.1"; - sha256 = "12ipg2qnyaw1y5azgq2b7vw9n17l877xiw7fa6xaxsmf3xfk6w1d"; + version = "2.0"; + sha256 = "1ll364vazv1rjp37wng6g9fqw40rirhj040p4yky31py0gj8k3fm"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Comprehend SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-comprehendmedical" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-comprehendmedical"; + version = "2.0"; + sha256 = "0kk10v1dxnkqf8xz2rik0x7mm08ivwy9ccbahwmfhzwk5427vqf5"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Comprehend Medical SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-compute-optimizer" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-compute-optimizer"; + version = "2.0"; + sha256 = "02501c0xnyc7vdf97156a0fxmysy7r7vlgj6azgr1jd98j110i8v"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Compute Optimizer SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-config" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-config"; - version = "1.6.1"; - sha256 = "0d38y292gwq7ix0gvrkznc7007jlr8an2wzrxn0rjca0f24vldpz"; + version = "2.0"; + sha256 = "1ccxay3qpmll5fy03qcwwbx2b23g609w4zxsqvdny86pb97p6ij9"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Config SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-connect" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-connect"; - version = "1.6.1"; - sha256 = "0l0p3n1pa3jnq5srcc93w37bxqiv4i912y5a4vx6ghzgpv8y4k42"; + version = "2.0"; + sha256 = "03jj3qjsj7qx3gm8ryh01lxa3glg1rqz289xmnbl9qv8gb0f8bfs"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Connect Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-connect-contact-lens" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-connect-contact-lens"; + version = "2.0"; + sha256 = "1m9dkadljs33any7f3rlwxs49h5qgd5l5xxhxfh5srcwsf5lzd4j"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Connect Contact Lens SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-connectcampaigns" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-connectcampaigns"; + version = "2.0"; + sha256 = "00x539dzx6kb50ifhjcwc8490di72bcbqwwp8zm7sr74pjx1jq8s"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon ConnectCampaignService SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-connectcases" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-connectcases"; + version = "2.0"; + sha256 = "19sfbqg46s02wnbpw9lw46vbw8m8pdmsvc125akxli8vjpg8rkir"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Connect Cases SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-connectparticipant" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-connectparticipant"; + version = "2.0"; + sha256 = "1hy1wlni7czid4qm48jdqyfy2gfb1x973khc4bj0g8nx99qspkms"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Connect Participant Service SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-contrib-rds-utils" = callPackage @@ -29266,578 +30014,1173 @@ self: { mainProgram = "generate-db-auth-token"; }) {}; + "amazonka-controltower" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-controltower"; + version = "2.0"; + sha256 = "1n8hh292q7l6j5ljbbnf339lvp0gf2h6fh9mxymglhsagc0gzpj9"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Control Tower SDK"; + license = lib.licenses.mpl20; + }) {}; + "amazonka-core" = callPackage - ({ mkDerivation, aeson, attoparsec, base, bifunctors, bytestring - , case-insensitive, conduit, conduit-extra, cryptonite - , data-ordlist, deepseq, exceptions, hashable, http-client - , http-conduit, http-types, lens, memory, mtl, QuickCheck - , quickcheck-unicode, resourcet, scientific, semigroups, tagged - , tasty, tasty-hunit, tasty-quickcheck, template-haskell, text - , time, transformers, transformers-compat, unordered-containers - , xml-conduit, xml-types + ({ mkDerivation, aeson, attoparsec, base, bytestring + , case-insensitive, conduit, conduit-extra, containers, crypton + , data-ordlist, deepseq, hashable, http-client, http-conduit + , http-types, lens, memory, QuickCheck, quickcheck-unicode + , regex-posix, resourcet, scientific, tasty, tasty-hunit + , tasty-quickcheck, template-haskell, text, time, transformers + , unordered-containers, xml-conduit, xml-types }: mkDerivation { pname = "amazonka-core"; - version = "1.6.1"; - sha256 = "0hx250dja1l4n4y5115w0qngzlqj8f6p861sdaykh0yjm4nzb621"; - revision = "2"; - editedCabalFile = "15mwn2cv4f99rb53wzk7hplb6ncpcl7crsvj8dx71ymm60cf2kxr"; + version = "2.0"; + sha256 = "1lsd9nzyvwwp7j4kii6fp7n98x1qa6999ggwwia5sa06fgqz39bm"; libraryHaskellDepends = [ - aeson attoparsec base bifunctors bytestring case-insensitive - conduit conduit-extra cryptonite deepseq exceptions hashable - http-client http-conduit http-types lens memory mtl resourcet - scientific semigroups tagged text time transformers - transformers-compat unordered-containers xml-conduit xml-types + aeson attoparsec base bytestring case-insensitive conduit + conduit-extra containers crypton deepseq hashable http-client + http-conduit http-types lens memory regex-posix resourcet + scientific text time transformers unordered-containers xml-conduit + xml-types ]; testHaskellDepends = [ aeson base bytestring case-insensitive conduit data-ordlist - http-conduit http-types lens QuickCheck quickcheck-unicode tasty + http-conduit http-types QuickCheck quickcheck-unicode tasty tasty-hunit tasty-quickcheck template-haskell text time ]; description = "Core data types and functionality for Amazonka libraries"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "amazonka-cost-explorer" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-cost-explorer"; - version = "1.6.1"; - sha256 = "1hrcg3ibs1wwbbpdhpkzplqg48jd0ckhpyv1c1lfkhdgbxzb9gwc"; + version = "2.0"; + sha256 = "0xl3xs502qh74h10m32zbcjp4n1dadfwhh6gqmsjns6zy92xr0v1"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Cost Explorer Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-cur" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-cur"; - version = "1.6.1"; - sha256 = "0rigrrr7malmj6l2zxxda3bvljpakjnh6k986nm7fl5dg7qyvq62"; + version = "2.0"; + sha256 = "0ki316fhwm9fsk8pfiwm7z9wkjdknjlf6jz07hg9zg3x5jiix28p"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Cost and Usage Report Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-customer-profiles" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-customer-profiles"; + version = "2.0"; + sha256 = "1whk66pzqdrfaw8clz6xfjayjzmabmbh42w0gvqy5gsl15f3sz7d"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Connect Customer Profiles SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-databrew" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-databrew"; + version = "2.0"; + sha256 = "18ciw2y284jfl4z9mvp6bk91x92zplrwkic6i634nvvadw25m0vz"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Glue DataBrew SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-dataexchange" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-dataexchange"; + version = "2.0"; + sha256 = "1s4nlfrvv6fr6wkkawzqmy6cc25ma8hls32pnqib9ipf1z77h8mj"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Data Exchange SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-datapipeline" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-datapipeline"; - version = "1.6.1"; - sha256 = "08mig2diwr6ryyvin3w9rj3w37lm02v85c3bhnz5k7649s255xvb"; + version = "2.0"; + sha256 = "12qz9yxsxfjwkz6dm77c7li5zb20407swwmvpqhmzykmi3wjcjai"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Data Pipeline SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-datasync" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-datasync"; + version = "2.0"; + sha256 = "05r8zbajk6vdvh96p3v0ml53gg5jhfrck3ph9sh81wfc3dhb8bpl"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon DataSync SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-detective" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-detective"; + version = "2.0"; + sha256 = "1j7zipg23v09jhfi1x07ppfahx5aqmrn8gbcyy53nf49i49w7c1i"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Detective SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-devicefarm" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-devicefarm"; - version = "1.6.1"; - sha256 = "1v43k8vyal9zi91yad3518jlr5qj975l27hbdri7q7w9iax5pn5y"; + version = "2.0"; + sha256 = "1hfpvygp1mbazax2bp7bg6wan1scp188fjymby0lk7v7px4inmpg"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Device Farm SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-devops-guru" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-devops-guru"; + version = "2.0"; + sha256 = "005qvd82mg6waz64p3q8scyy07yn7c1ary8b3wrd5whp9km8jfqf"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon DevOps Guru SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-directconnect" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-directconnect"; - version = "1.6.1"; - sha256 = "0nq4zax36am2y5p51g4giqln26nbjyykgprqa6z1hl8ls659jqfw"; + version = "2.0"; + sha256 = "00k10jwba9by8insnn8frrgki3s9frw104lw8k0vqaapnk1jprql"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Direct Connect SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-discovery" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-discovery"; - version = "1.6.1"; - sha256 = "17a47yhqy7hs9vhj0iwby14irh732j2d00sm7zh3xpvipwrckaq2"; + version = "2.0"; + sha256 = "19jqwyyqyw7qv08m01y4ag48l7ixnirq31jbyz5r13jhsd5ala0b"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Application Discovery Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-dlm" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-dlm"; + version = "2.0"; + sha256 = "0kn6n1l94f9i74h4b9mjiz9i1rk3j62gfzxdr88qsdc73ax7pbpp"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Data Lifecycle Manager SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-dms" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-dms"; - version = "1.6.1"; - sha256 = "11zdwcl0cz7fpamc7a9smg6qjnkc3a77l1g8k9q5y0yprhbzcycc"; + version = "2.0"; + sha256 = "09kww8bspyi0ajvzsxn6wkfgip44fbzlkpbp73zfwf6qyb8hqmw7"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Database Migration Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-docdb" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-docdb"; + version = "2.0"; + sha256 = "13jwf2hh6azv8kqgdygvdns6g1h0048ymlq046yxg23hd3nxnp9q"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon DocumentDB with MongoDB compatibility SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-docdb-elastic" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-docdb-elastic"; + version = "2.0"; + sha256 = "009h8yw2p9cs8chflbfiqrcy8rhmc9385jyxig3lhx7i9dxgkqgy"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon DocumentDB Elastic Clusters SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-drs" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-drs"; + version = "2.0"; + sha256 = "0f3fh71irj9ka5znmjn4z2pgnqqj8f8qzd1bma43cwvp8b6ay7ai"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Elastic Disaster Recovery Service SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-ds" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-ds"; - version = "1.6.1"; - sha256 = "1zy8sr49ls5qzijr7phsbc5xmxsc4hqc1dwrzkm5cmc69abhfj7v"; + version = "2.0"; + sha256 = "1xz7iah24729fgcqn5zm7r66kgmgaash7irkch78brac032n77d9"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Directory Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-dynamodb" = callPackage - ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + ({ mkDerivation, aeson, amazonka-core, amazonka-test, base + , bytestring, case-insensitive, containers, hashable, tasty + , tasty-hunit, text, time, unordered-containers, vector }: mkDerivation { pname = "amazonka-dynamodb"; - version = "1.6.1"; - sha256 = "10hxbkq15l2hcyjjspi3kf05m3294ywk51vw82j3c1pii1n4h804"; - libraryHaskellDepends = [ amazonka-core base ]; + version = "2.0"; + sha256 = "1clxhxv3dmk8amhqp0iwglr5xw90krkr4gyqssl7wzapsdq5ka2p"; + libraryHaskellDepends = [ + aeson amazonka-core base containers hashable unordered-containers + vector + ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon DynamoDB SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-dynamodb-dax" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-dynamodb-dax"; - version = "1.6.1"; - sha256 = "0s8n60lkjigbgn8a63cpwq1pj6nvjyhrx5w6bx1nqfls8y5ik857"; + version = "2.0"; + sha256 = "11davhsjds7waqgnh0rp7jq7jrsb2817144ca0b9s93ac06c09wj"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon DynamoDB Accelerator (DAX) SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-dynamodb-streams" = callPackage - ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + ({ mkDerivation, aeson, amazonka-core, amazonka-test, base + , bytestring, case-insensitive, containers, hashable, tasty + , tasty-hunit, text, time, unordered-containers, vector }: mkDerivation { pname = "amazonka-dynamodb-streams"; - version = "1.6.1"; - sha256 = "0nfr9sqiirxb9a8j6ix2l1mhyrwx061q4h7lr57ar7arj9lanbgy"; - libraryHaskellDepends = [ amazonka-core base ]; + version = "2.0"; + sha256 = "0rmr4xd7p08wmkggd1mxrhiqz3nkr46xdj8zhi4kk8nzppjmzv2l"; + libraryHaskellDepends = [ + aeson amazonka-core base containers hashable unordered-containers + vector + ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon DynamoDB Streams SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-ebs" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-ebs"; + version = "2.0"; + sha256 = "0dkfyldlr0nr0h8mpiqbv3gs6p9q94sa7gkwdc5hzcwrbhkmqs2h"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Elastic Block Store SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-ec2" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-ec2"; - version = "1.6.1"; - sha256 = "1lxdi92x60bd7r41abcz20dabs07qxy0ipmxgryqmrxkrqj9jjmh"; + version = "2.0"; + sha256 = "1ki3x2j6gz4szsgi5k22cidn7qhhbyx16s6gvxihaq42776q29wg"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Elastic Compute Cloud SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-ec2-instance-connect" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-ec2-instance-connect"; + version = "2.0"; + sha256 = "08pzb7f5450ji7l57b8c7arl7fq91h1an78h9gsvhayfmn1hd04n"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon EC2 Instance Connect SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-ecr" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-ecr"; - version = "1.6.1"; - sha256 = "0n3kdkmmwasqg7gkhmszkhvzsfp90mjlhfwx02v12gpn83b5s39d"; + version = "2.0"; + sha256 = "1sy8a0dspw3d4skkqba05ay0g2s0iywar1fdddl9cv7zfd2mlp03"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon EC2 Container Registry SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-ecr-public" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-ecr-public"; + version = "2.0"; + sha256 = "005gj4m5fi42ckqln763pxdw54kjcci9167hw6k7asf2mgzg5kbn"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Elastic Container Registry Public SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-ecs" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-ecs"; - version = "1.6.1"; - sha256 = "1fhv3b62anx1zlspmdi5l44qgsk4xd7s0p2vxczvzf82mcli21sm"; + version = "2.0"; + sha256 = "0vwnbrknr2f7fb03frdpr9hq82rkdyn4amfbyic2hfa630nq0q49"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon EC2 Container Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-efs" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-efs"; - version = "1.6.1"; - sha256 = "135isr1khawf2ap3ba791aynlm74hay7jddhrf6vsfscn1z087kl"; + version = "2.0"; + sha256 = "1f9jv7szg0i3w5v86bjkwfhxj29058fx6493aa1xndd1iaa5wk19"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Elastic File System SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-eks" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-eks"; + version = "2.0"; + sha256 = "0yq0gbg4b6xxgziy9ixwfn4gmvw8yllriadyl3bgyadxigxwbx2r"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Elastic Kubernetes Service SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-elastic-inference" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-elastic-inference"; + version = "2.0"; + sha256 = "1sw9fjqbyzkbp6sh9p2qgl7q3id1nni16sb009bzs2w8q58cbzq8"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Elastic Inference SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-elasticache" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-elasticache"; - version = "1.6.1"; - sha256 = "0q7bzr3xcc78163md6i1gzxhbqrb98x8clisp9vynhvw5vh8lbad"; + version = "2.0"; + sha256 = "1ksrcpnv5i718ibmz4qhghkm8a9y96jlmdfgrc97zkdpw0pcy4wx"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon ElastiCache SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-elasticbeanstalk" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-elasticbeanstalk"; - version = "1.6.1"; - sha256 = "0sczhwhckhgyf3dbmp0hnaikqkym94kyndyqzpsl9m8p0blgkm1a"; + version = "2.0"; + sha256 = "1w4lqgsrsnrnjwla7jwby1lnh4fan0rklgzvpp653ayavmmd9hr2"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Elastic Beanstalk SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-elasticsearch" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-elasticsearch"; - version = "1.6.1"; - sha256 = "04ya0s83gakhbkpgimmgjax09caww8y7cx1nm1j02fminifivycy"; + version = "2.0"; + sha256 = "01wpyp2hz83njjk8kk4bvvpwb6a381gdqi48637bpzsslxim3zhr"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Elasticsearch Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-elastictranscoder" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-elastictranscoder"; - version = "1.6.1"; - sha256 = "0nzvjzb91jka0wiimvjh5hlfqw92bsn16m1dvgnk315p7pgfg06z"; + version = "2.0"; + sha256 = "1xzx1w6mn1m33mnb7r4a4mspllvfhm1dnv46hvs07zxlp2ggdm43"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Elastic Transcoder SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-elb" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-elb"; - version = "1.6.1"; - sha256 = "178hpbk35vbc6lrmpjkdc57d8961bbv8nqn1bajxm65q3nwfqpjv"; + version = "2.0"; + sha256 = "1vazcpz651x2s7zwfkpyiwh84aa9rfrs7aa3h1s7jnvf59flqvzw"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Elastic Load Balancing SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-elbv2" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-elbv2"; - version = "1.6.1"; - sha256 = "0ybv5wwfn0cnd3qwk7r343jihq6m0h15f6xr2a2yxjs8wizr0h37"; + version = "2.0"; + sha256 = "1h5822vhy4wx5ddrqwv73vi9mfx0jljyqizilpr313wv5f9f0mq0"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Elastic Load Balancing SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-emr" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-emr"; - version = "1.6.1"; - sha256 = "1g475pn0sxf4widb2xqpsm4awvqj6glpdj3ryyqhp7i38wvcg4sb"; + version = "2.0"; + sha256 = "1mjljrxvixgpb8xl3i2knik1zr871n221gxh3xj3pxly8zi9sdck"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; - description = "Amazon Elastic MapReduce SDK"; + description = "Amazon EMR SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-emr-containers" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-emr-containers"; + version = "2.0"; + sha256 = "0mlddzrrp5qmgvpi45brdf5vhp0099m5ka6s7ggp1bwnizmi2is2"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon EMR Containers SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-emr-serverless" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-emr-serverless"; + version = "2.0"; + sha256 = "1ay9hyi4x4d5x6183njbr0b8plnmp2apgnfnksfmmjz5vinirxx5"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon EMR Serverless SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-evidently" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-evidently"; + version = "2.0"; + sha256 = "05s3d16f00fhrnsvgvcb05y1w63fwimg5d9sl34qsx92n6glzd6w"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon CloudWatch Evidently SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-finspace" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-finspace"; + version = "2.0"; + sha256 = "16wkklbwjsz344hrva4b8iilg3qmbpfrvag98jdvlv7i60r9s24h"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon FinSpace User Environment Management service SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-finspace-data" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-finspace-data"; + version = "2.0"; + sha256 = "0fv90rzpa0cxjk5kdx19z4xcnww330zqdzyzxmdkxi2gvbgwhkkq"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon FinSpace Public API SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-fis" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-fis"; + version = "2.0"; + sha256 = "1f4rf1k1ln6v9v949bd5rq7xcz68qy608d1r3lkkmaajkawxvagy"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Fault Injection Simulator SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-fms" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-fms"; - version = "1.6.1"; - sha256 = "1nrgjqmm77difl82ixaif56waw89mx0m8p0hgblzfq47gi28n6mh"; + version = "2.0"; + sha256 = "1flrwdvpclal957dxli05y2yvacrhl8a9j32w3ywkg2sach9cv47"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Firewall Management Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-forecast" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-forecast"; + version = "2.0"; + sha256 = "02m9haxqrwyrmqr0bz6s8sn82aq3da1zhdcqqij79kp2r5q27kxc"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Forecast Service SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-forecastquery" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-forecastquery"; + version = "2.0"; + sha256 = "0qf1pkmwypk1vdx23nvf6cya4xbs2wjd8i2qrl2brlg3cav1pm57"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Forecast Query Service SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-frauddetector" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-frauddetector"; + version = "2.0"; + sha256 = "0m7sb4az8c9yfyibfanbj1w5j7nn670hdqqqvs9gbcmckqp91z1s"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Fraud Detector SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-fsx" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-fsx"; + version = "2.0"; + sha256 = "1yxadzl3j7h6jfiz6dahgf3zl79na9rmi2v35kynmy0hpdfsjd75"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon FSx SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-gamelift" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-gamelift"; - version = "1.6.1"; - sha256 = "1cp3w3xi5icwh9ss168hsz49rq0d4wqns8kbx1aar7lchm85bj69"; + version = "2.0"; + sha256 = "0llz8kz31hgmyqxniqlbz9avbdpg77fn0fnlnldvm86xmg95752w"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon GameLift SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-gamesparks" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-gamesparks"; + version = "2.0"; + sha256 = "0hpg224x6nlpcpkvsg3r8vm0ga2p8rwdl1ajyvf9pa2i7fjvh5ya"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon GameSparks SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-glacier" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-glacier"; - version = "1.6.1"; - sha256 = "11q8ajyx8nf9lc7wr7vwpg1gvp4wwb83zyv17cdpr60g7mrwymav"; + version = "2.0"; + sha256 = "0wnvnw71rp6ls3flq50dkskb2yp4hkzv4jrssv93zfl41479h4p6"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Glacier SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-globalaccelerator" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-globalaccelerator"; + version = "2.0"; + sha256 = "01hamd5m9sdv6g8s532mj1w6jymaz88virbk8zxj4q55v5g18g8h"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Global Accelerator SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-glue" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-glue"; - version = "1.6.1"; - sha256 = "17z8bcgahxw7apanj9nm9srj47dxwshmkwm828jm49wpkcvk0akb"; + version = "2.0"; + sha256 = "0cfdf4x3xi7n3ar273ih6hlc4grad8anzvhk0b9rf4ipxqwspp1p"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Glue SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-grafana" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-grafana"; + version = "2.0"; + sha256 = "0n1iibjfczapwq063615yx5pkddinml53cw45fgmdvq3frsvrqn8"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Managed Grafana SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-greengrass" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-greengrass"; - version = "1.6.1"; - sha256 = "03l0igrx2za6004rxbhd047ww1csbffviii3q16csvxnj41kz8pg"; + version = "2.0"; + sha256 = "0qf67zygrq6vv8inmxx295hh6r6dq3i8h468qrrgf8fibgpmj6qn"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Greengrass SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-greengrassv2" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-greengrassv2"; + version = "2.0"; + sha256 = "1hjmbs7aj6cckya6nqyx3v7hjw2fgdr2k4qhy5drsvdkp5fjm9fx"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon IoT Greengrass V2 SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-groundstation" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-groundstation"; + version = "2.0"; + sha256 = "1cbwgk4lr5m6i39ppa56dkgfwfi04dq5ryf03f9a27n3p603lv30"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Ground Station SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-guardduty" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-guardduty"; - version = "1.6.1"; - sha256 = "0v1xpykjgz3nqj5yil4f2m5rs4xcfflk9a4xckix0yp5gkbbd01x"; + version = "2.0"; + sha256 = "07pmy62xml7sry2m69rp8xslyppb78mqdlddbggzqbi7crc1icdw"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon GuardDuty SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-health" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-health"; - version = "1.6.1"; - sha256 = "0xs6fsl7z8qq40vi79nasfxwlxxm2ljpzh4c1b77v6q1mkak7dd2"; + version = "2.0"; + sha256 = "0191klmixd3r4hzqkpajp9zwzalx0z480qqn96v9snhw7hp4kbsr"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Health APIs and Notifications SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-healthlake" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-healthlake"; + version = "2.0"; + sha256 = "1yhixqmfz1q0m3ny1vr3qxzxz9zwgfw7xpf66r2aspb2nwj9c33b"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon HealthLake SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-honeycode" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-honeycode"; + version = "2.0"; + sha256 = "0fg1x2s3xyf5rp69vl48cdkyaq4k00878zqbkr9zr70laqq78ri7"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Honeycode SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-iam" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-iam"; - version = "1.6.1"; - sha256 = "1mz7qdk19n3cs9iy5sf7h4g7a7sfimbb4lrk992f9ykmmyj0cl8x"; + version = "2.0"; + sha256 = "0pflcnb7ar2d7z9zvrd9sid08n4d99h40k69lirkd408kzw66dwf"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Identity and Access Management SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-iam-policy" = callPackage @@ -29863,813 +31206,2387 @@ self: { broken = true; }) {}; + "amazonka-identitystore" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-identitystore"; + version = "2.0"; + sha256 = "02l3nk7xz39iawdq9kycsf3szl3jq7wfh8f003mgxd2xz0a3d1ji"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon SSO Identity Store SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-imagebuilder" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-imagebuilder"; + version = "2.0"; + sha256 = "1xxcgm41830bf82zvswqmhv6snkx74vb7n4ic9zmivxc1qh0sj8w"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon EC2 Image Builder SDK"; + license = lib.licenses.mpl20; + }) {}; + "amazonka-importexport" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-importexport"; - version = "1.6.1"; - sha256 = "13nxssqayp917g2ynmvva2mk0dqd724f891jx4z0cnlxrja2856f"; + version = "2.0"; + sha256 = "1xwvy6sm1y579yx83gaif2khn6xfsy8w4vkp9sxb9mh2pgvc22sp"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Import/Export SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-inspector" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-inspector"; - version = "1.6.1"; - sha256 = "0x5nxw2nb64ahjw4hy8lp7w32mqsq0zj0skj116pa4yf6p1v7d38"; + version = "2.0"; + sha256 = "02fbgk11c1p7k91b1sf61yig7rpw84mpnhjjg9sqiws84hk8v2vw"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Inspector SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-inspector2" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-inspector2"; + version = "2.0"; + sha256 = "0ngvgr2gd6s2smch1nmd9gp6dw1fw54xwn8yhh2fg1jk5388d3a9"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Inspector2 SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-iot" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-iot"; - version = "1.6.1"; - sha256 = "10y65lma8m8cyz753r3pkaayqfyhxs8103y63v0dardrvci3jxji"; + version = "2.0"; + sha256 = "0a4h32drks4bpg5n2syp2lm58j03vxr529q0c8gvky8lhv0w34sr"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon IoT SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-iot-analytics" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-iot-analytics"; - version = "1.6.1"; - sha256 = "1xwfz3s4sgd611bx045gjhnpjmh3c38i1hgvis968bx8ka47xbsk"; + version = "2.0"; + sha256 = "0r1nrm7k5jq2f6h113mwsbx1xphhzzvm2aqqvb876xyw7sylx02r"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon IoT Analytics SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-iot-dataplane" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-iot-dataplane"; - version = "1.6.1"; - sha256 = "0j0bqaw6nc3nhq6wbm1jlm7kdkf497xnba4yxk3q27gbx5zzjhvv"; + version = "2.0"; + sha256 = "0hvlmbdnn27y01cb6410vd80hw9aqf8aabbz2y2ajbfgj7bbqw9w"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon IoT Data Plane SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-iot-jobs-dataplane" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-iot-jobs-dataplane"; - version = "1.6.1"; - sha256 = "00s8hdhp6g5h1lilvpqawgy3l89hdn31374cgq8wcx09nmjj8san"; + version = "2.0"; + sha256 = "064vhx1dyvh2m0is2vnibg5baacr70sfn2xjgyhscjbzya7max3s"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon IoT Jobs Data Plane SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-iot-roborunner" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-iot-roborunner"; + version = "2.0"; + sha256 = "1yr0j6gzivvlmh10g6nsdm2jdgndw84nxn910ggcp6mf067f82if"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon IoT RoboRunner SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-iot1click-devices" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-iot1click-devices"; + version = "2.0"; + sha256 = "14lxx0lbl3fqih8x7h46z7vvh0fp729d3wnq1fjd5b8s1ysjg103"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon IoT 1-Click Devices Service SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-iot1click-projects" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-iot1click-projects"; + version = "2.0"; + sha256 = "15bff8l2g5zri03k790dnil9pkg33n98hmq8skk2xmfdzwrcnm73"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon IoT 1-Click Projects Service SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-iotdeviceadvisor" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-iotdeviceadvisor"; + version = "2.0"; + sha256 = "0xlybvyyfp6irfv3aqh21irzj9r6kvq1656a36aky7m0gknrfpp5"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon IoT Core Device Advisor SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-iotevents" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-iotevents"; + version = "2.0"; + sha256 = "0r822sfb0vh8bi7g860h82x9cd0p3myb9gq9s59sm4r6727kzirx"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon IoT Events SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-iotevents-data" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-iotevents-data"; + version = "2.0"; + sha256 = "04cf0853sqmgdw4362j79z80xpijgbpqgn7fp8sjbgmdkiyfkrng"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon IoT Events Data SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-iotfleethub" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-iotfleethub"; + version = "2.0"; + sha256 = "0ra5f8acfwc8dgijb9g790qh94g0dywr85yz4pv182gsw6z2gk5f"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon IoT Fleet Hub SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-iotfleetwise" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-iotfleetwise"; + version = "2.0"; + sha256 = "1j1k0zrq50w68v1wgi7viwqcxah1cm16sglypfw3c0izqjcd0k5f"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon IoT FleetWise SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-iotsecuretunneling" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-iotsecuretunneling"; + version = "2.0"; + sha256 = "0hir6wlidp4jl10hsli1b1mmgkzya3yn9bs31slahg2prcd7rigm"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon IoT Secure Tunneling SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-iotsitewise" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-iotsitewise"; + version = "2.0"; + sha256 = "1izjz5ayh66g1m0i5g0mnwqmk5k131vi417z0h44g6dm1d770m1d"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon IoT SiteWise SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-iotthingsgraph" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-iotthingsgraph"; + version = "2.0"; + sha256 = "0vz10z4jlfmqx4pjwj4qmbvr1cfbqq3435vzjphq1jrii9sqdb63"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon IoT Things Graph SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-iottwinmaker" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-iottwinmaker"; + version = "2.0"; + sha256 = "08hlmxry9z9z521nanjvl61q11d8zyqql6fbx71cpc1xvbjzrnpw"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon IoT TwinMaker SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-iotwireless" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-iotwireless"; + version = "2.0"; + sha256 = "02dcjjpk223dmqmsk315dg8nplihbhvs4af6cbr5nmk9xwv5amsf"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon IoT Wireless SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-ivs" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-ivs"; + version = "2.0"; + sha256 = "0j4m5v0mldjgcg2jm5hr6gm6hbxr0ss9gjdjp41hc0g5j52khws5"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Interactive Video Service SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-ivschat" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-ivschat"; + version = "2.0"; + sha256 = "1c5rlij31pk1ww150ii3fppnamsnvlw21vaalp78i1b9gnlhr0zi"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Interactive Video Service Chat SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-kafka" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-kafka"; + version = "2.0"; + sha256 = "0mv10gxxmcfwv57wcq1d75k77wlv0v56150wrfz8nvqqiyw04qcf"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Managed Streaming for Kafka SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-kafkaconnect" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-kafkaconnect"; + version = "2.0"; + sha256 = "0hk5qngygshjy5hsv1qqk7c4vq0zw1kp2g0drlsbai46sqv9s3l9"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Managed Streaming for Kafka Connect SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-kendra" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-kendra"; + version = "2.0"; + sha256 = "18znxnxdfdcg7mdyxvhxgdj8vbnkqs414f8qr11wzl3dydhhfmv3"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon KendraFrontendService SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-keyspaces" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-keyspaces"; + version = "2.0"; + sha256 = "01d2bicrxnl5vb7imaayqdan821ji8n14gk630npjw704ycmxa0b"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Keyspaces SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-kinesis" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-kinesis"; - version = "1.6.1"; - sha256 = "0rsipc64ia9hwcvw5bn0055bisq04kihnhzb4wzfvbgrzgrxrqsz"; + version = "2.0"; + sha256 = "1m1i5lfhaq167f1igh3hbzmg9i6f3ybd157qa1yivj6rfrd9b0j1"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Kinesis SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-kinesis-analytics" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-kinesis-analytics"; - version = "1.6.1"; - sha256 = "16bf0sqyrgphhbqi8lxzmgb818m7yajmdcwmzgz3w99zzad9qn5g"; + version = "2.0"; + sha256 = "0nv896vparpc4dkgz2bdby29kpxpa3afgnsl1yq9kmlyj0jdckpn"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Kinesis Analytics SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-kinesis-firehose" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-kinesis-firehose"; - version = "1.6.1"; - sha256 = "0bc7d36zs3b0nx2889hpnk45fcrn3dknn2c6gg19wk1k7zz0p8g4"; + version = "2.0"; + sha256 = "1m5za58l7j380z6dz5md3i7lvzhspdcsw8ggwdnf0df4s4kjmzqz"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Kinesis Firehose SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-kinesis-video" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-kinesis-video"; - version = "1.6.1"; - sha256 = "12v9jqr1x6gkxh7g3rzif24gv6wwh8f5rmf1bz6rbfai4sf75ixv"; + version = "2.0"; + sha256 = "0cjx1vg1ccrchbq21zn7zi4wvpcfk5498fkic3m6ncvflvzff6jl"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Kinesis Video Streams SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-kinesis-video-archived-media" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-kinesis-video-archived-media"; - version = "1.6.1"; - sha256 = "1qbms2rfgap2pn1ygd6ihjpqqpafhkpwn15z3vl5bslhk6f7bm0j"; + version = "2.0"; + sha256 = "0fci6d1x8qr8gg0qj0wf1a66dvj4p7xhfjb16iy1cs17a49zaqcb"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Kinesis Video Streams Archived Media SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-kinesis-video-media" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-kinesis-video-media"; - version = "1.6.1"; - sha256 = "1a5mq474g5iy8lp6sfgbr2b20swz6snhbi982zjyhkybvn6lgqh4"; + version = "2.0"; + sha256 = "05d8mxihr6br50jsd4lilda4bm5ql56jsshyn0b5y48fxqx5v53a"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Kinesis Video Streams Media SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-kinesis-video-signaling" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-kinesis-video-signaling"; + version = "2.0"; + sha256 = "0xj25p33m9h06bvr4n6c5nj3lf77q94nj76nj72kniv27akghbln"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Kinesis Video Signaling Channels SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-kinesis-video-webrtc-storage" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-kinesis-video-webrtc-storage"; + version = "2.0"; + sha256 = "0sxb2w02783lpgfs3bp39xqwkas87055rwkzgr0587nk8qr9fa4l"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Kinesis Video WebRTC Storage SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-kinesisanalyticsv2" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-kinesisanalyticsv2"; + version = "2.0"; + sha256 = "0s5y24bxlpi3w432rgymzpb07bkf0h6w3q2aq38bwk1nwaj9kxbi"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Kinesis Analytics SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-kms" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-kms"; - version = "1.6.1"; - sha256 = "11b2jnfdj2z3v65cbwwryr35n9pjf488mrs90j2prx6ijb1kwcvd"; + version = "2.0"; + sha256 = "0xklk7xis0jqbr32byz5jv0gjmspfbs03h7pd96gyn8q8s4anf4z"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Key Management Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-lakeformation" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-lakeformation"; + version = "2.0"; + sha256 = "1hhc7s55vd25w2azi83mr99fdldkgxn2559yl0b2nqyiimv3xv8g"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Lake Formation SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-lambda" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-lambda"; - version = "1.6.1"; - sha256 = "18yc1wk7dbyxjdqkdyp1hi45i8vc95imaj0xfr29yq5dbkqmyawp"; + version = "2.0"; + sha256 = "1ddibc2bhrzyx1mj41rmnp4c9gf7rackvgga3246j25dkqdqn7nh"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Lambda SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-lex-models" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-lex-models"; - version = "1.6.1"; - sha256 = "1dhxanxg748jqz8g6ixdca4jv2hgynpf6w6qdg293429zrj1f2ss"; + version = "2.0"; + sha256 = "016f4pq23q8g2w9hwyf8b45lplxzl449ylm1rfxl9pgx1i1p2l74"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Lex Model Building Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-lex-runtime" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-lex-runtime"; - version = "1.6.1"; - sha256 = "1ampjwlaqczcs2x1sqagn5cm2c6y9bgr2wp62idlnsv5ic5nqky2"; + version = "2.0"; + sha256 = "0zfmhqhgklp950zlqwbi9064hfz5ls66wjfsjf4j588v1rmdpa23"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Lex Runtime Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-lexv2-models" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-lexv2-models"; + version = "2.0"; + sha256 = "03sgrsdv9jaiqrnkckcz5538qlkn9xqr0xqh78phj6amfsp5l9j6"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Lex Model Building V2 SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-license-manager" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-license-manager"; + version = "2.0"; + sha256 = "0ln5khvs14wxg6z6km77a1j8qrln8y1yw3syv1dxzdvxvj1z259r"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon License Manager SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-license-manager-linux-subscriptions" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-license-manager-linux-subscriptions"; + version = "2.0"; + sha256 = "0zwz1i594xlams4608az6qwdal1jwhmfyq82y8jgl1wvwni8asvs"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon License Manager Linux Subscriptions SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-license-manager-user-subscriptions" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-license-manager-user-subscriptions"; + version = "2.0"; + sha256 = "0albn38qsn9bwrgzw12naxfrw3an2pj4azfs69zlqbryg6wyhn4n"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon License Manager User Subscriptions SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-lightsail" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-lightsail"; - version = "1.6.1"; - sha256 = "07lknfz41jc4vjd76ql32h6bbbhpb82wafj7w3ifwnxaz2h8wrvw"; + version = "2.0"; + sha256 = "0lx41dmg4hg6p50hvm7ji1f3i9vfsd2a98h0a0v0kx6gp9fy1404"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Lightsail SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-location" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-location"; + version = "2.0"; + sha256 = "0cbjz3wqjjfksmy938zby1nylajq3f1k7xndmjyp1yz10d8hiys6"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Location Service SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-lookoutequipment" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-lookoutequipment"; + version = "2.0"; + sha256 = "0rlchl8vc1k0082h8w76hbis8dkxkqhkxqfjr3ndzdba3h3j8pfk"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Lookout for Equipment SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-lookoutmetrics" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-lookoutmetrics"; + version = "2.0"; + sha256 = "0gr60r1ix2z9c372allxki2n290n7kcw2488l9azlg343rqr58fx"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Lookout for Metrics SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-lookoutvision" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-lookoutvision"; + version = "2.0"; + sha256 = "1ylkwkvrxvnh46dscj31fda40sfm0isf60mh55w16y610lavac9i"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Lookout for Vision SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-m2" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-m2"; + version = "2.0"; + sha256 = "00vhq64l12v1n1063w4drcwskkap53y5180knh2h0gj79c968rsk"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon MainframeModernization SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-macie" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-macie"; + version = "2.0"; + sha256 = "1vylyn951bk03k1n28whha7gp3mbmfam3y65snk0nvlybxhbmsxl"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Macie SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-maciev2" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-maciev2"; + version = "2.0"; + sha256 = "1ym618fifpivnbwv1zznxl3xgi61ssq6hpd1h6sz4bzczik7ybvx"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Macie 2 SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-managedblockchain" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-managedblockchain"; + version = "2.0"; + sha256 = "059m7vvj1h3zaikb11ydcshh9bmib6z4hwppbs4z46hvlml9y24g"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Managed Blockchain SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-marketplace-analytics" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-marketplace-analytics"; - version = "1.6.1"; - sha256 = "0z8kl946ssilj4vb50a5pv21kyfd0fp1bs3l3xyxjc1ynypq5xbg"; + version = "2.0"; + sha256 = "188f4bhbhijm3ha1avs8pikyl624hcyd4iks2smyfrm2hcn1nldh"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Marketplace Commerce Analytics SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-marketplace-catalog" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-marketplace-catalog"; + version = "2.0"; + sha256 = "0vrbk0rjsbrli12jynkraigyc35f9wr2w5bslli8xiqb7j0b7yr8"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Marketplace Catalog Service SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-marketplace-entitlement" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-marketplace-entitlement"; - version = "1.6.1"; - sha256 = "03ik11dkksw1m2jrd12cpgd3gjczcwcbmwl1xg6p9y2f5fyq056i"; + version = "2.0"; + sha256 = "0xhi9gh0cf4gxcd9k13h5nxlxll3hs200lllan23376f53g28j3s"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Marketplace Entitlement Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-marketplace-metering" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-marketplace-metering"; - version = "1.6.1"; - sha256 = "0dshx2nyhsywmx491cvzfcr3dz847q7mjdj9zwli9f6kzgldp2wj"; + version = "2.0"; + sha256 = "1jsnfykpa2n7l6rfp12zdxjcyamdps0xx836ngnn5xrj1wzqsh9j"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Marketplace Metering SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-mechanicalturk" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-mechanicalturk"; - version = "1.6.1"; - sha256 = "0cap5qkwwzgrkjxqg1rjmx8w9wa74p6h436w427yw53dxi6saxlh"; + version = "2.0"; + sha256 = "02mbpyq5c8qbdznpgz1gd9i6na845ppldizpxlr2cd5iqxc8nc1a"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Mechanical Turk SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-mediaconnect" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-mediaconnect"; + version = "2.0"; + sha256 = "0lxcfys8rncijcdwpj75zwjgid5x284dsm0hp5n2vvcm4dlz0cgz"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon MediaConnect SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-mediaconvert" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-mediaconvert"; - version = "1.6.1"; - sha256 = "0zzdx21mjma5z04a4s6gf5h6wy8vv72a5m71h2hmr5f65asfi8k5"; + version = "2.0"; + sha256 = "0k2ci77r3ynv60s96yjyla4al0vkaxf1byhlxgkwq4admzw17krs"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Elemental MediaConvert SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-medialive" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-medialive"; - version = "1.6.1"; - sha256 = "0b85pp51xpgl0v83wkym807gfp91qmgq8y0m85ygpyks69gnbs14"; + version = "2.0"; + sha256 = "0a79i51bcsc1b99nswqjhdi6kcxa81xp8rn8xsn2d0zmliv8pcbw"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Elemental MediaLive SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-mediapackage" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-mediapackage"; - version = "1.6.1"; - sha256 = "08ayhbd8w7aqgw4y89akax24gx90n56d4p8cfm4favga10j46zj3"; + version = "2.0"; + sha256 = "0qyqlw1jww9yzd0a4l91x2qdbfy7m97pn8n4dsxg5mwbicww74m7"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Elemental MediaPackage SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-mediapackage-vod" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-mediapackage-vod"; + version = "2.0"; + sha256 = "0d0wg954pdb62sm5j8j9pypn6nmgs7lp818ymnjd3fh89fql0wq3"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Elemental MediaPackage VOD SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-mediastore" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-mediastore"; - version = "1.6.1"; - sha256 = "1cdns9s4mrnpnziq7b431iwx3swcl55c20a0migf2kzbyzcv9a7z"; + version = "2.0"; + sha256 = "12r10krbj4x8cp4bgjngpwnb4qlq43cf3g0z2zrkn6v660cr7yas"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Elemental MediaStore SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-mediastore-dataplane" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-mediastore-dataplane"; - version = "1.6.1"; - sha256 = "1sd73z4pqa1x25x3qp64yxz5wljhavbvlrj07g4222bz01p8rb3q"; + version = "2.0"; + sha256 = "0vxcbbqqk6w155i1r0hzxjp90l5kqjcz290qldamapw75y4ypxkf"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Elemental MediaStore Data Plane SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-mediatailor" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-mediatailor"; + version = "2.0"; + sha256 = "0iw75yhz5fl013lqynzhvigzr59k3cw1q6z0p4l8078356dv4v9c"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon MediaTailor SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-memorydb" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-memorydb"; + version = "2.0"; + sha256 = "034gjsc8gnwyi80h9w4a24fksb1vy8jh07nqwyjyxpzn69yvv8jv"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon MemoryDB SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-mgn" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-mgn"; + version = "2.0"; + sha256 = "18njvfhb589vkmpbpgi13p7vw808bl8aff55736wj96lx6403c91"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Application Migration Service SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-migration-hub-refactor-spaces" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-migration-hub-refactor-spaces"; + version = "2.0"; + sha256 = "10lhwaf6fr6d505dwa3cm5x3k67bnlfnrrjf11i23p0833fsnj6k"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Migration Hub Refactor Spaces SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-migrationhub" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-migrationhub"; - version = "1.6.1"; - sha256 = "0gwmlr861g6f85wb46708wjvxixq2id6lxdk6vdkv838z1h60gl7"; + version = "2.0"; + sha256 = "1wahzyhvyf2xf3wvwnc8z3wj4b2cl9zv3cigfcqq6q2m8qhd7ph7"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Migration Hub SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-migrationhub-config" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-migrationhub-config"; + version = "2.0"; + sha256 = "1f225q3c1gl0js2lwcqz2i74cp36a24f2qlsabkb3bc5d5p7d3yw"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Migration Hub Config SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-migrationhuborchestrator" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-migrationhuborchestrator"; + version = "2.0"; + sha256 = "1ndhx53nb9jshvlwmj5k7g2gvfvliqz9c6lnj74fsdn8ajg5cgyn"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Migration Hub Orchestrator SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-migrationhubstrategy" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-migrationhubstrategy"; + version = "2.0"; + sha256 = "1iw123rkgn7jhdpmm4cslb619ch0gfbyqnm2va6r181k25f52hsy"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Migration Hub Strategy Recommendations SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-ml" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-ml"; - version = "1.6.1"; - sha256 = "0wmqlx4k17m3zywkj39z3fv6jbmhhz9w7ax1g465gzizcswc1ixq"; + version = "2.0"; + sha256 = "1fs6rzww4c1d3gz2gbxf214i1mbj97irfvxw925pjpxnaclviv6q"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Machine Learning SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-mobile" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-mobile"; - version = "1.6.1"; - sha256 = "1jx32by2xlkfb57c2d981i4ibsy8a59sgr2xfgsngfjdmpg844lp"; + version = "2.0"; + sha256 = "0zsdlxlrrl5cmmxpvcbkg2izd8m0f213l8fdjbdigfmrppr2kird"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Mobile SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-mq" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-mq"; - version = "1.6.1"; - sha256 = "0whgnswjvx8a8wj01wd65b41yza3110g1zqwmvs6srjx6zjs3ha0"; + version = "2.0"; + sha256 = "0hlw8x1rqbicv3gkngdv9bh3im2r3rjrwd6p6240x5kaviy00gsi"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon MQ SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-mwaa" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-mwaa"; + version = "2.0"; + sha256 = "02jr13r5plhdxjbv8w1j90brkqxpjwq75s4gpbgyq8v831y9a2fj"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon MWAA SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-neptune" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-neptune"; + version = "2.0"; + sha256 = "14znb9mn4ln9xnsb2s1qf2361gm2jxxj30i1p9qjxv0bm3r9g02q"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Neptune SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-network-firewall" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-network-firewall"; + version = "2.0"; + sha256 = "03vn5rgp0pzjj3f7jydkpn7fdbhbfk6hci1kg5ljbyg9q5zs2qj1"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Network Firewall SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-networkmanager" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-networkmanager"; + version = "2.0"; + sha256 = "1n05xp135xxkbjd0c7rcm1xj47lvdbd7cpclkv6hifxlldqr7hwg"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Network Manager SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-nimble" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-nimble"; + version = "2.0"; + sha256 = "1vjkypkjhf40xk00smjrzqpg333cpgq8jyri66z9s7604vhcsiff"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon NimbleStudio SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-oam" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-oam"; + version = "2.0"; + sha256 = "0xhkgf513rk1zw4dvmr85xaa27d4ybvpbbbpq8j44y55d3jw2r20"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon CloudWatch Observability Access Manager SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-omics" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-omics"; + version = "2.0"; + sha256 = "1y7xnzg81s941zmvf2vadd9iss0wkxsrk426n8m7gazgz340nqan"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Omics SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-opensearch" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-opensearch"; + version = "2.0"; + sha256 = "14hgcp7wxnk8xp3xapsmkxdr2lni37ls4wg88larbkzx8kr10la6"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon OpenSearch Service SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-opensearchserverless" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-opensearchserverless"; + version = "2.0"; + sha256 = "1phydxi9sqylmqnxv4shy556x959na84yyf9i7k3aknjd9p41gvr"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon OpenSearch Service Serverless SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-opsworks" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-opsworks"; - version = "1.6.1"; - sha256 = "15magxqrylyxdkqa5xyihq00nfh4pv0g7wl6gp9smvg5svq1c5qa"; + version = "2.0"; + sha256 = "0rk6jwcpaqnnz812hxiqwh7d0c7qc1115vsljdhh08id21rvc76y"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon OpsWorks SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-opsworks-cm" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-opsworks-cm"; - version = "1.6.1"; - sha256 = "0mya7swx8x4p7wz17p62jn8ixw4xi72w6691r4ay5gphl81pi1ai"; + version = "2.0"; + sha256 = "1cnh2mjl3n2wgdq2rykc7ya0m4x3rvc3xaxbp3hm68xmryb185r8"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; - description = "Amazon OpsWorks for Chef Automate SDK"; + description = "Amazon OpsWorks CM SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-organizations" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-organizations"; - version = "1.6.1"; - sha256 = "1yx7hfnl6nnhdx3ryjvg8vkyj053m2a6zds61vrnl2kc0n890bcs"; + version = "2.0"; + sha256 = "1q0y81cp5xn87yw1dj15nqbmvfzvv8sjzsllr73h4cplcqx47rfm"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Organizations SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-outposts" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-outposts"; + version = "2.0"; + sha256 = "0pd6r5xpa5dxp47nhxsn2v9sx47xnm9z9961qqlm4a9m7zmlc5ff"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Outposts SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-panorama" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-panorama"; + version = "2.0"; + sha256 = "11jii4fq77slfy7x00gg0l7fn925rfmp8yzqy4jjl7pmh8s1gbm0"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Panorama SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-personalize" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-personalize"; + version = "2.0"; + sha256 = "1l6h1273pgwk0jdqd910wqqjv9inm278klhagihfywi31jm8lrnj"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Personalize SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-personalize-events" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-personalize-events"; + version = "2.0"; + sha256 = "17nrk0j4xml8wsd332yqa3mw6kyrd2wax3vhsvz6akmsz3mqymcn"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Personalize Events SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-personalize-runtime" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-personalize-runtime"; + version = "2.0"; + sha256 = "0xrpf2lqvjdqqfgl160dhd6gpq07815jl0nymz2x6wyrj19bm9ah"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Personalize Runtime SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-pi" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-pi"; + version = "2.0"; + sha256 = "1mpqj1mwp63ifyk260lscg3rffilmlya4yk3rjsc3fb7wv5qvklm"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Performance Insights SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-pinpoint" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-pinpoint"; - version = "1.6.1"; - sha256 = "11zw2xkn39c75frqpm67x2qpb63xzl25633ny0b3rbdpak8w4f5a"; + version = "2.0"; + sha256 = "09iwgl9wb40jv35djxxgn1d3k6r1gw0k2ra875f9zgqj0vay5b0w"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Pinpoint SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-pinpoint-email" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-pinpoint-email"; + version = "2.0"; + sha256 = "1b56zm0wss0da7mnd8bidgcl1w4pdrzmdsdwqcf8b8kjnqwvsql4"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Pinpoint Email Service SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-pinpoint-sms-voice" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-pinpoint-sms-voice"; + version = "2.0"; + sha256 = "16qb4jkpicpb9rf4a2k0zxrlapxficir36i8mpp544xhnz2mdf5z"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Pinpoint SMS and Voice Service SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-pinpoint-sms-voice-v2" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-pinpoint-sms-voice-v2"; + version = "2.0"; + sha256 = "00rjz3lxc63xxarkjxz4gbmb49xr23jqnn7i3n45fl4w3w8dxqvn"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Pinpoint SMS Voice V2 SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-pipes" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-pipes"; + version = "2.0"; + sha256 = "1hq2g003jsgydi4ak8m8brdgji2d0kar9glanyag52hgya0cdwf0"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon EventBridge Pipes SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-polly" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-polly"; - version = "1.6.1"; - sha256 = "1vyckykw1in9qlz824k4zmn9xixlf2ak479vfcb1p872ri2pb25h"; + version = "2.0"; + sha256 = "066hzqm18cjm0jv268zsgfgj71wc065slilb83vin1w1wymdbpnh"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Polly SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-pricing" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-pricing"; - version = "1.6.1"; - sha256 = "1mh04sali41d8zchd8ibcvzv9vnfdlcwzz6p3n72wmczqaz2ps8v"; + version = "2.0"; + sha256 = "0sa2z8m09jrhnlq1ns60x1g0anqdfg4kffgiz8928dda97icix4i"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Price List Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-privatenetworks" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-privatenetworks"; + version = "2.0"; + sha256 = "028y9cs8rgmyg16lvfsnzy2lzlf89wm7jf36ipyvi5gjcrpz6yl1"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Private 5G SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-proton" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-proton"; + version = "2.0"; + sha256 = "1sb8b61ck7c3pdw78vc69yqyavxfqdqcdbngi8g2w9ynj312wqgv"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Proton SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-qldb" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-qldb"; + version = "2.0"; + sha256 = "0yw300mj7h0bgk18qwjqbnvc0ffdi2jllqxsikn346c1c2xbkvcc"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon QLDB SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-qldb-session" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-qldb-session"; + version = "2.0"; + sha256 = "0hsbbd19z1zm89zqgz37m86mcmadjki9ah7z82wjjzd6ndckxf5g"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon QLDB Session SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-quicksight" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-quicksight"; + version = "2.0"; + sha256 = "1iq02m726lrymnga5j03fvx4jx0a18w19vy9wnpdaxb669s12y7n"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon QuickSight SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-ram" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-ram"; + version = "2.0"; + sha256 = "0zlyh5qzjx2nbfkli9qsy4j5fc1lal78swa595dsmbif6jn63zbz"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Resource Access Manager SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-rbin" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-rbin"; + version = "2.0"; + sha256 = "1l8rsbw9j1i7xbav207ys616qyfkq25rc69f3j8kr9hifmjlx34b"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Recycle Bin SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-rds" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-rds"; - version = "1.6.1"; - sha256 = "08cl73fczrf94k5z92w2cpf3ngrcqhb6xiygbh3vv4wh6l541lpw"; + version = "2.0"; + sha256 = "02bw1qg0h6xcgp09f72xvc4kls6hl4qirz7sbs90p4vlmq4jsmz9"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Relational Database Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-rds-data" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-rds-data"; + version = "2.0"; + sha256 = "1bzz40i00cg2yyj9iqhxw9rnq243dcs65m4xx3zlcjwdg55lzbla"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon RDS DataService SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-redshift" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-redshift"; - version = "1.6.1"; - sha256 = "051281bgr65xsyac3vy1zbjs3v3avw4f2wk1743cqdjnarg8bsl7"; + version = "2.0"; + sha256 = "1prq0mh73lzvaq4np7r3h7qw8pgf3nszvqvk9bzcdkccc4iy1j26"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Redshift SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-redshift-data" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-redshift-data"; + version = "2.0"; + sha256 = "0d1vmlk15j1rxhqr3h86admm6y351p862jpyd1wb1lws4rlk0nf6"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Redshift Data API Service SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-redshift-serverless" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-redshift-serverless"; + version = "2.0"; + sha256 = "0glv2yjibpzqmags776g79lcn9wvwcs7nzxl1hp80gjcwlm7g3sr"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Redshift Serverless SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-rekognition" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-rekognition"; - version = "1.6.1"; - sha256 = "1y7qm7k9y9w0lm09qpzi5p1jld3i78x0pr446q37li15m3pgn81y"; + version = "2.0"; + sha256 = "0z83n96pyx4fyh142zm0djjppiih2wf07l2x22c3gv6i4zk3m4ch"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Rekognition SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-resiliencehub" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-resiliencehub"; + version = "2.0"; + sha256 = "11r66h0lww1bqpnav1r9cz9zbsymggky8ck13nijq84dg2j0bgip"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Resilience Hub SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-resource-explorer-v2" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-resource-explorer-v2"; + version = "2.0"; + sha256 = "0z4vlvd2fxj0l26i76m8zim5j5gilsir6r7d58387ishxhfxn8wb"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Resource Explorer SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-resourcegroups" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-resourcegroups"; - version = "1.6.1"; - sha256 = "0nyac5hyv97b0djhn7z7rjdi2gf7na66grccl040770mxkb77q0k"; + version = "2.0"; + sha256 = "0fbfcs3aaizgw9564chvnxnvh57k8chdzq21ml2f4m46f2c64isj"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Resource Groups SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-resourcegroupstagging" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-resourcegroupstagging"; - version = "1.6.1"; - sha256 = "0dsghbhwxjq46bghgl1gnwdxcqbc5v3q9pfhap0asj9qjxq8hrwp"; + version = "2.0"; + sha256 = "0m5wi3alfd21jc53ndn97riys39yn1pyxljlq2c32slv90q9a1bi"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Resource Groups Tagging API SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-robomaker" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-robomaker"; + version = "2.0"; + sha256 = "0ykip8v9lkzg56rnsmx4jq832d82m8ylpb6vl5nr2al7dz0xmli3"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon RoboMaker SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-rolesanywhere" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-rolesanywhere"; + version = "2.0"; + sha256 = "16kqsyx0a8rwb5153c6h4i7m5207vkl78bh0r88g49qgh2xbjkjv"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon IAM Roles Anywhere SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-route53" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-route53"; - version = "1.6.1"; - sha256 = "0wspqzdz0i07b4jg80w9vbzmd12pzv7l5dpjnhk2mvbdbgd44r3w"; + version = "2.0"; + sha256 = "04h4rwfxv0br8i28b9n3q3rzbgr575diadvqqjv9141824xn6yfz"; libraryHaskellDepends = [ amazonka-core base text ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Route 53 SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-route53-autonaming" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-route53-autonaming"; - version = "1.6.1"; - sha256 = "09cch0ks8gxb08cjilmcyj3phpvikl31bi1ygz73xddaba6ppr9c"; + version = "2.0"; + sha256 = "0wzcxi3w4ipscsqv4qmjh72nfkbza1izym3aysy1pradhjb1hdml"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; - description = "Amazon Route 53 Auto Naming SDK"; + description = "Amazon Cloud Map SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-route53-domains" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-route53-domains"; - version = "1.6.1"; - sha256 = "1s529dzwf92scjwbvyvrcfcs9ma1yvcgrwla79fj74f38mscsarc"; + version = "2.0"; + sha256 = "1cjz4fyncdvk1w25lp1sc4d5npd4mk2z411lpk8h8aa5lv38af4b"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Route 53 Domains SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-route53-recovery-cluster" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-route53-recovery-cluster"; + version = "2.0"; + sha256 = "05ir57rm7js42zjwimq79vhg4fbmiinplpql8brn2jszl9bsl7vm"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Route53 Recovery Cluster SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-route53-recovery-control-config" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-route53-recovery-control-config"; + version = "2.0"; + sha256 = "19bfykab16789mpcigk7mcjhn654b9mmri4649x533yl6532278b"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Route53 Recovery Control Config SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-route53-recovery-readiness" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-route53-recovery-readiness"; + version = "2.0"; + sha256 = "0x5nlylc6rlp4c6d1l18nhdw91h78cxrs6h6nzg89jf7i095gmbj"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Route53 Recovery Readiness SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-route53resolver" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-route53resolver"; + version = "2.0"; + sha256 = "00wilssrk2gfqxr1f8afw9jj7f68ssq186q402bqwqb02ynig76x"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Route 53 Resolver SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-rum" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-rum"; + version = "2.0"; + sha256 = "0qwp2fynaf27p7zj1b9npbg7x6c3m2pnkan9sdi4m4agp0pa649y"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon CloudWatch RUM SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-s3" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , lens, tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, lens, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-s3"; - version = "1.6.1"; - sha256 = "0a3y2q3xmb249giwjvsgva26ky315bnsii6s9gqvhd5g9q9b1827"; + version = "2.0"; + sha256 = "1iyrbh5j8yk3z2p55qgvicgi59lfvvn0yh3i2mccr8rfi9spkmjs"; libraryHaskellDepends = [ amazonka-core base lens text ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Simple Storage Service SDK"; license = lib.licenses.mpl20; + }) {}; + + "amazonka-s3-encryption" = callPackage + ({ mkDerivation, aeson, amazonka, amazonka-core, amazonka-kms + , amazonka-s3, amazonka-test, base, bytestring, case-insensitive + , conduit, crypton, http-client, lens, memory, mtl, QuickCheck + , quickcheck-instances, resourcet, tasty, tasty-hunit + , tasty-quickcheck, text, time, unordered-containers + }: + mkDerivation { + pname = "amazonka-s3-encryption"; + version = "2.0"; + sha256 = "1cxv36nkaqp30dm89f9bfqmh7dh0nyw4i4n0apdj7p3gckhl3jb9"; + libraryHaskellDepends = [ + aeson amazonka amazonka-core amazonka-kms amazonka-s3 base + bytestring case-insensitive conduit crypton http-client lens memory + mtl text unordered-containers + ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring conduit crypton mtl + QuickCheck quickcheck-instances resourcet tasty tasty-hunit + tasty-quickcheck text time unordered-containers + ]; + description = "Amazon Simple Storage Service SDK - Client-Side Encryption"; + license = lib.licenses.mpl20; hydraPlatforms = lib.platforms.none; }) {}; @@ -30693,328 +33610,796 @@ self: { hydraPlatforms = lib.platforms.none; }) {}; + "amazonka-s3outposts" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-s3outposts"; + version = "2.0"; + sha256 = "05wx2kb7w3ikdm4862izjg9h0mmi2f5fkx7ljbacljxwdmkmbd1m"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon S3 on Outposts SDK"; + license = lib.licenses.mpl20; + }) {}; + "amazonka-sagemaker" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-sagemaker"; - version = "1.6.1"; - sha256 = "0sxs1gan775gny8gq00vw30jqrn9rv46rqq0jglna7z1fadp0fyz"; + version = "2.0"; + sha256 = "0qaz8vmncvvl3kq3v8i70cgf4ryq7sc3phyrdn1igf0rva9wkpjg"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon SageMaker Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-sagemaker-a2i-runtime" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-sagemaker-a2i-runtime"; + version = "2.0"; + sha256 = "0fw6fi53sg7mjbzmc2s9s8znvb484ycdbbbw5l45gcb0n8k1jp9m"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Augmented AI Runtime SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-sagemaker-edge" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-sagemaker-edge"; + version = "2.0"; + sha256 = "1ffrs0bbi600wqykd67kd5xxdqf2cq6wi8nsf1kfqwyqsfzi6189"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Sagemaker Edge Manager SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-sagemaker-featurestore-runtime" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-sagemaker-featurestore-runtime"; + version = "2.0"; + sha256 = "0mcgbyvi8kr1yv1x1rgpmwq772p24yi8hc2x2ja7lwnfl6pfr49g"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon SageMaker Feature Store Runtime SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-sagemaker-geospatial" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-sagemaker-geospatial"; + version = "2.0"; + sha256 = "0g09ip095m2ngr1cwry84zls966dvggsz28msxlzi777hjgf47zq"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon SageMaker geospatial capabilities SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-sagemaker-metrics" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-sagemaker-metrics"; + version = "2.0"; + sha256 = "0yysfk8y3isk2mcddnzk0r8bss6sqjrjrgjcy591177z0wjqdx6h"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon SageMaker Metrics Service SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-sagemaker-runtime" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-sagemaker-runtime"; - version = "1.6.1"; - sha256 = "0iilc70i0lz3qrdklw2909v4yzwvcsazn0px5570p7qsp67imb79"; + version = "2.0"; + sha256 = "0q5hl25sxby785dcailgmlxzzy9517qz9a87ahvgakpc1casrsyy"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon SageMaker Runtime SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-savingsplans" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-savingsplans"; + version = "2.0"; + sha256 = "0i6969lyfd40dnrjh2fap5yw8m8y9cvxx7f71skpwvw17d9gdvlx"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Savings Plans SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-scheduler" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-scheduler"; + version = "2.0"; + sha256 = "0j9y4gy214df0qv05vmpxgh73adqpcvqzxciazmrvzr85kc9vf3g"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon EventBridge Scheduler SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-schemas" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-schemas"; + version = "2.0"; + sha256 = "0fpmbc9h8x2imqj2mb503y4h4ndnw4gbajiwmw7d4bxn2wmvfh74"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Schemas SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-sdb" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-sdb"; - version = "1.6.1"; - sha256 = "0yiap66yqkr453dgkn9q74xmc1g6afqj6ghnhp795lf35igmid2k"; + version = "2.0"; + sha256 = "0qp6kwllm86hf1l78mmzbrrpw47sk6bs0845xdmcx27i08jjjhyq"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon SimpleDB SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-secretsmanager" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-secretsmanager"; - version = "1.6.1"; - sha256 = "0zr3nlzxjb1nhwipk67nirh0pnjfchirn677mr12109xx7qcfa8l"; + version = "2.0"; + sha256 = "1wndb54k81qw3q2077fkjwyclh9adrbkhl0nh72ybyb7ws7mlygh"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Secrets Manager SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-securityhub" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-securityhub"; + version = "2.0"; + sha256 = "1pffrv28fk31dzz7a2rqibvs8vpjpax80nk2512xasyg72xvig5q"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon SecurityHub SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-securitylake" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-securitylake"; + version = "2.0"; + sha256 = "0iy0fj926q7imnzxgzwfynwrbijm4zdxvkibcwbi6q6x132208fn"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Security Lake SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-serverlessrepo" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-serverlessrepo"; - version = "1.6.1"; - sha256 = "13sc48wbsrkypvqxlrldbglziv1biaqqj4df49x1jlplypbl53vp"; + version = "2.0"; + sha256 = "1dnfy4b4xmz9gr04hlr2pdx72j7dv49jran80fsg5qz60shffqrj"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon ServerlessApplicationRepository SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-service-quotas" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-service-quotas"; + version = "2.0"; + sha256 = "19rfl0gfvbkfh46k9vrx87xala4mjjfnaf4ixwxaabhgnsrz4ssl"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Quotas SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-servicecatalog" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-servicecatalog"; - version = "1.6.1"; - sha256 = "0jzm0xsn52qh9ihjn73wgnkil6gnrxvp97x7d881nzyvg5yavmml"; + version = "2.0"; + sha256 = "1ivvn19hvgv1k1nll81y6svfmyi7q54mhprdy3x1yszsjpgyvqqd"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Service Catalog SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-servicecatalog-appregistry" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-servicecatalog-appregistry"; + version = "2.0"; + sha256 = "05ac16apjk1rk60sxnj6f18g3mgjxp3m2sz7w2w9g0df83sqdf16"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Service Catalog App Registry SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-ses" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-ses"; - version = "1.6.1"; - sha256 = "1s7c2jvg8y2vi7lzy3wgp352xnf0bfnc36l6g771279l61nmfk05"; + version = "2.0"; + sha256 = "0pziw21bmsjfj0qn5xrwvyi8jk0z93qmyg81awaic4wanhyij5xx"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Simple Email Service SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-sesv2" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-sesv2"; + version = "2.0"; + sha256 = "11li9frp2pxwh7hlcdb29l8d2vx4522jrd2rns8ca3bf39pq421q"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Simple Email Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-shield" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-shield"; - version = "1.6.1"; - sha256 = "06bml09gg5pq8vsj145jwbqpmbx6n07yx0ds030yhmahxyl732hv"; + version = "2.0"; + sha256 = "0vpx0inr71wwi4i2dd92r1ba7x6bnssqar3g610mmjw5cxgfnsjr"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Shield SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-signer" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-signer"; + version = "2.0"; + sha256 = "0ga5ncb8mwfcgskvvqwrli6bhmjgmc1i4n6fvrkmgzfb5vk9g24g"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Signer SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-simspaceweaver" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-simspaceweaver"; + version = "2.0"; + sha256 = "013xd9qr3a8j16a0zzqhydj3yvcd6g1hyrq69llr0iichjyjpbij"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon SimSpace Weaver SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-sms" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-sms"; - version = "1.6.1"; - sha256 = "11ab1f09l7p33mvbgrxl5j09z6higs37a5v9d07hh94lvr5l7syn"; + version = "2.0"; + sha256 = "1pvmnv3bsbhbndmqk9wq1c1n66ysdnbclfshs2dyrph8p12nndgp"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Server Migration Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-sms-voice" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-sms-voice"; + version = "2.0"; + sha256 = "1vvp27qm1jb3wm8nh4jzcgjjlcqv6j49jjlp1grwfxnc3f7j17sg"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Pinpoint SMS and Voice Service SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-snow-device-management" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-snow-device-management"; + version = "2.0"; + sha256 = "0jiv8rnrn3mc8rknig71hw2pcqdzyzrs5nhdnj5y6zdn0yv2zx0d"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Snow Device Management SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-snowball" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-snowball"; - version = "1.6.1"; - sha256 = "1lvw8ym5xlxjiyflg1xr2cqcvf4flamwpd6pgqzbnwzdkyv1zha8"; + version = "2.0"; + sha256 = "0851jxkysdjyam3mwllhzjfgwkwndflfq0v4bmnr5pgl2x2yih6c"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Import/Export Snowball SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-sns" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-sns"; - version = "1.6.1"; - sha256 = "0dg72gkb3graaq2bh0dbpd4mx8scmx6zrk9q77rwzpxd80yab66v"; + version = "2.0"; + sha256 = "0vpk2z8rmkpbm3lg27mnqiffhspbx0zwixplwbgl73imigjzgc6p"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Simple Notification Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-sqs" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-sqs"; - version = "1.6.1"; - sha256 = "1m2313w5z0mdzhqdx7qkd6k9k5bxbb4dalg37v9jmbsidza7s1pf"; + version = "2.0"; + sha256 = "0w8psnfqv78kazjyw06wdsmm6hlfy01wa2pimc4yiwczy7h4js31"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Simple Queue Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-ssm" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-ssm"; - version = "1.6.1"; - sha256 = "1bxh7hqsm2jfxza4dr2cami39sc2wzkck4yzqp7pk3ds3xggybcm"; + version = "2.0"; + sha256 = "0hzay415v1xlcvjmgwya431bi1y4i3kq41szp40nm7ahxcmgylxn"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Simple Systems Manager (SSM) SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-ssm-contacts" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-ssm-contacts"; + version = "2.0"; + sha256 = "14m08gp8c1zif9brdi4cip4lw4p3hi3ck7ddpffv1ixfmdxwg1fn"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Systems Manager Incident Manager Contacts SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-ssm-incidents" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-ssm-incidents"; + version = "2.0"; + sha256 = "0c98w5r4r3kwsg03gf2q3hg5izc4b3rkazsc79p8r8kf5i5z2qik"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Systems Manager Incident Manager SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-ssm-sap" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-ssm-sap"; + version = "2.0"; + sha256 = "1f832y679fnaq5gx1fcfrf5smxdir0qvjg4k48j5kfc8hbbzfv0x"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Systems Manager for SAP SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-sso" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-sso"; + version = "2.0"; + sha256 = "0x4g24vr92rqk55vf2v3dwka94p949cvryl1hy3lxcvpqk52v0bi"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Single Sign-On SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-sso-admin" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-sso-admin"; + version = "2.0"; + sha256 = "0cn4ksyd8slvb8p7y7bg1f39wij690grnblkfyabw0m9w1s6nwi6"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Single Sign-On Admin SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-sso-oidc" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-sso-oidc"; + version = "2.0"; + sha256 = "1q44fb70r5m68dpwkj8r1jza05kpy97bvn0s20xnhfi8drfsifsl"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon SSO OIDC SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-stepfunctions" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-stepfunctions"; - version = "1.6.1"; - sha256 = "04yhgmbj129kaj6dxl6ck9clai551j5kmfnwck6nbfpq3mi7dbv0"; + version = "2.0"; + sha256 = "11ny95ki54sz8id3xck8r6x1ik2b2kwvz7mi5yhm4g1nhkpcacmc"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Step Functions SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-storagegateway" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-storagegateway"; - version = "1.6.1"; - sha256 = "0gvyh6qjfcr3ndv17rcjxnsa13dghwdd420cnc8xsafq54qbah8h"; + version = "2.0"; + sha256 = "184pm7d4hyb7lic1hhlr7m428whp0nvsmp2a6k445wswrmlg49b7"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Storage Gateway SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-sts" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-sts"; - version = "1.6.1"; - sha256 = "1fcg3hg88427h9fgqpxwa599gh19i1lc2wfjlf554cg92jxn88zf"; + version = "2.0"; + sha256 = "1bhky6ajxyykz983wi22g0j3pj4xbwiqkjgw6z8hh2pdsgisg6cx"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Security Token Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-support" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-support"; - version = "1.6.1"; - sha256 = "1fhrqwbv84np82nddkxxp7bkws2830yb3pz52nwng800vpahsbas"; + version = "2.0"; + sha256 = "1hacdj5xxp58zmldj1pwn7dbgxadsg98x9gd6kxz3p9hdckrmfqw"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Support SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-support-app" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-support-app"; + version = "2.0"; + sha256 = "18sszvq0vyfwk0fasfi4f9cvgiypjvy7ig0z5i7s1scvv5n12vgy"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Support App SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-swf" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-swf"; - version = "1.6.1"; - sha256 = "0jklp28c7kanlkl6mciam2gj6b6w25fs7aidf83ndr3z9xqfd73v"; + version = "2.0"; + sha256 = "1fn159acy0xvy4al5xx73lyb4wjkvr4vsn9hbj0dd0xiblwwd2i3"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Simple Workflow Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-synthetics" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-synthetics"; + version = "2.0"; + sha256 = "1l2pf38xhmn2gp80ldj8bqr4szm411am5dj3cf0i2szw4r4xg2v0"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Synthetics SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-test" = callPackage @@ -31026,8 +34411,8 @@ self: { }: mkDerivation { pname = "amazonka-test"; - version = "1.6.1"; - sha256 = "1a92y92gwn4143z73fwrm03vi5wdxgl2i1myvb8qsk9c621xa7km"; + version = "2.0"; + sha256 = "1vd9izjrwskgxhir5mbzk3ryzs37ba84ahj1xa8kwc3ix85c0xkv"; libraryHaskellDepends = [ aeson amazonka-core base bifunctors bytestring case-insensitive conduit conduit-extra groom http-client http-types process @@ -31036,151 +34421,348 @@ self: { ]; description = "Common functionality for Amazonka library test-suites"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-textract" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-textract"; + version = "2.0"; + sha256 = "1n8dqwk92fs16hn80n34vp22wrs8kv89awy7hlf8z1b9ymgrl71y"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Textract SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-timestream-query" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-timestream-query"; + version = "2.0"; + sha256 = "0acq22hwn7zmcfq6rh2y0fxn25adg9sn4nq6shj0vf15fdm2vwja"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Timestream Query SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-timestream-write" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-timestream-write"; + version = "2.0"; + sha256 = "0hqyyxzgsg57l8wf2vyp5s8lrhjv4003vwd4jsin0gy95pjc398n"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Timestream Write SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-transcribe" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-transcribe"; - version = "1.6.1"; - sha256 = "1pkbmir7fqci7nlz9ca5rbd0yx75kj9pa665k928ll1m34fng5iv"; + version = "2.0"; + sha256 = "1kjnpqr36hv446aa7mvjx795zz4skx5zk759lhfj300cla2n9s6w"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Transcribe Service SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-transfer" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-transfer"; + version = "2.0"; + sha256 = "154zjxmj2l5za6wy48a4zq6ij3gldbw333hdvxyqqpwqzfybai2y"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Transfer Family SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-translate" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-translate"; - version = "1.6.1"; - sha256 = "09rk9223yyi2anzxifcmm4qmxpd1ljg1q644jv1accrpgn1k1h89"; + version = "2.0"; + sha256 = "0k2m54bn35xfi7m91k65afzfw2166rklmlgm67zcg5kaygz2i9w6"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon Translate SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-voice-id" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-voice-id"; + version = "2.0"; + sha256 = "0v9qrgarrvi0zjsk7d80kididg96svd4j9bfyid1wc82bg7jgd0z"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Voice ID SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-waf" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-waf"; - version = "1.6.1"; - sha256 = "0sjian917kc7vzq34sbd4cifx5qwf9lkkrvhbsl1z2aqv0bzbhj5"; + version = "2.0"; + sha256 = "09439v5q6493nqa25dz8s2syvrm0qpxi8b7yxj24mb6kkppvl62k"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon WAF SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amazonka-waf-regional" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-waf-regional"; - version = "1.6.1"; - sha256 = "1g57aa4zba01iq14njm529k9743lyx7n4v1ilg11qyycbihh2vgp"; + version = "2.0"; + sha256 = "0rbchz42g5mqy9i2w58galylp2ma4yqd7dk2c2ww2wy9q747fsbg"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon WAF Regional SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-wafv2" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-wafv2"; + version = "2.0"; + sha256 = "0i5w9pb2anqlp79zr43cp7v4lqjd2qvxfhswa4zv82l2sjr151nj"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon WAFV2 SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-wellarchitected" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-wellarchitected"; + version = "2.0"; + sha256 = "03696yd3w42cjdwphgxpl2ai5kn5gz3rm563h37jybk6pv4zii7j"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Well-Architected Tool SDK"; + license = lib.licenses.mpl20; + }) {}; + + "amazonka-wisdom" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-wisdom"; + version = "2.0"; + sha256 = "0cf2ynan4ws42acjlj56n8dd38n9kvvp4z41n07cjs50744mj56b"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon Connect Wisdom Service SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-workdocs" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-workdocs"; - version = "1.6.1"; - sha256 = "1gahyswzfimm5kcsp6npnla48cmrihw6pxy66bql6zy60apy26h1"; + version = "2.0"; + sha256 = "1p21x9v2fkip1gbmq39cwp7y5cpvi60gadls35g01rv775la8vh3"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon WorkDocs SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-worklink" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-worklink"; + version = "2.0"; + sha256 = "1k1bbmzxf4gfsaqc7fcvr2gb8hnmgw2cnpqvfnvppsczy65xs397"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon WorkLink SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-workmail" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-workmail"; - version = "1.6.1"; - sha256 = "1vb4p6l4jv6f5xrd7plxxqjpj9vsdqh83sdywr41p3ggynwg2vip"; + version = "2.0"; + sha256 = "0ndc34s6lpk5xmrc3miaa5y09sg9v6k200h22mzixcy3zsbf5ljm"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon WorkMail SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-workmailmessageflow" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-workmailmessageflow"; + version = "2.0"; + sha256 = "0yqqs52cswxx7q3jxqdlnzg10h3jh262ajwp4b7s9xj3xb8jc6pw"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon WorkMail Message Flow SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-workspaces" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-workspaces"; - version = "1.6.1"; - sha256 = "1grpbka4baw81qz4sds52ag4nj4ial6kbjii11yrkyc6i9dki2g5"; + version = "2.0"; + sha256 = "14acs6jg1i8a1kl2flssr2dz7a9hgwf656wkag82il3jshh4gw3a"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon WorkSpaces SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; + }) {}; + + "amazonka-workspaces-web" = callPackage + ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers + }: + mkDerivation { + pname = "amazonka-workspaces-web"; + version = "2.0"; + sha256 = "17z2s2i7mq96hfdhz7cscayfijl4dj8ldx8h6yr08s2jfydd21p9"; + libraryHaskellDepends = [ amazonka-core base ]; + testHaskellDepends = [ + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers + ]; + description = "Amazon WorkSpaces Web SDK"; + license = lib.licenses.mpl20; }) {}; "amazonka-xray" = callPackage ({ mkDerivation, amazonka-core, amazonka-test, base, bytestring - , tasty, tasty-hunit, text, time, unordered-containers + , case-insensitive, tasty, tasty-hunit, text, time + , unordered-containers }: mkDerivation { pname = "amazonka-xray"; - version = "1.6.1"; - sha256 = "03fhlls2n1cih0z4fcs1bcsr1d97qnllcknq90dqmmr9fmmg131l"; + version = "2.0"; + sha256 = "1dcxfv7bvznq31alyzlbfym5jynp6y008lv95xg6687d1jydxhq4"; libraryHaskellDepends = [ amazonka-core base ]; testHaskellDepends = [ - amazonka-core amazonka-test base bytestring tasty tasty-hunit text - time unordered-containers + amazonka-core amazonka-test base bytestring case-insensitive tasty + tasty-hunit text time unordered-containers ]; description = "Amazon X-Ray SDK"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "amby" = callPackage @@ -31486,7 +35068,6 @@ self: { description = "Client for analyze service"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "anansi" = callPackage @@ -32509,6 +36090,7 @@ self: { description = "Please see the README on Github at <https://github.com/arbor/antiope#readme>"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "antiprimes" = callPackage @@ -32602,6 +36184,23 @@ self: { mainProgram = "antlrcmkenums"; }) {antlr3c = null;}; + "any-pat" = callPackage + ({ mkDerivation, base, haskell-src-exts, haskell-src-meta, hspec + , hspec-discover, parsec, QuickCheck, template-haskell + }: + mkDerivation { + pname = "any-pat"; + version = "0.2.0.0"; + sha256 = "1h6fxbyzfbx936br0795jbs25ci0bjbik5dgdgw40rs7y2mavxkm"; + libraryHaskellDepends = [ + base haskell-src-exts haskell-src-meta template-haskell + ]; + testHaskellDepends = [ base hspec parsec QuickCheck ]; + testToolDepends = [ hspec-discover ]; + description = "Quasiquoters that act on a sequence of patterns and compiles these view into patterns and expressions"; + license = lib.licenses.bsd3; + }) {}; + "anydbm" = callPackage ({ mkDerivation, base, containers, MissingH, mtl }: mkDerivation { @@ -32629,8 +36228,6 @@ self: { testHaskellDepends = [ base ghc-prim ]; description = "prelude for Algebra of Programming"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "aos-signature" = callPackage @@ -33945,8 +37542,8 @@ self: { pname = "approximate"; version = "0.3.5"; sha256 = "1f168ac9xryrv50k7gvh89xv0mj6c42cxw7pj01pqcbppbs0rm3g"; - revision = "2"; - editedCabalFile = "1yak9kv090502q8kqszmrjdp13dd737klppp78983yipd9k2h5gv"; + revision = "3"; + editedCabalFile = "10y11nm6rfh5s6vzw0z7zn29gv6z6ll8q7gmw30yc1xxmy78rxqj"; libraryHaskellDepends = [ base binary bytes cereal comonad deepseq ghc-prim hashable lens log-domain pointed safecopy semigroupoids semigroups vector @@ -34198,8 +37795,8 @@ self: { }: mkDerivation { pname = "arbtt"; - version = "0.12.0.1"; - sha256 = "1a2gabg8v28jcl1nddj4pfmpwc57g68i0qnnx3p26k3psrkmy6xq"; + version = "0.12.0.2"; + sha256 = "022jb9bi9jxqiv3f2cfkpi1m6zwp719x9xnq2xnhy2hysz3x1ss6"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ @@ -34348,7 +37945,6 @@ self: { libraryToolDepends = [ cpphs ]; description = "Common interface using libarchive"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "archive-sig" = callPackage @@ -34736,8 +38332,8 @@ self: { }: mkDerivation { pname = "arion-compose"; - version = "0.2.0.0"; - sha256 = "051lbklcdj7rz9l5mnbijwjy62g0xnvx7s3yjfrb4x9qm3jpscci"; + version = "0.2.1.0"; + sha256 = "188nbv404zly4p0klj2aad8lkfzgma473gzj4f7sxynkl11dp8f1"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; @@ -34888,6 +38484,38 @@ self: { license = lib.licenses.mit; }) {}; + "arithmoi_0_13_0_0" = callPackage + ({ mkDerivation, array, base, chimera, constraints, containers + , deepseq, exact-pi, ghc-bignum, infinite-list, integer-logarithms + , integer-roots, mod, QuickCheck, quickcheck-classes, random + , semirings, smallcheck, tasty, tasty-bench, tasty-hunit + , tasty-quickcheck, tasty-rerun, tasty-smallcheck, transformers + , vector + }: + mkDerivation { + pname = "arithmoi"; + version = "0.13.0.0"; + sha256 = "0bcxfx8gm0vd07pg417yid11dqakgw5w1hndmg8667g92ar5njsc"; + configureFlags = [ "-f-llvm" ]; + libraryHaskellDepends = [ + array base chimera constraints containers deepseq exact-pi + ghc-bignum infinite-list integer-logarithms integer-roots mod + random semirings transformers vector + ]; + testHaskellDepends = [ + base containers exact-pi infinite-list integer-roots mod QuickCheck + quickcheck-classes random semirings smallcheck tasty tasty-hunit + tasty-quickcheck tasty-rerun tasty-smallcheck transformers vector + ]; + benchmarkHaskellDepends = [ + array base constraints containers deepseq infinite-list + integer-logarithms mod random semirings tasty-bench vector + ]; + description = "Efficient basic number-theoretic functions"; + license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; + }) {}; + "arity-generic-liftA" = callPackage ({ mkDerivation, base, doctest }: mkDerivation { @@ -35407,7 +39035,6 @@ self: { ]; description = "The ASCII character set and encoding"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; }) {}; "ascii-art-to-unicode" = callPackage @@ -35448,8 +39075,6 @@ self: { testHaskellDepends = [ ascii-case ascii-char base hspec ]; description = "ASCII character without an upper/lower case distinction"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "ascii-char" = callPackage @@ -35540,7 +39165,6 @@ self: { ]; description = "ASCII representations of numbers"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; }) {}; "ascii-predicates" = callPackage @@ -35615,7 +39239,6 @@ self: { ]; description = "Representing ASCII with refined supersets"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; }) {}; "ascii-table" = callPackage @@ -35653,7 +39276,6 @@ self: { ]; description = "Template Haskell support for ASCII"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; }) {}; "ascii-vector-avc" = callPackage @@ -36029,7 +39651,6 @@ self: { description = "A set of assertion for writing more readable tests cases"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "assert4hs-core" = callPackage @@ -36048,7 +39669,6 @@ self: { description = "A set of assertion for writing more readable tests cases"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "assert4hs-hspec" = callPackage @@ -36658,8 +40278,8 @@ self: { }: mkDerivation { pname = "atlassian-connect-core"; - version = "0.10.0.2"; - sha256 = "0nwsl8cvbqjjrvphc589dvld4yv5xnv9p2y80glxmzv32rwcnj36"; + version = "0.10.1.0"; + sha256 = "15iyvjd0cyzqb16r3782nqq5s43i5q9lcmbdx73973ncyl334wxa"; enableSeparateDataOutput = true; libraryHaskellDepends = [ aeson atlassian-connect-descriptor base base64-bytestring @@ -36680,8 +40300,8 @@ self: { }: mkDerivation { pname = "atlassian-connect-descriptor"; - version = "0.4.13.0"; - sha256 = "0fhzvqf18x44lvfwxzcvzwbnwl5anlyh47sni360f7w27g3b2jzz"; + version = "0.4.15.0"; + sha256 = "1mngv2pf6fkqqplkn9s51g6z8jhq9km864va2n97dvkmrwnzmhig"; libraryHaskellDepends = [ aeson base cases network network-uri text time-units unordered-containers @@ -37323,6 +40943,18 @@ self: { }) {}; "attoparsec-aeson" = callPackage + ({ mkDerivation, aeson, base }: + mkDerivation { + pname = "attoparsec-aeson"; + version = "2.1.0.0"; + sha256 = "1a86x493mrr7h468imcdjahxfvl2rrg6b6cygvzxja046cfgnjmk"; + libraryHaskellDepends = [ aeson base ]; + doHaddock = false; + description = "Parsing of aeson's Value with attoparsec"; + license = lib.licenses.bsd3; + }) {}; + + "attoparsec-aeson_2_2_0_0" = callPackage ({ mkDerivation, aeson, attoparsec, base, bytestring , integer-conversion, primitive, scientific, text, vector }: @@ -37337,7 +40969,6 @@ self: { description = "Parsing of aeson's Value with attoparsec"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "attoparsec-arff" = callPackage @@ -37447,31 +41078,6 @@ self: { }: mkDerivation { pname = "attoparsec-framer"; - version = "0.1.0.0"; - sha256 = "00vswlad9f5pqibfrch94vx6kxzswi7h47d64xqvmakasaahyn5m"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ - attoparsec base bytestring exceptions text - ]; - executableHaskellDepends = [ - attoparsec attoparsec-binary base bytestring exceptions network - network-run QuickCheck text - ]; - testHaskellDepends = [ - attoparsec attoparsec-binary base bytestring exceptions hspec - QuickCheck text - ]; - description = "Use Attoparsec to parse framed protocol bytestreams"; - license = lib.licenses.bsd3; - }) {}; - - "attoparsec-framer_0_1_0_1" = callPackage - ({ mkDerivation, attoparsec, attoparsec-binary, base, bytestring - , exceptions, hspec, network, network-run, QuickCheck, text - }: - mkDerivation { - pname = "attoparsec-framer"; version = "0.1.0.1"; sha256 = "1mj67jbdmc6svjrhhq5q0vcqp64p2bllb0py8qq0fin5bdnk4445"; isLibrary = true; @@ -37489,7 +41095,6 @@ self: { ]; description = "Use Attoparsec to parse framed protocol byte streams"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "attoparsec-ip" = callPackage @@ -38038,8 +41643,8 @@ self: { }: mkDerivation { pname = "autodocodec"; - version = "0.2.0.3"; - sha256 = "1ihyyxj4lbk172z7wnv11ryir3d00d5jrcprqiy0q7b4gkzsn3q6"; + version = "0.2.0.4"; + sha256 = "08075y8dfl32hhla8da7lrg0kzrlhj2rhfn5aqz11qm3vkwwbd6q"; libraryHaskellDepends = [ aeson base bytestring containers hashable mtl scientific text time unordered-containers validity validity-scientific vector @@ -38098,7 +41703,6 @@ self: { ]; description = "Autodocodec interpreters for Servant Multipart"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "autodocodec-swagger2" = callPackage @@ -38522,6 +42126,23 @@ self: { hydraPlatforms = lib.platforms.none; }) {}; + "avif" = callPackage + ({ mkDerivation, base, bytestring, c2hs, deepseq, JuicyPixels + , libavif, tasty, tasty-hunit, vector + }: + mkDerivation { + pname = "avif"; + version = "0.1.2.1"; + sha256 = "09c30y75gaq7vsqhw0illipnbfamj3g5g7rlpgf3lb88f56wj495"; + enableSeparateDataOutput = true; + libraryHaskellDepends = [ base bytestring JuicyPixels vector ]; + libraryPkgconfigDepends = [ libavif ]; + libraryToolDepends = [ c2hs ]; + testHaskellDepends = [ base bytestring deepseq tasty tasty-hunit ]; + description = "High-level bindings to libavif"; + license = lib.licenses.agpl3Only; + }) {inherit (pkgs) libavif;}; + "avl-static" = callPackage ({ mkDerivation, base, QuickCheck, test-framework , test-framework-quickcheck2 @@ -39127,8 +42748,6 @@ self: { testHaskellDepends = [ base hspec ]; description = "Haskell runtime for AWS Lambda"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "aws-lambda-haskell-runtime-wai" = callPackage @@ -39152,7 +42771,6 @@ self: { ]; description = "Run wai applications on AWS Lambda"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "aws-lambda-runtime" = callPackage @@ -39471,6 +43089,29 @@ self: { license = lib.licenses.mit; }) {}; + "aws-sns-verify_0_0_0_3" = callPackage + ({ mkDerivation, aeson, aeson-qq, async, base, bytestring + , crypton-x509, crypton-x509-validation, errors, hspec + , http-conduit, http-types, memory, network-uri, pem, regex-tdfa + , text, wai, warp + }: + mkDerivation { + pname = "aws-sns-verify"; + version = "0.0.0.3"; + sha256 = "1l6w3am550ls656s9hnjib6zj0g6471brsj4vn9c7i03qqwgdgmy"; + libraryHaskellDepends = [ + aeson base bytestring crypton-x509 crypton-x509-validation errors + http-conduit memory network-uri pem regex-tdfa text + ]; + testHaskellDepends = [ + aeson-qq async base crypton-x509-validation hspec http-types + regex-tdfa text wai warp + ]; + description = "Parse and verify AWS SNS messages"; + license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; + }) {}; + "aws-transcribe-ws" = callPackage ({ mkDerivation, aeson, am-test, async, base, base16-bytestring , binary, bytestring, crc, cryptohash-sha256, lens, stm, text, time @@ -39602,7 +43243,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "axel"; - broken = true; }) {}; "axiom" = callPackage @@ -39885,7 +43525,6 @@ self: { license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; mainProgram = "b9c"; - broken = true; }) {}; "babl" = callPackage @@ -39993,8 +43632,6 @@ self: { ]; description = "Heterogeneous automatic differentation"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "backstop" = callPackage @@ -40154,7 +43791,6 @@ self: { doHaddock = false; description = "Text layout engine built on top of HarfBuzz"; license = lib.licenses.gpl3Only; - hydraPlatforms = lib.platforms.none; }) {}; "ballast" = callPackage @@ -41014,17 +44650,17 @@ self: { }) {}; "base62" = callPackage - ({ mkDerivation, base, bytebuild, byteslice, doctest + ({ mkDerivation, base, bytebuild, byteslice, bytestring, doctest , natural-arithmetic, primitive, tasty, tasty-hunit - , tasty-quickcheck, wide-word, word-compat + , tasty-quickcheck, text, text-short, wide-word }: mkDerivation { pname = "base62"; - version = "0.1.0.2"; - sha256 = "14jjh2c5fhdjq7bwy3i3yff1mihmixjfs36fa8l9w0qvgpdl01qp"; + version = "0.1.1.0"; + sha256 = "0cwq9iqcps0f1b8sbjghfb9q3rr8alhjhwx0py6ll9gp98dv57qf"; libraryHaskellDepends = [ - base bytebuild byteslice natural-arithmetic primitive wide-word - word-compat + base bytebuild byteslice bytestring natural-arithmetic primitive + text text-short wide-word ]; testHaskellDepends = [ base byteslice doctest primitive tasty tasty-hunit tasty-quickcheck @@ -41071,6 +44707,8 @@ self: { pname = "base64-bytes"; version = "0.1.1.0"; sha256 = "0f0a0lj6k4k5b2mk9fbdl28x09h3mah5j44sj04k4ckdifkx3qw3"; + revision = "1"; + editedCabalFile = "1m4igcn7bxc2ym4ba22dkz2dh6rbka20da5a19vxpm0hwypfd0jc"; libraryHaskellDepends = [ base byte-order bytebuild byteslice natural-arithmetic primitive run-st word-compat @@ -41084,8 +44722,6 @@ self: { ]; description = "Base64 encoding of byte sequences"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "base64-bytestring" = callPackage @@ -42008,8 +45644,8 @@ self: { }: mkDerivation { pname = "beam-automigrate"; - version = "0.1.3.0"; - sha256 = "04ihkc2zdflvsz4b94q6kf806hdaxin5gl1h4avp4lbjwzcjqvif"; + version = "0.1.4.0"; + sha256 = "0miifsbppqqad9v6mvd30mxanqz6fddxal6d6jxpynmx4wnk8i8p"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -42274,6 +45910,37 @@ self: { broken = true; }) {}; + "bech32_1_1_4" = callPackage + ({ mkDerivation, array, base, base58-bytestring, bytestring + , containers, deepseq, extra, hspec, hspec-discover, memory + , optparse-applicative, prettyprinter, prettyprinter-ansi-terminal + , process, QuickCheck, text, vector + }: + mkDerivation { + pname = "bech32"; + version = "1.1.4"; + sha256 = "0f4s2dc5dh5gq1hqcdlbvddk93h117nji9nca0sfqzbx04n3sma8"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + array base bytestring containers extra text + ]; + executableHaskellDepends = [ + base base58-bytestring bytestring extra memory optparse-applicative + prettyprinter prettyprinter-ansi-terminal text + ]; + testHaskellDepends = [ + base base58-bytestring bytestring containers deepseq extra hspec + memory process QuickCheck text vector + ]; + testToolDepends = [ hspec-discover ]; + description = "Implementation of the Bech32 cryptocurrency address format (BIP 0173)"; + license = lib.licenses.asl20; + hydraPlatforms = lib.platforms.none; + mainProgram = "bech32"; + broken = true; + }) {}; + "bech32-th" = callPackage ({ mkDerivation, base, bech32, hspec, hspec-discover , template-haskell, text @@ -42906,22 +46573,6 @@ self: { ({ mkDerivation, base, latex, parsec, utility-ht }: mkDerivation { pname = "bibtex"; - version = "0.1.0.6"; - sha256 = "012zxvrlkl5vdjl1nmabhyi160xak0c8s3gn5ffxz2rqi6akn2h9"; - revision = "1"; - editedCabalFile = "028jl40ri1p1gn76m09ay6hhhd9827y7g54qwplcszxjykxgnvih"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ base latex parsec utility-ht ]; - description = "Parse, format and processing BibTeX files"; - license = lib.licenses.bsd3; - maintainers = [ lib.maintainers.thielema ]; - }) {}; - - "bibtex_0_1_0_7" = callPackage - ({ mkDerivation, base, latex, parsec, utility-ht }: - mkDerivation { - pname = "bibtex"; version = "0.1.0.7"; sha256 = "13brddmc8ifyncg1cc0mcl6db94lfz6vmrpjrjap7jrs060r0j9i"; isLibrary = true; @@ -42929,7 +46580,6 @@ self: { libraryHaskellDepends = [ base latex parsec utility-ht ]; description = "Parse, format and processing BibTeX files"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; maintainers = [ lib.maintainers.thielema ]; }) {}; @@ -43005,6 +46655,7 @@ self: { description = "Prototype Implementation of Combining Syntactic and Semantic Bidirectionalization (ICFP'10)"; license = lib.licenses.publicDomain; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "bidispec" = callPackage @@ -43091,6 +46742,8 @@ self: { pname = "bifunctors"; version = "5.6.1"; sha256 = "1z2p9l2c0i6irbhfib5z7089jg8s22jj2jrc3dm525mynmqi8f06"; + revision = "1"; + editedCabalFile = "0f4swdx6nvjzci5mwiwcqxsg7xqsf7vqvignq4k644sclrih2v38"; libraryHaskellDepends = [ assoc base comonad containers foldable1-classes-compat tagged template-haskell th-abstraction transformers @@ -45235,8 +48888,6 @@ self: { testHaskellDepends = [ base ]; description = "Birds of Paradise"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "bisc" = callPackage @@ -45362,7 +49013,6 @@ self: { description = "Plays chess"; license = lib.licenses.gpl3Plus; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "bit-array" = callPackage @@ -46200,6 +49850,30 @@ self: { license = lib.licenses.bsd3; }) {}; + "bitvec_1_1_5_0" = callPackage + ({ mkDerivation, base, bytestring, containers, deepseq, ghc-bignum + , primitive, quickcheck-classes, quickcheck-classes-base, random + , tasty, tasty-bench, tasty-quickcheck, vector + }: + mkDerivation { + pname = "bitvec"; + version = "1.1.5.0"; + sha256 = "1ifyz0lsmgqz8yjyx4887m1wnm7ar389k6gkvcnk9mg1bgp7rll3"; + libraryHaskellDepends = [ + base bytestring deepseq ghc-bignum primitive vector + ]; + testHaskellDepends = [ + base ghc-bignum primitive quickcheck-classes + quickcheck-classes-base tasty tasty-quickcheck vector + ]; + benchmarkHaskellDepends = [ + base containers ghc-bignum random tasty tasty-bench vector + ]; + description = "Space-efficient bit vectors"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + "bitwise" = callPackage ({ mkDerivation, array, base, bytestring, criterion, QuickCheck }: mkDerivation { @@ -46222,10 +49896,8 @@ self: { }: mkDerivation { pname = "bitwise-enum"; - version = "1.0.1.0"; - sha256 = "0vmdr8csmxwab7s4nmqdfpqdssivh90fddk94i8wkwj1la867y1z"; - revision = "3"; - editedCabalFile = "1f94gscpmffhx1m88nq6h6y46b1xpzp1kwfxb362zq6k1rq7dbk9"; + version = "1.0.1.2"; + sha256 = "15v40b2rffrx1sqfbfrbiky1g1bic0waabsznq5cgrlv7jh5znxx"; libraryHaskellDepends = [ aeson array base deepseq mono-traversable vector ]; @@ -46811,6 +50483,7 @@ self: { description = "Some contributions to add handy things to blaze html"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "blaze-html-hexpat" = callPackage @@ -47181,7 +50854,6 @@ self: { testToolDepends = [ tasty-discover ]; description = "blockfrost.io basic client"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; maintainers = [ lib.maintainers.sorki ]; }) {}; @@ -47204,7 +50876,6 @@ self: { ]; description = "blockfrost.io common client definitions / instances"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; }) {}; "blockfrost-pretty" = callPackage @@ -47339,10 +51010,8 @@ self: { }: mkDerivation { pname = "bloomfilter"; - version = "2.0.1.0"; - sha256 = "03vrmncg1c10a2wcg5skq30m1yiknn7nwxz2gblyyfaxglshspkc"; - revision = "2"; - editedCabalFile = "1hi6hwvhv7lxqv0l6hv2854g1rvc52zcmr3ldvnaan1l1b666867"; + version = "2.0.1.2"; + sha256 = "0klb26ldkw32axv3927w489j71r2rc9pangsvznqjbljib9970hp"; libraryHaskellDepends = [ array base bytestring deepseq ]; testHaskellDepends = [ base bytestring QuickCheck random test-framework @@ -47720,7 +51389,6 @@ self: { ]; description = "Three games for inclusion in a web server"; license = "GPL"; - hydraPlatforms = lib.platforms.none; mainProgram = "board-games"; maintainers = [ lib.maintainers.thielema ]; }) {}; @@ -47822,6 +51490,7 @@ self: { license = lib.licenses.gpl3Plus; hydraPlatforms = lib.platforms.none; mainProgram = "boilerplate"; + broken = true; }) {}; "bolt" = callPackage @@ -47996,8 +51665,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Simple Parser Combinators"; license = "LGPL"; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "bookhound-format" = callPackage @@ -48010,6 +51677,7 @@ self: { description = "Parsers for usual data formats"; license = "LGPL"; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "bookkeeper" = callPackage @@ -48185,8 +51853,6 @@ self: { libraryHaskellDepends = [ base extra parsec ]; description = "Boolean expressions with various representations and search queries"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "bools" = callPackage @@ -48285,6 +51951,25 @@ self: { mainProgram = "boomslang"; }) {}; + "boomwhacker" = callPackage + ({ mkDerivation, array, base, containers, event-list, filepath + , HPDF, midi, optparse-applicative, utility-ht + }: + mkDerivation { + pname = "boomwhacker"; + version = "0.0"; + sha256 = "0755v8315g03fhhh9a12jnczdapz6gs1my4gvz1y9arbyc3xngym"; + isLibrary = false; + isExecutable = true; + executableHaskellDepends = [ + array base containers event-list filepath HPDF midi + optparse-applicative utility-ht + ]; + description = "Convert MIDI file to play-along boomwhacker animation"; + license = lib.licenses.bsd3; + mainProgram = "boomwhacker"; + }) {}; + "boop" = callPackage ({ mkDerivation, base, mtl, text }: mkDerivation { @@ -48518,8 +52203,6 @@ self: { ]; description = "Implementation of BORSH serialisation"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "bot" = callPackage @@ -48598,10 +52281,8 @@ self: { }: mkDerivation { pname = "bound"; - version = "2.0.6"; - sha256 = "1mlnpc4x7gn97b8pqiwj3shv23slfylwplp7zr37ar5ff9isbm28"; - revision = "1"; - editedCabalFile = "104j2gindmyqs4hl56irvndz9s9j7s4yyjhlwz3s87r9053sr1p9"; + version = "2.0.7"; + sha256 = "1wqvanfp826s89qr4a1rc9f0ldsj45j1rwy9x6a894vndgrxm8dj"; libraryHaskellDepends = [ base bifunctors binary bytes cereal comonad deepseq hashable mmorph profunctors template-haskell th-abstraction transformers @@ -48768,28 +52449,10 @@ self: { license = lib.licenses.gpl3Only; hydraPlatforms = lib.platforms.none; mainProgram = "bowntz"; - }) {}; - - "box" = callPackage - ({ mkDerivation, async, base, bytestring, containers, contravariant - , dlist, exceptions, kan-extensions, mtl, profunctors - , semigroupoids, stm, text, time - }: - mkDerivation { - pname = "box"; - version = "0.9.1"; - sha256 = "1dd82rf1fpmhgryws67phgpfy4kfy4q1anh5l37g162dgy6kyi0z"; - libraryHaskellDepends = [ - async base bytestring containers contravariant dlist exceptions - kan-extensions mtl profunctors semigroupoids stm text time - ]; - description = "boxes"; - license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; broken = true; }) {}; - "box_0_9_2_0" = callPackage + "box" = callPackage ({ mkDerivation, async, base, bytestring, containers, contravariant , dlist, exceptions, kan-extensions, mtl, profunctors , semigroupoids, stm, text, time @@ -48804,8 +52467,6 @@ self: { ]; description = "A profunctor effect system"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "box-csv" = callPackage @@ -48818,6 +52479,7 @@ self: { description = "CSV parsing in a box"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "box-socket" = callPackage @@ -48837,7 +52499,6 @@ self: { executableHaskellDepends = [ base optparse-generic ]; description = "Box websockets"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "box-socket"; }) {}; @@ -48999,15 +52660,15 @@ self: { }) {}; "brassica" = callPackage - ({ mkDerivation, base, bytestring, conduit, containers, criterion - , deepseq, file-embed, megaparsec, mtl, optparse-applicative - , parser-combinators, split, tasty, tasty-golden, text - , transformers, utf8-string + ({ mkDerivation, aeson, attoparsec-aeson, base, bytestring, conduit + , conduit-extra, containers, criterion, deepseq, file-embed + , megaparsec, mtl, optparse-applicative, parser-combinators, split + , tasty, tasty-golden, text, transformers, utf8-string }: mkDerivation { pname = "brassica"; - version = "0.1.0"; - sha256 = "1hknckbcx5k2iiwv076kkmw9d86v9g8yvz3cp6sxny7yik88h0n0"; + version = "0.1.1"; + sha256 = "0dc3qwyyz94qv1fhpyypcwys48i3zx3137yrh1gx3wby2gf2rzb9"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -49015,7 +52676,8 @@ self: { transformers ]; executableHaskellDepends = [ - base bytestring conduit optparse-applicative text + aeson attoparsec-aeson base bytestring conduit conduit-extra + deepseq optparse-applicative text ]; testHaskellDepends = [ base bytestring conduit tasty tasty-golden text transformers @@ -49234,8 +52896,6 @@ self: { libraryHaskellDepends = [ base brick containers microlens vector ]; description = "Skip a certain kind of items when moving in brick list"; license = lib.licenses.bsd0; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "brick-panes" = callPackage @@ -49311,8 +52971,6 @@ self: { ]; description = "Tabular list widgets for brick"; license = lib.licenses.bsd0; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "bricks" = callPackage @@ -49722,8 +53380,8 @@ self: { pname = "brotli"; version = "0.0.0.1"; sha256 = "0fp8vhqzl6i1vvb4fw4zya6cgkzmj0yaaw94jdf2kggm3gn8zwfc"; - revision = "2"; - editedCabalFile = "1qil5gibl2bgjf1jj54nvj9h5rrajkqwdazgl38z56v3dgsqdvaz"; + revision = "3"; + editedCabalFile = "00n7r8wwn40qbd6qvqzmkdf374nzd703rbsmn1szdwiw0zyp6ipa"; libraryHaskellDepends = [ base bytestring transformers ]; libraryPkgconfigDepends = [ brotli ]; testHaskellDepends = [ @@ -49764,8 +53422,8 @@ self: { pname = "brotli-streams"; version = "0.0.0.0"; sha256 = "14jc1nhm50razsl99d95amdf4njf75dnzx8vqkihgrgp7qisyz3z"; - revision = "7"; - editedCabalFile = "142p3ni8ns9nrq58aavnggpspn8phszpgxwzmalyh34692cr8kd4"; + revision = "8"; + editedCabalFile = "05ri1yv1q2h0zlbcqgk1rpyb7p36zb6asrj6f3w0yyr4s5hsqwww"; libraryHaskellDepends = [ base brotli bytestring io-streams ]; testHaskellDepends = [ base bytestring HUnit io-streams QuickCheck test-framework @@ -50554,8 +54212,6 @@ self: { libraryToolDepends = [ c2hs ]; description = "A wrapper for the Bullet physics engine"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {inherit (pkgs) bullet;}; "bulletproofs" = callPackage @@ -50731,7 +54387,6 @@ self: { description = "Haskell interface to the Bus Pirate binary interface"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "buster" = callPackage @@ -50997,7 +54652,6 @@ self: { ]; description = "a bitvector datatype that is parameterized by the vector width"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "bv-sized-lens" = callPackage @@ -51010,6 +54664,7 @@ self: { description = "Well-typed lenses for bv-sized bitvectors"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "by-other-names" = callPackage @@ -51026,8 +54681,6 @@ self: { testHaskellDepends = [ aeson base doctest tasty tasty-hunit ]; description = "Give aliases to record fields"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "byline" = callPackage @@ -51080,6 +54733,7 @@ self: { ]; description = "Read strings describing a number of bytes like 2Kb and 0.5 MiB"; license = lib.licenses.gpl3Only; + maintainers = [ lib.maintainers.danielrolls ]; }) {}; "byte-order" = callPackage @@ -51133,38 +54787,6 @@ self: { }: mkDerivation { pname = "bytebuild"; - version = "0.3.13.0"; - sha256 = "0qfxsff6823k4fm3vy50fw00f7p85lnc35kkazfn9h8prw2ac3k9"; - revision = "1"; - editedCabalFile = "07w11wgvv1k4w4dsy54s9yq9wi5i1pic8hps067jc8yism1mfqn8"; - libraryHaskellDepends = [ - base byteslice bytestring haskell-src-meta integer-logarithms - natural-arithmetic primitive primitive-offset primitive-unlifted - run-st template-haskell text text-short wide-word zigzag - ]; - testHaskellDepends = [ - base byteslice bytestring natural-arithmetic primitive - primitive-unlifted QuickCheck quickcheck-classes - quickcheck-instances tasty tasty-hunit tasty-quickcheck text - text-short vector wide-word - ]; - benchmarkHaskellDepends = [ - base byteslice gauge natural-arithmetic primitive text-short - ]; - description = "Build byte arrays"; - license = lib.licenses.bsd3; - }) {}; - - "bytebuild_0_3_14_0" = callPackage - ({ mkDerivation, base, byteslice, bytestring, gauge - , haskell-src-meta, integer-logarithms, natural-arithmetic - , primitive, primitive-offset, primitive-unlifted, QuickCheck - , quickcheck-classes, quickcheck-instances, run-st, tasty - , tasty-hunit, tasty-quickcheck, template-haskell, text, text-short - , vector, wide-word, zigzag - }: - mkDerivation { - pname = "bytebuild"; version = "0.3.14.0"; sha256 = "0ql3fyd0l4gm3wbziky8r3bgd97kazpqbmiqwhrxvznf201zkhfy"; libraryHaskellDepends = [ @@ -51183,7 +54805,6 @@ self: { ]; description = "Build byte arrays"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "bytedump" = callPackage @@ -51323,18 +54944,16 @@ self: { "bytes" = callPackage ({ mkDerivation, base, binary, binary-orphans, bytestring, cereal - , containers, hashable, mtl, scientific, text, time, transformers + , containers, hashable, scientific, text, time, transformers , transformers-compat, unordered-containers, void }: mkDerivation { pname = "bytes"; - version = "0.17.2"; - sha256 = "06kqqk19qjhrwdqi6pyd1lwqfnj2sw3b3s49lc5vr2fmv8gg8mdw"; - revision = "2"; - editedCabalFile = "18lgnmvrvg4fgwj6mwds9p708x5vfhsw5v6b1rmdd2x3i0g7z2yf"; + version = "0.17.3"; + sha256 = "1ir9v4gjw7zgm9f55wa3y8wgn4zfpi71pvbsdmjgjk9dh02wy2ni"; libraryHaskellDepends = [ base binary binary-orphans bytestring cereal containers hashable - mtl scientific text time transformers transformers-compat + scientific text time transformers transformers-compat unordered-containers void ]; description = "Sharing code for serialization between binary and cereal"; @@ -51355,20 +54974,18 @@ self: { }) {}; "byteslice" = callPackage - ({ mkDerivation, base, bytestring, gauge, primitive, primitive-addr - , primitive-unlifted, quickcheck-classes, run-st, tasty - , tasty-hunit, tasty-quickcheck, text, text-short, transformers - , tuples, vector + ({ mkDerivation, base, bytestring, gauge, natural-arithmetic + , primitive, primitive-addr, primitive-unlifted, quickcheck-classes + , run-st, tasty, tasty-hunit, tasty-quickcheck, text, text-short + , transformers, tuples, vector }: mkDerivation { pname = "byteslice"; - version = "0.2.10.0"; - sha256 = "12jwivxnq67g7if9ndq7yb3m46kldz2m6ywiyyyjs7p1kidm8hc4"; - revision = "2"; - editedCabalFile = "1k5ssfnwfj6qrp4mllxc3masbk51yvqdlmym1pidzmws4d00scch"; + version = "0.2.11.1"; + sha256 = "0sp96a2qd6n48nndwfzmp6gcz1lvs488sdrf3vz4lnskris2ghaj"; libraryHaskellDepends = [ - base bytestring primitive primitive-addr primitive-unlifted run-st - text text-short tuples vector + base bytestring natural-arithmetic primitive primitive-addr + primitive-unlifted run-st text text-short tuples vector ]; testHaskellDepends = [ base bytestring primitive quickcheck-classes tasty tasty-hunit @@ -51386,10 +55003,10 @@ self: { }: mkDerivation { pname = "bytesmith"; - version = "0.3.9.1"; - sha256 = "10d0wzinc30b2xc26cfadvpn29gf30gnppysyl3n35ym3p9lnhm2"; + version = "0.3.10.0"; + sha256 = "0n0218mrnf6qy7h82apxgpdd868hdbmvn1cghif267xmd0qc3pcp"; revision = "1"; - editedCabalFile = "11pmza7qlk63lw6ns6jsnlmfl8wdazz5sc5b2spb0pk29k9yymp2"; + editedCabalFile = "1lw7ivh995mgpvra2s3klka9n3zc0j8w43mkgi068hlzcj69km0z"; libraryHaskellDepends = [ base byteslice bytestring contiguous primitive run-st text-short wide-word @@ -51405,14 +55022,14 @@ self: { license = lib.licenses.bsd3; }) {}; - "bytestring_0_12_0_0" = callPackage + "bytestring_0_12_0_2" = callPackage ({ mkDerivation, base, deepseq, ghc-prim, QuickCheck, random, tasty , tasty-bench, tasty-quickcheck, template-haskell, transformers }: mkDerivation { pname = "bytestring"; - version = "0.12.0.0"; - sha256 = "0lzyz5bjb8f9m64bs5w196vvmhaydwq9ygfrsl4xx1lmi8lq99b5"; + version = "0.12.0.2"; + sha256 = "0gmsgnpkmgy7a2rjjrfs3r0n3fvr92dm5c8sc6ymc9h6r3xyagx9"; libraryHaskellDepends = [ base deepseq ghc-prim template-haskell ]; testHaskellDepends = [ base deepseq ghc-prim QuickCheck tasty tasty-quickcheck @@ -51436,8 +55053,6 @@ self: { ]; description = "Aeson instances for ByteString, using base 64 encoding"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "bytestring-arbitrary" = callPackage @@ -51986,14 +55601,12 @@ self: { pname = "bzlib"; version = "0.5.1.0"; sha256 = "1n599xwyxghf52kam30k1l2qh8cg91h55c2bgchnqyj103hb7nfy"; - revision = "1"; - editedCabalFile = "0r9b9y5qlz9k8wdzb23jif9wgvxi7r652i9apwzdaq7g1l08i6ky"; + revision = "2"; + editedCabalFile = "0v2ffgv20xq8q85z96qic13pqq13ipjxl0bn9wkzxlcd0axa0zhr"; libraryHaskellDepends = [ base bytestring ]; librarySystemDepends = [ bzip2 ]; description = "Compression and decompression in the bzip2 format"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {inherit (pkgs) bzip2;}; "bzlib-conduit" = callPackage @@ -52189,19 +55802,6 @@ self: { ({ mkDerivation, base, bytestring, libxml2 }: mkDerivation { pname = "c14n"; - version = "0.1.0.2"; - sha256 = "165mayyj2apzv87jqsmpyskl6hr7gpvpg7ypi2vqgi8wda1gawki"; - libraryHaskellDepends = [ base bytestring ]; - librarySystemDepends = [ libxml2 ]; - libraryPkgconfigDepends = [ libxml2 ]; - description = "Bindings to the c14n implementation in libxml"; - license = lib.licenses.mit; - }) {inherit (pkgs) libxml2;}; - - "c14n_0_1_0_3" = callPackage - ({ mkDerivation, base, bytestring, libxml2 }: - mkDerivation { - pname = "c14n"; version = "0.1.0.3"; sha256 = "1az81fzblbp2c811grz4l318p99w1xd1kn0cirf9hfgbgdbrfkx8"; libraryHaskellDepends = [ base bytestring ]; @@ -52209,7 +55809,6 @@ self: { libraryPkgconfigDepends = [ libxml2 ]; description = "Bindings to the c14n implementation in libxml"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {inherit (pkgs) libxml2;}; "c2ats" = callPackage @@ -52367,9 +55966,7 @@ self: { ]; description = "A maintenance command of Haskell cabal packages"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "cab"; - broken = true; }) {}; "cabal" = callPackage @@ -52927,7 +56524,6 @@ self: { description = "Give Haskell development tools access to Cabal project environment"; license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "cabal-hoogle" = callPackage @@ -53345,7 +56941,6 @@ self: { license = lib.licenses.bsd2; hydraPlatforms = lib.platforms.none; mainProgram = "cabal-plan-bounds"; - broken = true; }) {}; "cabal-progdeps" = callPackage @@ -53389,10 +56984,8 @@ self: { }: mkDerivation { pname = "cabal-rpm"; - version = "2.1.1"; - sha256 = "0p75a9q4hjrvbiqq3h7730h1b400z7v5v9kns703d09hr2l0zgg0"; - revision = "1"; - editedCabalFile = "1a4r0h635wzrg27b2mg2lhnsy8d5vrn3ps4bc7qzflx82rxmpvvl"; + version = "2.1.2"; + sha256 = "0593260apchmhkvn48m7fgffi3w668ky0n8fyhbx8557kqv55w6f"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ @@ -55303,7 +58896,6 @@ self: { description = "Monadic capabilities with late binding"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "captcha-2captcha" = callPackage @@ -55725,6 +59317,28 @@ self: { license = lib.licenses.bsd3; }) {}; + "casa-client_0_0_2" = callPackage + ({ mkDerivation, aeson, attoparsec, base, base16-bytestring + , bytestring, casa-types, conduit, conduit-extra, crypton + , exceptions, http-conduit, http-types, memory, network-uri + , resourcet, template-haskell, text, th-lift, unliftio-core + , unordered-containers + }: + mkDerivation { + pname = "casa-client"; + version = "0.0.2"; + sha256 = "0nr6dz6igxkmr4841hppd5zz0vjycfvybr3abqylrssg6vg988p2"; + libraryHaskellDepends = [ + aeson attoparsec base base16-bytestring bytestring casa-types + conduit conduit-extra crypton exceptions http-conduit http-types + memory network-uri resourcet template-haskell text th-lift + unliftio-core unordered-containers + ]; + description = "Client for Casa"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + "casa-types" = callPackage ({ mkDerivation, aeson, attoparsec, base, base16-bytestring , bytestring, hashable, path-pieces, persistent, text @@ -56213,8 +59827,8 @@ self: { pname = "cassava"; version = "0.5.3.0"; sha256 = "1gp954w05bj83z4i6isq2qxi1flqwppsgxxrp1f75mrs8cglbj5l"; - revision = "2"; - editedCabalFile = "16aydwrszzf28s1dwf6bkfi815rbmpzq0z4zid5w91davg8annyv"; + revision = "3"; + editedCabalFile = "192y0bwxyn290rf1zh4snsb2x6nk3siz3rac265cddd087c6zkg8"; configureFlags = [ "-f-bytestring--lt-0_10_4" ]; libraryHaskellDepends = [ array attoparsec base bytestring containers deepseq hashable Only @@ -57457,6 +61071,32 @@ self: { broken = true; }) {}; + "cfg" = callPackage + ({ mkDerivation, base, bytestring, containers, doctest, errors + , free, hedgehog, hspec, hspec-core, hspec-discover + , hspec-expectations, hspec-hedgehog, megaparsec, mtl + , pretty-simple, text, vector + }: + mkDerivation { + pname = "cfg"; + version = "0.0.2.1"; + sha256 = "0fy21jxpr3720r4l6xnsbg2xasyrq94ljjnnk6639q4w8waqqrb2"; + libraryHaskellDepends = [ + base bytestring containers errors free megaparsec mtl pretty-simple + text vector + ]; + testHaskellDepends = [ + base containers doctest free hedgehog hspec hspec-core + hspec-discover hspec-expectations hspec-hedgehog mtl pretty-simple + text + ]; + testToolDepends = [ hspec-discover ]; + description = "Type directed application configuration parsing and accessors"; + license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; + broken = true; + }) {}; + "cfipu" = callPackage ({ mkDerivation, base, bytestring, containers, data-default , dequeue, mtl @@ -57598,8 +61238,6 @@ self: { ]; description = "A library for writing CGI programs"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "cgi-undecidable" = callPackage @@ -57611,7 +61249,6 @@ self: { libraryHaskellDepends = [ base cgi mtl ]; description = "Undecidable instances for the cgi package"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "cgi-utils" = callPackage @@ -57626,6 +61263,7 @@ self: { description = "Simple modular utilities for CGI/FastCGI (sessions, etc.)"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "cgrep" = callPackage @@ -57763,7 +61401,6 @@ self: { license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; mainProgram = "chakra-exe"; - broken = true; }) {}; "chalk" = callPackage @@ -57793,6 +61430,7 @@ self: { ]; description = "Combinators for building and processing 2D images"; license = lib.licenses.bsd3; + badPlatforms = lib.platforms.darwin; hydraPlatforms = lib.platforms.none; mainProgram = "chalkboard-server-1_9_0_16"; broken = true; @@ -57807,6 +61445,7 @@ self: { libraryHaskellDepends = [ array base chalkboard GLUT OpenGL time ]; description = "OpenGL based viewer for chalkboard rendered images"; license = lib.licenses.bsd3; + badPlatforms = lib.platforms.darwin; hydraPlatforms = lib.platforms.none; }) {}; @@ -57994,8 +61633,8 @@ self: { }: mkDerivation { pname = "charset"; - version = "0.3.9"; - sha256 = "12wrphd5j1asb3n6awbph4n695mfmnzk6yzggrp387hx960qfkyb"; + version = "0.3.10"; + sha256 = "1y9571120f428rkif97w4vwjas9x0916qgl9kkm71yxjz7dm2ihy"; libraryHaskellDepends = [ array base bytestring containers unordered-containers ]; @@ -58068,8 +61707,8 @@ self: { }: mkDerivation { pname = "chart-svg"; - version = "0.4.0"; - sha256 = "1nsg5njv2sjg9rk5r0i3hx5p6vh8pa16m1mcgc6bf8cddkmgglip"; + version = "0.4.1.1"; + sha256 = "198b817pq673fsxi24v9llnf90vrpy16j4qlbhij88mknmhx2cr3"; libraryHaskellDepends = [ adjunctions attoparsec base bytestring Color containers cubicbezier flatparse foldl formatn mtl numhask numhask-array numhask-space @@ -58202,7 +61841,6 @@ self: { ]; description = "Polykinded Prelude Kernel"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "chatter" = callPackage @@ -58827,6 +62465,18 @@ self: { license = lib.licenses.publicDomain; }) {}; + "choice_0_2_3" = callPackage + ({ mkDerivation, base }: + mkDerivation { + pname = "choice"; + version = "0.2.3"; + sha256 = "15nls2azmhwi3nnf5rkl954pa0bjgi231ff74hgw8r3nb5axrgrn"; + libraryHaskellDepends = [ base ]; + description = "A solution to boolean blindness"; + license = lib.licenses.publicDomain; + hydraPlatforms = lib.platforms.none; + }) {}; + "choose" = callPackage ({ mkDerivation, base, MonadRandom }: mkDerivation { @@ -59686,7 +63336,6 @@ self: { description = "Circle API client for Haskell"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "circle-packing" = callPackage @@ -59778,8 +63427,6 @@ self: { testHaskellDepends = [ base hspec ]; description = "Make bounded enum types circular"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "circus" = callPackage @@ -60632,8 +64279,8 @@ self: { }: mkDerivation { pname = "classy-prelude"; - version = "1.5.0.2"; - sha256 = "0mxgx4hr5fsdg45wbilhsnryc61yclhf63bh94q88n26gjmjr60h"; + version = "1.5.0.3"; + sha256 = "1cng9s3k2rp5n9y3jvim7xgz69s9bhwnjh16pvnj0rrr0dkk18dk"; libraryHaskellDepends = [ async base basic-prelude bifunctors bytestring chunked-data containers deepseq dlist ghc-prim hashable mono-traversable @@ -60707,6 +64354,8 @@ self: { pname = "clay"; version = "0.14.0"; sha256 = "10dwgvga0xsil20w6l0xr8g1lsxg7rwdfcv4bga818jp49xbmnac"; + revision = "1"; + editedCabalFile = "1jgza1f88j90jgvmyb0b683vlh7c0whpk9r4kzl5b7536wqsf2x5"; libraryHaskellDepends = [ base mtl text ]; testHaskellDepends = [ base hspec hspec-discover mtl text ]; testToolDepends = [ hspec-discover ]; @@ -60821,7 +64470,6 @@ self: { description = "bug tracking plugin for clckwrks"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "clckwrks-plugin-ircbot" = callPackage @@ -60871,7 +64519,6 @@ self: { description = "mailing list plugin for clckwrks"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "clckwrks-plugin-media" = callPackage @@ -60982,7 +64629,6 @@ self: { description = "simple bootstrap based template for clckwrks"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "clckwrks-theme-geo-bootstrap" = callPackage @@ -60996,7 +64642,6 @@ self: { description = "geo bootstrap based template for clckwrks"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "cld2" = callPackage @@ -61052,8 +64697,8 @@ self: { pname = "cleff"; version = "0.3.3.0"; sha256 = "0fnpk28nhafypp7p1ay1760sin9hh06dz23r68gmm93i879ayl9b"; - revision = "3"; - editedCabalFile = "1dampx9zdpj14g6a7xhsyk9xg3zq2chpv0h43jb85pyyh6ig7rb4"; + revision = "4"; + editedCabalFile = "07js52a0fjrbd4w653ix0l5xyxjnm46nj7ca5qvacjz0f1sfkgfj"; libraryHaskellDepends = [ atomic-primops base containers exceptions microlens monad-control primitive template-haskell th-abstraction transformers-base @@ -61107,8 +64752,6 @@ self: { testToolDepends = [ lima ]; description = "Declaratively describe spreadsheets"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "cless" = callPackage @@ -61144,8 +64787,10 @@ self: { }: mkDerivation { pname = "cleveland"; - version = "0.3.2"; - sha256 = "0j9qgc1vjqqf7w17pr3984ziq1f8qc26qq4s6xrb46sdaqixjyk8"; + version = "0.4.0"; + sha256 = "06jswn3plqc9lv2xmb4lhbjg136v49sr7s0kpr23qdlx8g59sxv8"; + revision = "1"; + editedCabalFile = "06l8j1mhyq077dm2hrgp6cbcxkl03yifbbdd7zn14rlzwxbdgjs3"; libraryHaskellDepends = [ aeson base-noprelude constraints containers criterion cryptonite data-default dependent-map directory exceptions file-embed hedgehog @@ -61289,7 +64934,6 @@ self: { description = "Bindings to the git command-line interface"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "cli-nix" = callPackage @@ -61307,7 +64951,6 @@ self: { description = "Bindings to the nix command-line interface"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "cli-setup" = callPackage @@ -61380,32 +65023,6 @@ self: { "clientsession" = callPackage ({ mkDerivation, base, base64-bytestring, bytestring, cereal - , cipher-aes, containers, cprng-aes, crypto-api, crypto-random - , directory, entropy, hspec, HUnit, QuickCheck, setenv, skein - , tagged, transformers - }: - mkDerivation { - pname = "clientsession"; - version = "0.9.1.2"; - sha256 = "0s6h4ykj16mpf7nlw2iqn2ji0p8g1fn5ni0s7yqaili6vv2as5ar"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ - base base64-bytestring bytestring cereal cipher-aes cprng-aes - crypto-api crypto-random directory entropy setenv skein tagged - ]; - executableHaskellDepends = [ base ]; - testHaskellDepends = [ - base bytestring cereal containers hspec HUnit QuickCheck - transformers - ]; - description = "Securely store session data in a client-side cookie"; - license = lib.licenses.mit; - mainProgram = "clientsession-generate"; - }) {}; - - "clientsession_0_9_2_0" = callPackage - ({ mkDerivation, base, base64-bytestring, bytestring, cereal , containers, crypto-api, cryptonite, directory, entropy, hspec , HUnit, QuickCheck, setenv, skein, tagged, transformers }: @@ -61428,7 +65045,6 @@ self: { ]; description = "Securely store session data in a client-side cookie"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; mainProgram = "clientsession-generate"; }) {}; @@ -61679,19 +65295,6 @@ self: { ({ mkDerivation, base, criterion, tasty, tasty-quickcheck }: mkDerivation { pname = "clock"; - version = "0.8.3"; - sha256 = "1l850pf1dxjf3i15wc47d64gzkpzgvw0bq13fd8zvklq9kdyap44"; - libraryHaskellDepends = [ base ]; - testHaskellDepends = [ base tasty tasty-quickcheck ]; - benchmarkHaskellDepends = [ base criterion ]; - description = "High-resolution clock functions: monotonic, realtime, cputime"; - license = lib.licenses.bsd3; - }) {}; - - "clock_0_8_4" = callPackage - ({ mkDerivation, base, criterion, tasty, tasty-quickcheck }: - mkDerivation { - pname = "clock"; version = "0.8.4"; sha256 = "0bnzcx3qmcyvaywzgah9z9cqwbiwib8xbynm9hrmx2kqzs58ksba"; libraryHaskellDepends = [ base ]; @@ -61699,7 +65302,6 @@ self: { benchmarkHaskellDepends = [ base criterion ]; description = "High-resolution clock functions: monotonic, realtime, cputime"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "clock-extras" = callPackage @@ -62032,7 +65634,6 @@ self: { description = "Quasiquoters for inline C# and F#"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "clr-marshal" = callPackage @@ -62157,7 +65758,6 @@ self: { description = "High performance clustering algorithms"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "clustertools" = callPackage @@ -62694,8 +66294,6 @@ self: { libraryHaskellDepends = [ base co-log-core stm ]; description = "Asynchronous backend for co-log library"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "co-log-core" = callPackage @@ -62725,8 +66323,6 @@ self: { ]; description = "Structured messages support in co-log ecosystem"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "co-log-polysemy" = callPackage @@ -63220,10 +66816,8 @@ self: { ({ mkDerivation, base, profunctors }: mkDerivation { pname = "coercible-subtypes"; - version = "0.3.0.0"; - sha256 = "14swbn5509wb46iwgp2lj8hqi3ca82jacgq028cmwz35zsc1zjds"; - revision = "1"; - editedCabalFile = "0b60xy5pcfkys6a2q6ykzva9ld1gsq09j3chnq9vab9bxx4r92dh"; + version = "0.3.0.1"; + sha256 = "1mji6jlgc2lk6lczn10c2rchm10h2my3gs9p3r9yd6ij8ixki4sx"; libraryHaskellDepends = [ base profunctors ]; description = "Coercible but only in one direction"; license = lib.licenses.bsd3; @@ -63979,6 +67573,8 @@ self: { pname = "colourista"; version = "0.1.0.2"; sha256 = "0g06116kjg9pbp0l7n33agqbks3kw5z4rjqyhylha8miah5sxbwn"; + revision = "1"; + editedCabalFile = "0wjngfcnqaibdds17q8r8v8yvbl94qxbjhmill4vi1fzfsiw4yrg"; libraryHaskellDepends = [ ansi-terminal base bytestring text ]; testHaskellDepends = [ base bytestring hspec text ]; description = "Convenient interface for printing colourful messages"; @@ -64195,7 +67791,6 @@ self: { description = "Graphical representations for various combinatorial objects"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "combinator-interactive" = callPackage @@ -64440,8 +68035,6 @@ self: { ]; description = "A format for describing comics"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "comma" = callPackage @@ -64520,9 +68113,7 @@ self: { testHaskellDepends = [ base commandert text unordered-containers ]; description = "A command line argument/option parser library"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; mainProgram = "task-manager"; - broken = true; }) {}; "commandert" = callPackage @@ -65134,8 +68725,6 @@ self: { ]; description = "Compositional Data Types"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "compdata-automata" = callPackage @@ -65151,7 +68740,6 @@ self: { ]; description = "Tree automata on Compositional Data Types"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "compdata-dags" = callPackage @@ -65174,6 +68762,7 @@ self: { description = "Compositional Data Types on DAGs"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "compdata-fixplate" = callPackage @@ -65210,6 +68799,7 @@ self: { description = "Parametric Compositional Data Types"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "compdoc" = callPackage @@ -65229,7 +68819,6 @@ self: { ]; description = "Parse a Pandoc to a composite value"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "compdoc-dhall-decoder" = callPackage @@ -65248,7 +68837,6 @@ self: { ]; description = "Allows you to write FromDhall instances for Compdoc"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "compendium-client" = callPackage @@ -65278,8 +68866,8 @@ self: { pname = "compensated"; version = "0.8.3"; sha256 = "0xigi4pcw581d8kjbhdjkksyz9bgcgvq0j17br9z1x6a3hw1m39a"; - revision = "3"; - editedCabalFile = "0fccjb5q07w1k3pl6x0jrglvbkfycqfjhbd121h3bxg6y5c3fs40"; + revision = "4"; + editedCabalFile = "101rydn8qixa67fpx2wkliklbiwb76c8hvjqxb08rj01fnj88z5r"; libraryHaskellDepends = [ base bifunctors binary bytes cereal comonad deepseq distributive hashable lens log-domain safecopy semigroupoids semigroups vector @@ -65490,7 +69078,6 @@ self: { ]; description = "JSON for Vinyl records"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "composite-aeson-cofree-list" = callPackage @@ -65506,7 +69093,6 @@ self: { ]; description = "Print a Cofree [] as a JSON value"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "composite-aeson-path" = callPackage @@ -65552,7 +69138,6 @@ self: { ]; description = "MonadThrow behaviour for composite-aeson"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "composite-aeson-writeonly" = callPackage @@ -65567,7 +69152,6 @@ self: { ]; description = "WriteOnly indicators for composite-aeson"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "composite-base" = callPackage @@ -65579,6 +69163,8 @@ self: { pname = "composite-base"; version = "0.8.2.1"; sha256 = "0i2mamh5gz7ay1cm5nkmdbh2lnaph42pfi2aa9jb2baxi0jgxdri"; + revision = "1"; + editedCabalFile = "1fww7f7z583vp7kfrf6xi6y0plpm4jsh3j72xbgarprlz25j1aip"; libraryHaskellDepends = [ base deepseq exceptions lens monad-control mtl profunctors template-haskell text transformers transformers-base unliftio-core @@ -65591,8 +69177,6 @@ self: { ]; description = "Shared utilities for composite-* packages"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "composite-binary" = callPackage @@ -65604,7 +69188,6 @@ self: { libraryHaskellDepends = [ base binary composite-base ]; description = "Orphan binary instances"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "composite-cassava" = callPackage @@ -65642,7 +69225,6 @@ self: { ]; description = "Dhall instances for composite records"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "composite-ekg" = callPackage @@ -65658,6 +69240,7 @@ self: { description = "EKG Metrics for Vinyl records"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "composite-hashable" = callPackage @@ -65669,7 +69252,6 @@ self: { libraryHaskellDepends = [ base composite-base hashable ]; description = "Orphan hashable instances"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "composite-ix" = callPackage @@ -65688,7 +69270,6 @@ self: { ]; description = "Indexing utilities for composite records"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "composite-lens-extra" = callPackage @@ -65700,7 +69281,6 @@ self: { libraryHaskellDepends = [ base composite-base lens vinyl ]; description = "Extra lens functions for composite"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "composite-opaleye" = callPackage @@ -65724,6 +69304,7 @@ self: { description = "Opaleye SQL for Vinyl records"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "composite-swagger" = callPackage @@ -65746,6 +69327,7 @@ self: { description = "Swagger for Vinyl records"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "composite-tuple" = callPackage @@ -65759,7 +69341,6 @@ self: { libraryHaskellDepends = [ base composite-base ]; description = "Tuple functions for composite records"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "composite-xml" = callPackage @@ -65779,7 +69360,6 @@ self: { ]; description = "RecXML Type"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "composite-xstep" = callPackage @@ -65791,7 +69371,6 @@ self: { libraryHaskellDepends = [ base composite-base vinyl ]; description = "ReaderT transformer pattern for higher kinded composite data"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "composition" = callPackage @@ -65936,6 +69515,7 @@ self: { description = "Strategy combinators for compositional data types"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "comptrans" = callPackage @@ -65954,6 +69534,7 @@ self: { description = "Automatically converting ASTs into compositional data types"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "computational-algebra" = callPackage @@ -66342,7 +69923,6 @@ self: { license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; mainProgram = "makecharts"; - broken = true; }) {}; "concurrent-barrier" = callPackage @@ -66579,8 +70159,6 @@ self: { testHaskellDepends = [ base containers ]; description = "A fast concurrent unique identifier supply with a pure API"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "concurrent-utilities" = callPackage @@ -67297,8 +70875,8 @@ self: { pname = "conferer"; version = "1.1.0.0"; sha256 = "1hkdrqxrac1mbzvd29f6ds4cbihdv0j0daai7yc282myv0varh09"; - revision = "3"; - editedCabalFile = "15gs9cv1i9j4qx6vnp32yhcjb5rjaf9g3jsfdzmi5v7i3b1gziwc"; + revision = "4"; + editedCabalFile = "09qw98sy1w80cackq4mncgj4l6faqhh5c49fli3al4sivw1xvr9l"; libraryHaskellDepends = [ base bytestring containers directory filepath text ]; @@ -67308,8 +70886,6 @@ self: { ]; description = "Configuration management library"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "conferer-aeson" = callPackage @@ -67320,8 +70896,8 @@ self: { pname = "conferer-aeson"; version = "1.1.0.2"; sha256 = "07rdal3smq1s14zmsn7g26vc6sqj21rsa2a1vcbrwrfgh9x36jkn"; - revision = "3"; - editedCabalFile = "162knmji5970ccdfbh3vz930ljwl4mahpbdj5si5rj2v3aays9ms"; + revision = "4"; + editedCabalFile = "0g5bkl5lgy4a2cp2vjhwf8w2gwjl3687r2ckcjz7dmnqpgx06zla"; libraryHaskellDepends = [ aeson base bytestring conferer directory text unordered-containers vector @@ -67332,7 +70908,6 @@ self: { ]; description = "conferer's source for reading json files"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "conferer-dhall" = callPackage @@ -67343,8 +70918,8 @@ self: { pname = "conferer-dhall"; version = "1.1.0.0"; sha256 = "0whxxjz5askw1qxcxdn5094bqm2hy3zp49567v57gqikgv6rcnp1"; - revision = "1"; - editedCabalFile = "1bbc5a3iy5fcp7ahxndhfg8v0sk74q0hs00miiv8gqg7f3l5b20j"; + revision = "2"; + editedCabalFile = "1d8136m9mq06drs5k903gr0mm79z4898mgq3grzfl821d9bkcgi1"; libraryHaskellDepends = [ base bytestring conferer conferer-aeson dhall dhall-json directory text @@ -67365,11 +70940,12 @@ self: { pname = "conferer-hedis"; version = "1.1.0.0"; sha256 = "10rk5w3f99ql46yvzg7a0ac59dvpyfhdpv138w0w5ghgz5azcd19"; + revision = "1"; + editedCabalFile = "1idrgx558fcxpa76v1lp9fmja0mdgyvwv614id16kpw1yc32nlw8"; libraryHaskellDepends = [ base conferer hedis text ]; testHaskellDepends = [ base conferer hedis hspec text ]; description = "conferer's FromConfig instances for hedis settings"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "conferer-hspec" = callPackage @@ -67378,6 +70954,8 @@ self: { pname = "conferer-hspec"; version = "1.1.0.0"; sha256 = "0q9v26df3c2rxll6rk2zmmd9yrpqz1j1wdp59qlw2s6c2w7dxq35"; + revision = "1"; + editedCabalFile = "0r03dqr6lw5mkdvsvcikig51m9ycnja217px4dswhbf2y7qga6lh"; libraryHaskellDepends = [ base conferer hspec-core text ]; testHaskellDepends = [ base conferer hspec hspec-core text ]; description = "conferer's FromConfig instances for hspec Config"; @@ -67456,8 +71034,8 @@ self: { pname = "conferer-snap"; version = "1.0.0.0"; sha256 = "15gz77b5jf35hmcnd6kza1wgzpbgk3pcvhi7mp7yk64ybksld98r"; - revision = "1"; - editedCabalFile = "08bji5mw7lzxpx9s1mlim5nfcz7j6828zj75pn670jfip0in4j19"; + revision = "2"; + editedCabalFile = "1xm45qifvkvjxfi6b82qmjbk3hgrqynxjn9vhfcf5q9m5m6w5ffx"; libraryHaskellDepends = [ base conferer snap-core snap-server text ]; @@ -67544,7 +71122,6 @@ self: { ]; description = "conferer's FromConfig instances for warp settings"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "conferer-yaml" = callPackage @@ -67559,7 +71136,6 @@ self: { testHaskellDepends = [ base conferer conferer-aeson hspec yaml ]; description = "Configuration for reading yaml files"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "confetti" = callPackage @@ -67616,7 +71192,6 @@ self: { description = "derive typeclass instances for decoding types from HOCON conf"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "config-ini" = callPackage @@ -68283,8 +71858,6 @@ self: { ]; description = "Constrained clones of the category-theory type classes, using ConstraintKinds"; license = lib.licenses.gpl3Only; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "constrained-category" = callPackage @@ -68442,6 +72015,8 @@ self: { pname = "constraints"; version = "0.13.4"; sha256 = "0d248szyp70k1qlivsimk0j5vz9hdx1alhismry5v35qyinr91j1"; + revision = "1"; + editedCabalFile = "0dpxj110kl9msci5czqlvysp3mi6bhah0p4m6z4qlydngcq3920d"; libraryHaskellDepends = [ base binary deepseq ghc-prim hashable mtl transformers transformers-compat type-equality @@ -69554,7 +73129,6 @@ self: { license = lib.licenses.gpl3Only; hydraPlatforms = lib.platforms.none; mainProgram = "convert-annotation"; - broken = true; }) {}; "convertible" = callPackage @@ -70210,7 +73784,6 @@ self: { description = "Launches CoreNLP and parses the JSON output"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {inherit (pkgs) rocksdb;}; "cornea" = callPackage @@ -70827,8 +74400,6 @@ self: { description = "Binding for the cpuid machine instruction on x86 compatible processors"; license = lib.licenses.gpl2Only; platforms = lib.platforms.x86; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "cpuinfo" = callPackage @@ -71670,7 +75241,7 @@ self: { mainProgram = "criterion-report"; }) {}; - "criterion_1_6_2_0" = callPackage + "criterion_1_6_3_0" = callPackage ({ mkDerivation, aeson, base, base-compat, base-compat-batteries , binary, binary-orphans, bytestring, cassava, code-page , containers, criterion-measurement, deepseq, directory, exceptions @@ -71682,10 +75253,8 @@ self: { }: mkDerivation { pname = "criterion"; - version = "1.6.2.0"; - sha256 = "1yiish22n4x9zh1gl6bf1rnbcimgad87dgkxk663hzc78683q2dm"; - revision = "1"; - editedCabalFile = "164w1p7vnijlmf1cyn5x2i667g3dqf57pf7wwii05av7733wbdns"; + version = "1.6.3.0"; + sha256 = "18lvvsgd9wwffmq00hqspjqk71njaw9ia6j5n930rpvab7i125y3"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; @@ -71850,7 +75419,6 @@ self: { description = "Criu RPC protocol buffer types"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {inherit (pkgs) protobuf;}; "crjdt-haskell" = callPackage @@ -72434,7 +76002,6 @@ self: { testToolDepends = [ tasty-discover ]; description = "Easy-and-safe-to-use high-level cryptography based on Sodium"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "crypto-token" = callPackage @@ -72649,6 +76216,8 @@ self: { pname = "cryptohash-sha512"; version = "0.11.102.0"; sha256 = "0b48qwgyn68rfbq4fh6fmsk1kc07n8qq95217n8gjnlzvsh2395z"; + revision = "1"; + editedCabalFile = "1y9d4f495gb5gdwsmzamgrq9yl16fx1bsaw6pr5236q6yahz0sb1"; libraryHaskellDepends = [ base bytestring ]; testHaskellDepends = [ base base16-bytestring bytestring SHA tasty tasty-hunit @@ -72799,6 +76368,27 @@ self: { hydraPlatforms = lib.platforms.none; }) {}; + "crypton-conduit" = callPackage + ({ mkDerivation, base, bytestring, conduit, conduit-combinators + , conduit-extra, crypton, exceptions, memory, resourcet, tasty + , tasty-hunit, tasty-quickcheck, transformers + }: + mkDerivation { + pname = "crypton-conduit"; + version = "0.2.3"; + sha256 = "1kkizzapdv1lnkijmygpr3jdh2wiszcjhbi9byjzjphinpwlaply"; + libraryHaskellDepends = [ + base bytestring conduit conduit-extra crypton exceptions memory + resourcet transformers + ]; + testHaskellDepends = [ + base bytestring conduit conduit-combinators crypton memory tasty + tasty-hunit tasty-quickcheck + ]; + description = "crypton conduit"; + license = lib.licenses.bsd3; + }) {}; + "crypton-connection" = callPackage ({ mkDerivation, base, basement, bytestring, containers , crypton-x509, crypton-x509-store, crypton-x509-system @@ -73111,7 +76701,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "csg-raycaster"; - broken = true; }) {}; "csound-catalog" = callPackage @@ -73745,8 +77334,8 @@ self: { }: mkDerivation { pname = "cuda"; - version = "0.11.0.0"; - sha256 = "0j35p4i611jkxa3i59gvc7vgbkzx7ckri1fd1n0ryv8akn4gl9m8"; + version = "0.11.0.1"; + sha256 = "0zfxzmixla3cmzkxrb0amndhhfllsw532ys96r9vc00lfrqlsqqb"; isLibrary = true; isExecutable = true; setupHaskellDepends = [ base Cabal directory filepath ]; @@ -74122,7 +77711,6 @@ self: { description = "Fast, Haskell RPC"; license = lib.licenses.publicDomain; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "curryrs" = callPackage @@ -75854,8 +79442,6 @@ self: { benchmarkHaskellDepends = [ base criterion ]; description = "Extensible records and polymorphic variants"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "data-diverse-lens" = callPackage @@ -75873,6 +79459,7 @@ self: { description = "Isos & Lens for Data.Diverse.Many and Prisms for Data.Diverse.Which"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "data-dword" = callPackage @@ -76412,15 +79999,14 @@ self: { description = "A Lens for IxSet"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "data-lens-light" = callPackage ({ mkDerivation, base, mtl, template-haskell }: mkDerivation { pname = "data-lens-light"; - version = "0.1.2.3"; - sha256 = "1xczbmgin315qh9wpl6v2vvnp6hv1irfbfqs7pk034qcpx61fwdl"; + version = "0.1.2.4"; + sha256 = "07gli8w7k2h5v4ih7iq2rlp0xbd7vpqbpp9xvw95n6l7z67qfsyx"; libraryHaskellDepends = [ base mtl template-haskell ]; description = "Simple lenses, minimum dependencies"; license = lib.licenses.mit; @@ -78834,7 +82420,6 @@ self: { testHaskellDepends = [ base mwc-probability ]; description = "DIY Markov Chains"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "decode-utf8" = callPackage @@ -78998,12 +82583,12 @@ self: { mainProgram = "deeplearning_demonstration"; }) {}; - "deepseq_1_4_8_1" = callPackage + "deepseq_1_5_0_0" = callPackage ({ mkDerivation, array, base, ghc-prim }: mkDerivation { pname = "deepseq"; - version = "1.4.8.1"; - sha256 = "0p8nmji6r9171mrmnnsm1x396pz6q0vks0afy475vny73i1rx1a7"; + version = "1.5.0.0"; + sha256 = "12w6zflnkgn757psx1ips3fh3yxscil5x0jil6gir3dj9279mwd0"; libraryHaskellDepends = [ array base ghc-prim ]; testHaskellDepends = [ array base ghc-prim ]; description = "Deep evaluation of data structures"; @@ -79646,7 +83231,6 @@ self: { description = "A dynamic environment for dependency injection"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "dep-t-value" = callPackage @@ -79669,7 +83253,6 @@ self: { description = "Pair resources files with types within your program"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "dependency" = callPackage @@ -79817,6 +83400,8 @@ self: { pname = "dependent-sum"; version = "0.4"; sha256 = "07hs9s78wiybwjwkal2yq65hdavq0gg1h2ld7wbph61s2nsfrpm8"; + revision = "1"; + editedCabalFile = "01l176qjk728kyjbmwanjw2avx14bkrr34ncs5daxrifw6wq00w7"; libraryHaskellDepends = [ base ]; description = "Dependent sum type"; license = lib.licenses.publicDomain; @@ -79868,14 +83453,14 @@ self: { license = lib.licenses.publicDomain; }) {}; - "dependent-sum-template_0_1_2_0" = callPackage + "dependent-sum-template_0_2_0_0" = callPackage ({ mkDerivation, base, constraints-extras, containers, mtl, some , template-haskell, th-abstraction }: mkDerivation { pname = "dependent-sum-template"; - version = "0.1.2.0"; - sha256 = "1xi8qpi16z06flj3pdy7fhiyrr0wlrh9kxrsj3glw0bwq2b1hyp1"; + version = "0.2.0.0"; + sha256 = "10as7ywsm83xaz6glxqpghla1zsqxqy980i1rdiiia1k3j1jsqy9"; libraryHaskellDepends = [ base containers mtl some template-haskell th-abstraction ]; @@ -80239,6 +83824,8 @@ self: { pname = "deriving-aeson"; version = "0.2.9"; sha256 = "0cqq4ri9dgqkdh9wybf3wf5zxb9nihql591bk1lacnzdyxfrgcn0"; + revision = "1"; + editedCabalFile = "14iqkk7vs0lb0sgq159z0xw95pa87r60i1f4m17gfh2gbbddcywm"; libraryHaskellDepends = [ aeson base ]; testHaskellDepends = [ aeson base bytestring ]; description = "Type driven generic aeson instance customisation"; @@ -80253,8 +83840,8 @@ self: { }: mkDerivation { pname = "deriving-compat"; - version = "0.6.3"; - sha256 = "0ak9csg3843wppjgdh2lvfhszdxgahscn4sbmxs2l0dr5l0rggxi"; + version = "0.6.5"; + sha256 = "1wrjapgp6sy2h8jql05ryyzmiadlkfy5qw1brka466j6ag7jwha7"; libraryHaskellDepends = [ base containers ghc-boot-th ghc-prim template-haskell th-abstraction transformers transformers-compat @@ -80283,7 +83870,6 @@ self: { description = "DerivingVia for OpenAPI 3"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "deriving-show-simple" = callPackage @@ -80313,8 +83899,6 @@ self: { ]; description = "Derive instances for monad transformer stacks"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "deriving-trans_0_9_1_0" = callPackage @@ -80334,7 +83918,6 @@ self: { description = "Derive instances for monad transformer stacks"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "derivingvia-extras" = callPackage @@ -80475,8 +84058,6 @@ self: { ]; description = "Self-describing consumers/parsers; forms, cmd-line args, JSON, etc"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "desert" = callPackage @@ -81541,6 +85122,7 @@ self: { description = "Convert recursive ADTs from and to Dhall"; license = lib.licenses.cc0; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "dhall-secret" = callPackage @@ -81990,8 +85572,6 @@ self: { ]; description = "hint-based build service for the diagrams graphics EDSL"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "diagrams-cairo" = callPackage @@ -82004,6 +85584,8 @@ self: { pname = "diagrams-cairo"; version = "1.4.2.1"; sha256 = "0fqma8m4xrqha079aqqynk23y252x47xfzvb0gss4bvgdmwa0lzc"; + revision = "1"; + editedCabalFile = "11a0y68w0gnp7vfbqzh4wnmi514axx6zpcih5byrbanx4w5b0qhh"; libraryHaskellDepends = [ array base bytestring cairo colour containers data-default-class diagrams-core diagrams-lib filepath hashable JuicyPixels lens mtl @@ -82156,7 +85738,6 @@ self: { ]; description = "Preprocessor for embedding diagrams in Haddock documentation"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "diagrams-haddock"; }) {}; @@ -82195,7 +85776,6 @@ self: { ]; description = "HTML5 canvas backend for diagrams drawing EDSL"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "diagrams-input" = callPackage @@ -82235,8 +85815,8 @@ self: { pname = "diagrams-lib"; version = "1.4.6"; sha256 = "1a0wl8lspwkby5x45j1wa1xq27bs67x5vwj8jzavym56a3f2pjcb"; - revision = "1"; - editedCabalFile = "1ph3rcxlanyahgszsw0zqqx799i9samw7pyrard24rxs0xp3981n"; + revision = "2"; + editedCabalFile = "0dxs255ryr1wl3b1ba797290xg0qf22j2n89047ispzsk0n6scjb"; libraryHaskellDepends = [ active adjunctions array base bytestring cereal colour containers data-default-class diagrams-core diagrams-solve directory @@ -82277,7 +85857,6 @@ self: { ]; description = "A Pandoc filter to express diagrams inline using the Haskell EDSL _Diagrams_"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "diagrams-pandoc"; }) {}; @@ -82878,7 +86457,6 @@ self: { license = lib.licenses.gpl3Only; hydraPlatforms = lib.platforms.none; mainProgram = "differential"; - broken = true; }) {}; "diffmap" = callPackage @@ -83007,7 +86585,6 @@ self: { description = "Speed up form designing using digestive functors and foundation"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "digestive-functors" = callPackage @@ -83101,7 +86678,6 @@ self: { description = "Heist frontend for the digestive-functors library"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "digestive-functors-hsp" = callPackage @@ -83234,8 +86810,6 @@ self: { testHaskellDepends = [ base fgl hashable massiv QuickCheck ]; description = "Directed Graphs"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "dihaa" = callPackage @@ -83425,8 +86999,8 @@ self: { }: mkDerivation { pname = "diohsc"; - version = "0.1.14.2"; - sha256 = "08ckfq19xysyr2kah3yccxzld189gwp0g50za7xmxx94glxkwdas"; + version = "0.1.14.5"; + sha256 = "1xfn2n2mzxs9r2p7gprqv8r1rmpvafjlc2hww48c87ldlfknw0yq"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ @@ -83659,6 +87233,8 @@ self: { pname = "directory"; version = "1.3.7.1"; sha256 = "1z8frwbr0kdk47x3xasq7ifzcrwl7ryh1aqgf202xv4cakb8a9yw"; + revision = "1"; + editedCabalFile = "1ibdacpflpg4drd1vbrk15lzj5aqdhabncy4v5lvs0xkgw9by5kx"; libraryHaskellDepends = [ base filepath time unix ]; testHaskellDepends = [ base filepath time unix ]; description = "Platform-agnostic library for filesystem operations"; @@ -83980,28 +87556,26 @@ self: { "discord-haskell" = callPackage ({ mkDerivation, aeson, async, base, base64-bytestring, bytestring - , containers, data-default, emoji, http-api-data, http-client + , containers, data-default, emojis, http-api-data, http-client , iso8601-time, MonadRandom, mtl, network, req, safe-exceptions , scientific, text, time, unliftio, unordered-containers , websockets, wuss }: mkDerivation { pname = "discord-haskell"; - version = "1.15.5"; - sha256 = "17i4bnpg629lk0azvgh7cj41s3xv572yjf2xb94s6i89fl8vjlcz"; + version = "1.15.6"; + sha256 = "02vf4a9pz67s0l5y6il14vqsicifzj88ak8nw2sa758gccyjj6mv"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ aeson async base base64-bytestring bytestring containers - data-default emoji http-api-data http-client iso8601-time + data-default emojis http-api-data http-client iso8601-time MonadRandom mtl network req safe-exceptions scientific text time unliftio unordered-containers websockets wuss ]; executableHaskellDepends = [ base bytestring text unliftio ]; description = "Write bots for Discord in Haskell"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "discord-haskell-voice" = callPackage @@ -84080,7 +87654,6 @@ self: { license = lib.licenses.mpl20; hydraPlatforms = lib.platforms.none; mainProgram = "discord-register-exe"; - broken = true; }) {}; "discord-rest" = callPackage @@ -84379,7 +87952,6 @@ self: { libraryHaskellDepends = [ base ghcjs-base-stub stm ]; description = "Allows storing different resource-releasing actions together"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "dist-upload" = callPackage @@ -85283,32 +88855,6 @@ self: { }) {}; "dl-fedora" = callPackage - ({ mkDerivation, base, bytestring, directory, extra, filepath - , http-client, http-client-tls, http-directory, http-types - , optparse-applicative, regex-posix, simple-cmd, simple-cmd-args - , text, time, unix, xdg-userdirs - }: - mkDerivation { - pname = "dl-fedora"; - version = "0.9.5"; - sha256 = "105vy7bnwbvp6pv8p1lk96qp1asck5wk3677l56snxyqds5qfx0i"; - revision = "1"; - editedCabalFile = "1fwlb1lp4bxxr78rnkgb110xvl1v6c1ndadjn8hd7c9pcj6vr429"; - isLibrary = false; - isExecutable = true; - executableHaskellDepends = [ - base bytestring directory extra filepath http-client - http-client-tls http-directory http-types optparse-applicative - regex-posix simple-cmd simple-cmd-args text time unix xdg-userdirs - ]; - testHaskellDepends = [ base simple-cmd ]; - description = "Fedora image download tool"; - license = lib.licenses.gpl3Only; - hydraPlatforms = lib.platforms.none; - mainProgram = "dl-fedora"; - }) {}; - - "dl-fedora_0_9_5_1" = callPackage ({ mkDerivation, ansi-wl-pprint, base, bytestring, directory, extra , filepath, http-client, http-client-tls, http-directory , http-types, optparse-applicative, regex-posix, simple-cmd @@ -86364,8 +89910,8 @@ self: { }: mkDerivation { pname = "doctest-extract"; - version = "0.1.1"; - sha256 = "15hizi4m879c2rahxxl78smpr5iqwry05jma58ldsypmwrlyxniz"; + version = "0.1.1.1"; + sha256 = "025qaisbdvyc5k5b585h8d5c8xm4qyy6r6740czrnq69g6lkksnz"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ @@ -86561,7 +90107,6 @@ self: { license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; mainProgram = "doi"; - broken = true; }) {}; "doldol" = callPackage @@ -86691,7 +90236,6 @@ self: { ]; description = "Codegen helping you define domain models"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "domain-aeson" = callPackage @@ -86714,7 +90258,6 @@ self: { ]; description = "Integration of domain with aeson"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "domain-auth" = callPackage @@ -86751,7 +90294,6 @@ self: { testHaskellDepends = [ cereal cereal-text domain rerebase ]; description = "Integration of domain with cereal"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "domain-core" = callPackage @@ -87098,10 +90640,8 @@ self: { }: mkDerivation { pname = "dotparse"; - version = "0.0.2"; - sha256 = "0585iyxp8ykz55cqkf9ny6iwdf7yg4vws9kpyimp288nvw4jj9la"; - revision = "1"; - editedCabalFile = "1pvj5sf79bjki1zf3hxspvkmp2bnin8fa50n230j9744s99sb4rj"; + version = "0.0.3"; + sha256 = "024g3fkwp5042m8qmmli0y0dsqr9czvqbcjlvf61d6pi92cbm983"; libraryHaskellDepends = [ algebraic-graphs base bytestring chart-svg containers flatparse numhask-space optics-core process-extras string-interpolate @@ -87110,7 +90650,6 @@ self: { description = "dot language parsing and printing"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "double-conversion" = callPackage @@ -88038,7 +91577,6 @@ self: { description = "DSMC library for rarefied gas dynamics"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "dsmc-tools" = callPackage @@ -88320,10 +91858,8 @@ self: { }: mkDerivation { pname = "dublincore-xml-conduit"; - version = "0.1.0.2"; - sha256 = "17jzyj49j88xwsz54higi81a6v8kvb8i338n5416z1ni475qsynl"; - revision = "1"; - editedCabalFile = "1rljgmi4jb6yhigfy394jb64q5f5qx7i1g68pw6zgq9ziz91p321"; + version = "0.1.0.3"; + sha256 = "1di6ilk2kxf7fh1lq488j061y4s1bk4dgm4y54ggixg37w5g23a0"; libraryHaskellDepends = [ base conduit conduit-combinators safe-exceptions text time timerep uri-bytestring xml-conduit xml-types @@ -88416,8 +91952,6 @@ self: { testHaskellDepends = [ base tasty tasty-hunit ]; description = "A computer “algebra” system that knows nothing about algebra, at the core"; license = lib.licenses.gpl3Only; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "dump" = callPackage @@ -89071,7 +92605,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "examples"; - broken = true; }) {}; "dynamic-plot" = callPackage @@ -89598,6 +93131,58 @@ self: { broken = true; }) {}; + "ebird-api" = callPackage + ({ mkDerivation, aeson, attoparsec, attoparsec-iso8601, base + , optics, servant, text, time + }: + mkDerivation { + pname = "ebird-api"; + version = "0.1.0.0"; + sha256 = "1b50rdg3d3cam7g4xiklanamrfxhm8dl8kcg4h5nb4yj5f75dsad"; + libraryHaskellDepends = [ + aeson attoparsec attoparsec-iso8601 base optics servant text time + ]; + description = "A Haskell description of the eBird API"; + license = lib.licenses.mit; + }) {}; + + "ebird-cli" = callPackage + ({ mkDerivation, aeson, aeson-pretty, attoparsec, base, bytestring + , directory, ebird-api, ebird-client, filepath + , optparse-applicative, text + }: + mkDerivation { + pname = "ebird-cli"; + version = "0.1.0.0"; + sha256 = "0h21yfda4hhs0kx05yfr20qwzdhfm5p2h280x3nr2kgj9wq889wi"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + aeson aeson-pretty attoparsec base bytestring directory ebird-api + ebird-client filepath optparse-applicative text + ]; + executableHaskellDepends = [ base ]; + description = "A command-line utility for interacting with the eBird API"; + license = lib.licenses.mit; + mainProgram = "ebird"; + }) {}; + + "ebird-client" = callPackage + ({ mkDerivation, base, data-default, ebird-api, http-client-tls + , optics, servant, servant-client, text + }: + mkDerivation { + pname = "ebird-client"; + version = "0.1.0.0"; + sha256 = "0gvrq86gj3ss74x3vnw9das8m6xzlblhfc25vphgzbywzwcrmvrk"; + libraryHaskellDepends = [ + base data-default ebird-api http-client-tls optics servant + servant-client text + ]; + description = "Client functions for querying the eBird API"; + license = lib.licenses.mit; + }) {}; + "ebml" = callPackage ({ mkDerivation, base, binary, bytestring, containers, split, tasty , tasty-golden, tasty-hunit, text @@ -89695,8 +93280,6 @@ self: { benchmarkHaskellDepends = [ base bytestring criterion random ]; description = "Elliptic Curve Cryptography for Haskell"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "eccrypto-ed25519-bindings" = callPackage @@ -89709,6 +93292,7 @@ self: { description = "provides \"ed25519\" API using \"eccrypto\""; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "ecdsa" = callPackage @@ -89810,7 +93394,6 @@ self: { pretty-simple text time unordered-containers vector ]; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "hectare"; }) {}; @@ -90366,8 +93949,8 @@ self: { pname = "effectful-th"; version = "1.0.0.1"; sha256 = "19xbvfsglm4gsji303zj4f1nhhl4gls78cdbl4yalxm8c4m8iqsf"; - revision = "2"; - editedCabalFile = "15s8yvxf7sbi1vx5ylnrnxc1b694ndmdac0f5bi8v0cg6sjijkzw"; + revision = "3"; + editedCabalFile = "1v0p0rs241xqvqzvcqk3dyx68zyqbdqvfyprc0s4nhxzry8a9ka5"; libraryHaskellDepends = [ base containers effectful-core exceptions template-haskell th-abstraction @@ -90500,7 +94083,6 @@ self: { description = "Simulation interpreter for FLINT policy descriptions"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "egison" = callPackage @@ -90957,8 +94539,8 @@ self: { pname = "ekg-core"; version = "0.1.1.7"; sha256 = "04nv6iwzrb63fs97ixb0amj8p6zhabg3ggbrg4nbkq7xjhmkz0a5"; - revision = "2"; - editedCabalFile = "05h0jz42s1d3bjcvzbp3dv5rvil4ar244mdqdvzbf60z848ppygl"; + revision = "3"; + editedCabalFile = "1z5rhc6cd1jflax3g5c773vghwavid5va6zxmaxhdcs6lj9pgzdj"; libraryHaskellDepends = [ base containers ghc-prim text unordered-containers ]; @@ -91074,6 +94656,7 @@ self: { description = "Easily expose your EKG metrics to Prometheus"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "ekg-push" = callPackage @@ -91313,8 +94896,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Dependently typed elimination functions using singletons"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "eliminators_0_9_3" = callPackage @@ -91335,7 +94916,6 @@ self: { description = "Dependently typed elimination functions using singletons"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "elision" = callPackage @@ -91708,8 +95288,8 @@ self: { }: mkDerivation { pname = "elm-street"; - version = "0.2.0.0"; - sha256 = "1q8gyig2dsqxg2r139z99pnyy57zjzh9rnawxdk3g2wb175vpa2p"; + version = "0.2.1.1"; + sha256 = "0gv4ahpd7ds9yjy33pgqd1gixk36scz2r1h256jiynhgdyl81jlp"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -91722,8 +95302,6 @@ self: { doHaddock = false; description = "Crossing the road between Haskell and Elm"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "elm-syntax" = callPackage @@ -92049,8 +95627,8 @@ self: { }: mkDerivation { pname = "ema"; - version = "0.10.0.0"; - sha256 = "0ayr42l8jwnapr6hkawjv7x9xx2b6dm4bhj2f4qy70jg2w1j6cv9"; + version = "0.10.2.0"; + sha256 = "197rdjsxmwxairp09jviy0h51j89n3da291bgfh8wg3xfs618vqh"; enableSeparateDataOutput = true; libraryHaskellDepends = [ aeson async base constraints-extras data-default dependent-sum @@ -92224,17 +95802,17 @@ self: { }) {}; "email-validate" = callPackage - ({ mkDerivation, attoparsec, base, bytestring, doctest, hspec - , QuickCheck, template-haskell + ({ mkDerivation, attoparsec, base, bytestring, hspec, QuickCheck + , template-haskell }: mkDerivation { pname = "email-validate"; - version = "2.3.2.18"; - sha256 = "11bi5y5qmri62nl34nl5pv4zs59bjpjknw560yw5ds62gsi2sjcp"; + version = "2.3.2.19"; + sha256 = "0q535zm58798jz1avz2mnip5rj742l9rqc7isqmbfb1zkfaaqr68"; libraryHaskellDepends = [ attoparsec base bytestring template-haskell ]; - testHaskellDepends = [ base bytestring doctest hspec QuickCheck ]; + testHaskellDepends = [ base bytestring hspec QuickCheck ]; description = "Email address validation"; license = lib.licenses.bsd3; }) {}; @@ -92538,8 +96116,8 @@ self: { ({ mkDerivation, base, containers, HUnit, text }: mkDerivation { pname = "emojis"; - version = "0.1.2"; - sha256 = "09x2xrppwypi369y7rzf3ln2g7c3g9qfckn2gydxpfzglcp9rziw"; + version = "0.1.3"; + sha256 = "1y0sv7hq652xzrqdqdq31v7bcvani7ilj8xqh7cih104s32cczw1"; libraryHaskellDepends = [ base containers text ]; testHaskellDepends = [ base HUnit text ]; description = "Conversion between emoji characters and their names"; @@ -92910,8 +96488,6 @@ self: { ]; description = "Generate an ADT being a subset of another ADT, and the corresponding mappings"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "enum-text" = callPackage @@ -93214,8 +96790,6 @@ self: { ]; description = "Safe helpers for accessing and modifying environment variables"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "env-guard" = callPackage @@ -93494,8 +97068,8 @@ self: { }: mkDerivation { pname = "epub-metadata"; - version = "5.1"; - sha256 = "0xmlw4wpwlgyyms0lwvnnhs8mdwjrrlww3sxhvyrgmn0jz41zczj"; + version = "5.2"; + sha256 = "0gz8qkkphyarwnrccxp0ws87scgx6906ilcx0zs3chw65ai3v9gh"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -94317,8 +97891,8 @@ self: { }: mkDerivation { pname = "esqueleto"; - version = "3.5.10.0"; - sha256 = "0nbb6l4q22y8rwcjsrwqri3ndjn4rslpnglj3nkh00rixdm9jhsr"; + version = "3.5.10.1"; + sha256 = "09lwrvlxr6isfk1b9qkhsg268grbnjw7lqh3fxjpks84yq7ymc2y"; libraryHaskellDepends = [ aeson attoparsec base blaze-html bytestring conduit containers monad-logger persistent resourcet tagged template-haskell text time @@ -94371,6 +97945,31 @@ self: { hydraPlatforms = lib.platforms.none; }) {}; + "esqueleto-textsearch" = callPackage + ({ mkDerivation, base, data-default, esqueleto, hspec, HUnit + , monad-control, monad-logger, parsec, persistent + , persistent-postgresql, persistent-template, QuickCheck, resourcet + , text, transformers + }: + mkDerivation { + pname = "esqueleto-textsearch"; + version = "1.0.0.3"; + sha256 = "1jyyc691pydxhd1xp9bc9jdva09ak2280p40q7cmb9j2bj9bnpfk"; + libraryHaskellDepends = [ + base data-default esqueleto parsec persistent persistent-postgresql + text + ]; + testHaskellDepends = [ + base esqueleto hspec HUnit monad-control monad-logger persistent + persistent-postgresql persistent-template QuickCheck resourcet text + transformers + ]; + description = "PostgreSQL full text search for Esqueleto"; + license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; + broken = true; + }) {}; + "ess" = callPackage ({ mkDerivation, base }: mkDerivation { @@ -95057,7 +98656,6 @@ self: { license = lib.licenses.bsd3; platforms = lib.platforms.linux; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "eve" = callPackage @@ -95608,6 +99206,43 @@ self: { broken = true; }) {}; + "eventstore_1_4_3" = callPackage + ({ mkDerivation, aeson, array, async, base, bifunctors, bytestring + , cereal, clock, containers, crypton-connection, dns + , dotnet-timespan, exceptions, fast-logger, file-embed, hashable + , hspec, http-client, interpolate, lifted-async, lifted-base + , monad-control, monad-logger, mono-traversable, mtl, protobuf + , random, safe, safe-exceptions, semigroups, stm, stm-chans + , streaming, tasty, tasty-hspec, tasty-hunit, text, time + , transformers-base, unordered-containers, uuid, vector + }: + mkDerivation { + pname = "eventstore"; + version = "1.4.3"; + sha256 = "12j6csdm0w6j1arhp4h4s8xwg7brsya3l6rjaz4msr89gk8xn342"; + libraryHaskellDepends = [ + aeson array base bifunctors bytestring cereal clock containers + crypton-connection dns dotnet-timespan exceptions fast-logger + hashable http-client interpolate lifted-async lifted-base + monad-control monad-logger mono-traversable mtl protobuf random + safe safe-exceptions semigroups stm stm-chans streaming text time + transformers-base unordered-containers uuid vector + ]; + testHaskellDepends = [ + aeson async base bytestring cereal containers crypton-connection + dotnet-timespan exceptions fast-logger file-embed hashable hspec + lifted-async lifted-base monad-control mono-traversable protobuf + safe safe-exceptions semigroups stm stm-chans streaming tasty + tasty-hspec tasty-hunit text time transformers-base + unordered-containers uuid vector + ]; + description = "EventStore TCP Client"; + license = lib.licenses.bsd3; + platforms = lib.platforms.x86_64; + hydraPlatforms = lib.platforms.none; + broken = true; + }) {}; + "eventuo11y" = callPackage ({ mkDerivation, base, exceptions, general-allocate, monad-control , mtl, primitive, time, transformers, transformers-base @@ -96355,8 +99990,6 @@ self: { ]; description = "Dependent pairs and their instances"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "exinst-aeson" = callPackage @@ -96376,7 +100009,6 @@ self: { ]; description = "@exinst@ support for @aeson@ package"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "exinst-base" = callPackage @@ -96397,7 +100029,6 @@ self: { ]; description = "@exinst@ support for @base@ package"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "exinst-bytes" = callPackage @@ -96418,7 +100049,6 @@ self: { ]; description = "@exinst@ support for @bytes@ package"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "exinst-cereal" = callPackage @@ -96439,7 +100069,6 @@ self: { ]; description = "@exinst@ support for @cereal@ package"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "exinst-deepseq" = callPackage @@ -96452,6 +100081,7 @@ self: { description = "Derive instances for the `deepseq` library for your existential types"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "exinst-hashable" = callPackage @@ -96466,6 +100096,7 @@ self: { description = "Derive instances for the `hashable` library for your existential types"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "exinst-serialise" = callPackage @@ -96485,7 +100116,6 @@ self: { ]; description = "Dependent pairs and their instances"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "exist" = callPackage @@ -96617,8 +100247,6 @@ self: { benchmarkHaskellDepends = [ base criterion incipit-base ]; description = "Customizable Quasiquote Interpolation"; license = "BSD-2-Clause-Patent"; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "exotic-list-monads" = callPackage @@ -96844,6 +100472,8 @@ self: { pname = "explainable-predicates"; version = "0.1.2.3"; sha256 = "1ch86wb7bz9ydvrbdd2arskaj5pdc2x9vby4pbvnwv1r4d8n40la"; + revision = "1"; + editedCabalFile = "1qc1ys87q05q4mibqncvidb2v6988qk7fikhz52f40l3sbrydrcp"; libraryHaskellDepends = [ array base HUnit mono-traversable QuickCheck regex-tdfa syb template-haskell @@ -97345,7 +100975,6 @@ self: { description = "Operational-based extensible effect library"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "extensible-sp" = callPackage @@ -98046,6 +101675,35 @@ self: { broken = true; }) {}; + "faktory_1_1_2_5" = callPackage + ({ mkDerivation, aeson, aeson-casing, aeson-qq, async, base + , bytestring, crypton-connection, cryptonite, errors, hspec + , markdown-unlit, megaparsec, memory, mtl, network, random + , safe-exceptions, scanner, semigroups, text, time, unix + , unordered-containers + }: + mkDerivation { + pname = "faktory"; + version = "1.1.2.5"; + sha256 = "0ax5hk98k4v8vnhv6rvbjxhynqkzijb5l7dbaxp15mh6yd2y981f"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + aeson aeson-casing base bytestring crypton-connection cryptonite + errors megaparsec memory mtl network random safe-exceptions scanner + semigroups text time unix unordered-containers + ]; + executableHaskellDepends = [ aeson base safe-exceptions ]; + testHaskellDepends = [ + aeson aeson-qq async base hspec markdown-unlit mtl time + ]; + testToolDepends = [ markdown-unlit ]; + description = "Faktory Worker for Haskell"; + license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; + broken = true; + }) {}; + "fallible" = callPackage ({ mkDerivation, base, transformers }: mkDerivation { @@ -98076,7 +101734,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "falling-turnip"; - broken = true; }) {}; "fallingblocks" = callPackage @@ -98244,14 +101901,14 @@ self: { }) {}; "fast-digits" = callPackage - ({ mkDerivation, base, integer-gmp, QuickCheck, smallcheck, tasty + ({ mkDerivation, base, ghc-bignum, QuickCheck, smallcheck, tasty , tasty-bench, tasty-quickcheck, tasty-smallcheck }: mkDerivation { pname = "fast-digits"; - version = "0.3.1.0"; - sha256 = "1q6kq5rrd4ivg4s8yhzqsc3gx4n554nz7285mgfqwxgfx8r4mmki"; - libraryHaskellDepends = [ base integer-gmp ]; + version = "0.3.2.0"; + sha256 = "1kwgqxfsm4y7f8cr4zzbwyd9fk6v10hykgqc271k41zf0h6k0pq8"; + libraryHaskellDepends = [ base ghc-bignum ]; testHaskellDepends = [ base QuickCheck smallcheck tasty tasty-quickcheck tasty-smallcheck ]; @@ -98274,8 +101931,6 @@ self: { ]; description = "Solve classical planning problems (STRIPS/SAS+) using Haskell & Fast Downward"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "fast-logger" = callPackage @@ -98320,6 +101975,18 @@ self: { license = lib.licenses.bsd3; }) {}; + "fast-myers-diff" = callPackage + ({ mkDerivation, base, dlist, hspec, text, vector }: + mkDerivation { + pname = "fast-myers-diff"; + version = "0.0.0"; + sha256 = "0biyv3x889c536dcb9ylglidlpi32v861586yhsjszshmpj38iz3"; + libraryHaskellDepends = [ base dlist text vector ]; + testHaskellDepends = [ base hspec text vector ]; + description = "A fast implementation of the Myers diff algorithm"; + license = lib.licenses.mit; + }) {}; + "fast-nats" = callPackage ({ mkDerivation, base }: mkDerivation { @@ -98448,7 +102115,6 @@ self: { librarySystemDepends = [ fcgi ]; description = "A Haskell library for writing FastCGI programs"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {inherit (pkgs) fcgi;}; "fastedit" = callPackage @@ -98566,8 +102232,6 @@ self: { benchmarkHaskellDepends = [ base bytestring ]; description = "A fast, but bare bones, bytestring parser combinators library"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "fastpbkdf2" = callPackage @@ -99110,6 +102774,7 @@ self: { description = "Server-Side Integration for FCKeditor"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "fclabels" = callPackage @@ -99440,8 +103105,8 @@ self: { pname = "feed"; version = "1.3.2.1"; sha256 = "0marh7qmggq1z5339nid3gil7k786d3yk79b0rwfkxxaxmr41xd8"; - revision = "1"; - editedCabalFile = "032578cqdl7c7ibmbn92z6b72r8yvnwlhxwnr709pzdjc9qd4ghr"; + revision = "2"; + editedCabalFile = "16k73p6csq9spbiwxwkfwzms71if9fyjj4g4zpvpz8psj0n7wcdj"; enableSeparateDataOutput = true; libraryHaskellDepends = [ base base-compat bytestring old-locale old-time safe text time @@ -99697,7 +103362,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "imageutils"; - broken = true; }) {}; "fei-dataiter" = callPackage @@ -99869,7 +103533,6 @@ self: { description = "A functional embedded language for DSP and parallelism"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "feldspar-signal" = callPackage @@ -100066,8 +103729,8 @@ self: { }: mkDerivation { pname = "fficxx"; - version = "0.7.0.0"; - sha256 = "11ppih6vxvw9a5wmb7cni1jyprzbas1xy6i2zzqqxas3ik9i9sin"; + version = "0.7.0.1"; + sha256 = "0n95vdab8hz0g3y6sh6fvs2pngjb6iwjn3nfc5s1m4vj6256578b"; libraryHaskellDepends = [ aeson aeson-pretty array base bytestring Cabal containers data-default directory dotgen errors fficxx-runtime filepath @@ -100080,14 +103743,12 @@ self: { }) {}; "fficxx-runtime" = callPackage - ({ mkDerivation, base, bytestring, hashable, template-haskell }: + ({ mkDerivation, base, bytestring, template-haskell }: mkDerivation { pname = "fficxx-runtime"; - version = "0.7.0.0"; - sha256 = "1igchjkbmdl3skqnf5aqa4zv1bz5kk1wvgrxwvhq2xdshyflvzkk"; - libraryHaskellDepends = [ - base bytestring hashable template-haskell - ]; + version = "0.7.0.1"; + sha256 = "1idqfcg2qazzr477fmjbsga7pac98sx5lia1qxsnbdb15hblwjmy"; + libraryHaskellDepends = [ base bytestring template-haskell ]; description = "Runtime for fficxx-generated library"; license = lib.licenses.bsd2; }) {}; @@ -100633,8 +104294,8 @@ self: { ({ mkDerivation, async, base, process, unix }: mkDerivation { pname = "filelock"; - version = "0.1.1.6"; - sha256 = "122v6nv13rgi7nprdcpz8zc534i85yz6lshx0jx5mfqjam4zcx5g"; + version = "0.1.1.7"; + sha256 = "1041fjqk4i97qxiymm4vlcl27vqajxd8hf12sal7l2sgvxf68dad"; libraryHaskellDepends = [ base unix ]; testHaskellDepends = [ async base process ]; description = "Portable interface to file locking (flock / LockFileEx)"; @@ -101678,6 +105339,31 @@ self: { mainProgram = "fix-whitespace"; }) {}; + "fix-whitespace_0_1" = callPackage + ({ mkDerivation, base, bytestring, directory, extra, filepath + , filepattern, QuickCheck, tasty, tasty-golden, tasty-quickcheck + , text, transformers, yaml + }: + mkDerivation { + pname = "fix-whitespace"; + version = "0.1"; + sha256 = "0ml8ggis3a4hdgl069864bxqqm74bs9yzbyb7imp02g2zywqsr1g"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ base text transformers ]; + executableHaskellDepends = [ + base directory extra filepath filepattern text yaml + ]; + testHaskellDepends = [ + base bytestring filepath QuickCheck tasty tasty-golden + tasty-quickcheck text + ]; + description = "Fixes whitespace issues"; + license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; + mainProgram = "fix-whitespace"; + }) {}; + "fixed" = callPackage ({ mkDerivation, base }: mkDerivation { @@ -102414,6 +106100,32 @@ self: { maintainers = [ lib.maintainers.raehik ]; }) {}; + "flatparse_0_5_0_1" = callPackage + ({ mkDerivation, attoparsec, base, bytestring, containers, gauge + , hspec, HUnit, integer-gmp, megaparsec, parsec, primitive + , QuickCheck, quickcheck-instances, template-haskell, utf8-string + }: + mkDerivation { + pname = "flatparse"; + version = "0.5.0.1"; + sha256 = "08km6zw6p3xhqcbmfgksc6rgws08zj828cnn21zqq3kriq47amvc"; + libraryHaskellDepends = [ + base bytestring containers integer-gmp template-haskell utf8-string + ]; + testHaskellDepends = [ + base bytestring hspec HUnit QuickCheck quickcheck-instances + utf8-string + ]; + benchmarkHaskellDepends = [ + attoparsec base bytestring gauge integer-gmp megaparsec parsec + primitive utf8-string + ]; + description = "High-performance parsing from strict bytestrings"; + license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; + maintainers = [ lib.maintainers.raehik ]; + }) {}; + "flay" = callPackage ({ mkDerivation, base, constraints, tasty, tasty-quickcheck , transformers @@ -102603,7 +106315,6 @@ self: { description = "Flink stateful functions SDK"; license = lib.licenses.mpl20; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "flip-cmd" = callPackage @@ -102757,8 +106468,8 @@ self: { }: mkDerivation { pname = "floskell"; - version = "0.10.7"; - sha256 = "0v52x4h52ack88f98n9yrnybmlmp2fqpsvsn9lbjq2krl2p1n2az"; + version = "0.10.8"; + sha256 = "1mj4c3q9jw161jzzlz7aa9lw58g6a8j9cnk3974n3bbwxbzqrfdq"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; @@ -103417,7 +107128,6 @@ self: { description = "Extras for Fn, a functional web framework"; license = lib.licenses.isc; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "focus" = callPackage @@ -103531,8 +107241,8 @@ self: { pname = "foldable1-classes-compat"; version = "0.1"; sha256 = "04pzjppmb195ffgxdzh8dh39z5dalr5wd6sifcnbg9p1b3rw6myh"; - revision = "2"; - editedCabalFile = "05sdpi0c503xgrgg2cg4922r5ja5154lfwzi9mgcmxncsk02a4l5"; + revision = "3"; + editedCabalFile = "0ggamxlpw70njjb7rc8xxb432ra7drmjnfgazb5zqbb1a32bjjfh"; libraryHaskellDepends = [ base containers ghc-prim tagged transformers ]; @@ -104191,7 +107901,6 @@ self: { license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; mainProgram = "formal"; - broken = true; }) {}; "format" = callPackage @@ -104313,7 +108022,6 @@ self: { license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; mainProgram = "forml"; - broken = true; }) {}; "formlets" = callPackage @@ -104509,9 +108217,7 @@ self: { testToolDepends = [ hspec-discover ]; description = "Common functions and utils for fortran-src"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; mainProgram = "fortran-src-extras"; - broken = true; }) {}; "fortran-vars" = callPackage @@ -104542,6 +108248,7 @@ self: { license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; mainProgram = "fortran-vars"; + broken = true; }) {}; "fortytwo" = callPackage @@ -104809,6 +108516,8 @@ self: { pname = "fourmolu"; version = "0.13.1.0"; sha256 = "05vkqygrmgfgmsd8a4vxq8mq0c1z9cb3hja28aszd6llfv427dm1"; + revision = "1"; + editedCabalFile = "0jqgixycw8cv0q7amx5fs4ml9knql70ghg31br3hjkcy0vb2hi5v"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -104847,8 +108556,6 @@ self: { benchmarkHaskellDepends = [ base tasty-bench ]; description = "IEEE 754-2019 compliant operations"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "fpco-api" = callPackage @@ -104905,8 +108612,6 @@ self: { ]; description = "Format-preserving encryption"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "fpipe" = callPackage @@ -105226,8 +108931,8 @@ self: { }: mkDerivation { pname = "freckle-app"; - version = "1.9.1.1"; - sha256 = "1nzij1lbcclyfq8g9lv21yn6m3d3d0gws27gl7yjvc0il6fljg0a"; + version = "1.9.2.1"; + sha256 = "1rpdfl09v88g5lliilhd5y6rkbq30dz3b899r5yj4cmqi16kbjb5"; libraryHaskellDepends = [ aeson aws-xray-client-persistent aws-xray-client-wai base Blammo bugsnag bytestring case-insensitive cassava conduit conduit-extra @@ -105304,8 +109009,8 @@ self: { pname = "free"; version = "5.2"; sha256 = "12agp68cwwixcwfwnvk2xamg34a2x6ax7s1naxv66chpi5y7z1kj"; - revision = "1"; - editedCabalFile = "0gpz9jlvdnds3988naqxn1dn1hr4zk3md1dhkky4hv0a2b69z7nh"; + revision = "2"; + editedCabalFile = "0gpw6n6pzy12ycwhi47cdzf90lxn94ajkqrvc12yvypzh2k5yaqk"; libraryHaskellDepends = [ base comonad containers distributive exceptions indexed-traversable mtl profunctors semigroupoids template-haskell th-abstraction @@ -105492,8 +109197,6 @@ self: { ]; description = "Automatic generation of free theorems"; license = lib.licenses.publicDomain; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "free-theorems-counterexamples" = callPackage @@ -105514,6 +109217,7 @@ self: { license = lib.licenses.publicDomain; hydraPlatforms = lib.platforms.none; mainProgram = "counterexamples.cgi"; + broken = true; }) {}; "free-theorems-seq" = callPackage @@ -105532,6 +109236,7 @@ self: { description = "Taming Selective Strictness"; license = lib.licenses.publicDomain; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "free-theorems-seq-webui" = callPackage @@ -105572,6 +109277,7 @@ self: { description = "CGI-based web interface for the free-theorems package"; license = lib.licenses.publicDomain; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "free-v-bucks-generator-no-survey" = callPackage @@ -105815,7 +109521,6 @@ self: { description = "Checked runtime exceptions with freer-simple"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "freer-simple-http" = callPackage @@ -105837,7 +109542,6 @@ self: { description = "Make HTTP requests with freer-simple!"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "freer-simple-profiling" = callPackage @@ -105851,7 +109555,6 @@ self: { description = "Automatic profling of freer-simple programs"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "freer-simple-random" = callPackage @@ -105865,7 +109568,6 @@ self: { description = "Random number generators using freer-simple"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "freer-simple-time" = callPackage @@ -105879,7 +109581,6 @@ self: { description = "freer-simple interface to IO based time functions"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "freesect" = callPackage @@ -106101,7 +109802,6 @@ self: { description = "Uses the DevIL C library to read and write images from and to files and memory buffers"; license = lib.licenses.lgpl3Only; hydraPlatforms = lib.platforms.none; - broken = true; }) {inherit (pkgs) libdevil;}; "friday-juicypixels" = callPackage @@ -106135,7 +109835,6 @@ self: { description = "Scale Friday images with DCT"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "friendly" = callPackage @@ -106185,6 +109884,21 @@ self: { license = lib.licenses.bsd3; }) {}; + "from-env" = callPackage + ({ mkDerivation, base, casing, hspec, hspec-discover, text }: + mkDerivation { + pname = "from-env"; + version = "0.1.2.0"; + sha256 = "0xpqzk9vc9nsqimjh35w6xjhgkxxj2arzyj038nxv199045ffn1q"; + libraryHaskellDepends = [ base casing text ]; + testHaskellDepends = [ base hspec ]; + testToolDepends = [ hspec-discover ]; + description = "Provides a generic way to construct values from environment variables"; + license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; + broken = true; + }) {}; + "from-sum" = callPackage ({ mkDerivation, base, doctest, Glob, transformers }: mkDerivation { @@ -106945,7 +110659,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "lambda-cbv"; - broken = true; }) {}; "funcons-simple" = callPackage @@ -107055,7 +110768,6 @@ self: { description = "Combinators that allow for a more functional/monadic style of Arrow programming"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "functional-kmp" = callPackage @@ -107133,8 +110845,6 @@ self: { ]; description = "Tools for functor combinator-based program design"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "functor-combo" = callPackage @@ -107980,6 +111690,8 @@ self: { testHaskellDepends = [ async base hspec ]; description = "Fast Mutable Vars"; license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "fwgl" = callPackage @@ -108053,7 +111765,6 @@ self: { description = "Interface to the FXPak/FXPak Pro USB interface"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "g-npm" = callPackage @@ -108221,7 +111932,6 @@ self: { description = "Galois field library"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "gambler" = callPackage @@ -108345,7 +112055,6 @@ self: { description = "Gamma function and related functions"; license = lib.licenses.publicDomain; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "gang-of-threads" = callPackage @@ -108462,7 +112171,6 @@ self: { description = "Manage PostgreSQL servers with gargoyle and nix"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "garlic-bread" = callPackage @@ -108912,7 +112620,6 @@ self: { description = "Haskell bindings to GEGL library"; license = lib.licenses.lgpl3Only; hydraPlatforms = lib.platforms.none; - broken = true; }) {inherit (pkgs) gegl;}; "gelatin" = callPackage @@ -109082,7 +112789,6 @@ self: { ]; description = "A simple Happstack-style Gemini router"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "gemini-server" = callPackage @@ -109101,8 +112807,6 @@ self: { ]; description = "A lightweight server for the Gemini protocol"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "gemini-textboard" = callPackage @@ -109202,7 +112906,6 @@ self: { description = "A testing framework inspired by QuickCheck and SmallCheck"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "gender" = callPackage @@ -109454,8 +113157,8 @@ self: { ({ mkDerivation, base, text }: mkDerivation { pname = "generic-data-functions"; - version = "0.1.1"; - sha256 = "15qnz56p58vximfd1r1pd2hq5y2npkklinr3mb6r00jp19s9hxmb"; + version = "0.2.0"; + sha256 = "1vpjj61lw0bqngxvsqlljq71b773krwiw80vdff0fy94y1d2arj8"; libraryHaskellDepends = [ base text ]; description = "Familiar functions lifted to generic data types"; license = lib.licenses.mit; @@ -109497,8 +113200,8 @@ self: { }: mkDerivation { pname = "generic-deriving"; - version = "1.14.4"; - sha256 = "0p0rv3z6icjw7f05arq6aqs6bx249544l0h2hvzwxm0yr6r8farp"; + version = "1.14.5"; + sha256 = "0jcl6cdf3pdg57yhf90rhdscszna0znvwhlffdcq3bnzkc2797za"; libraryHaskellDepends = [ base containers ghc-prim template-haskell th-abstraction ]; @@ -109930,7 +113633,6 @@ self: { description = "Marshalling Haskell values to/from XML"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "generic-xmlpickler" = callPackage @@ -110229,8 +113931,6 @@ self: { libraryHaskellDepends = [ base mtl template-haskell ]; description = "Use Template Haskell to generate Uniplate-like functions"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "geniserver" = callPackage @@ -111036,6 +114736,7 @@ self: { description = "High precision conversion between GPS and UK Grid"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "geocalc" = callPackage @@ -111403,6 +115104,7 @@ self: { license = "unknown"; hydraPlatforms = lib.platforms.none; mainProgram = "gf"; + broken = true; }) {}; "ggtsTC" = callPackage @@ -111544,11 +115246,11 @@ self: { pname = "ghc-bignum-orphans"; version = "0.1.1"; sha256 = "1ns129cx6b2di2w66r38cwiirqcpkvwk6xn64hzbm202p73igim4"; + revision = "1"; + editedCabalFile = "0d7zmxnwv8ql6isp5hwwqkb5xxa2nla9fb9rn2zby9dxhb4hlkwy"; libraryHaskellDepends = [ base ghc-bignum ]; description = "Backwards-compatible orphan instances for ghc-bignum"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "ghc-boot_9_6_1" = callPackage @@ -112289,7 +115991,7 @@ self: { hydraPlatforms = lib.platforms.none; }) {}; - "ghc-lib_9_2_7_20230228" = callPackage + "ghc-lib_9_2_8_20230729" = callPackage ({ mkDerivation, alex, array, base, binary, bytestring, containers , deepseq, directory, exceptions, filepath, ghc-lib-parser , ghc-prim, happy, hpc, parsec, pretty, process, rts, time @@ -112297,8 +115999,8 @@ self: { }: mkDerivation { pname = "ghc-lib"; - version = "9.2.7.20230228"; - sha256 = "0z9bgkrvr7v12444cq4mkms5f0p5g3jpm2ni1ra0iqcw014r3w78"; + version = "9.2.8.20230729"; + sha256 = "0jxfbzz7w28jcfpd6x5480ml7zp7pza20mr2zqdvzjdidnxqvlml"; enableSeparateDataOutput = true; libraryHaskellDepends = [ array base binary bytestring containers deepseq directory @@ -112319,8 +116021,8 @@ self: { }: mkDerivation { pname = "ghc-lib"; - version = "9.4.5.20230430"; - sha256 = "1f0jhzz51zwxprvswk9nfs4xd4i3zvh8pd4qd586gjq5j3virpf2"; + version = "9.4.6.20230808"; + sha256 = "1dyz7cby2ap4nii1g32f31hcqlxri880h33vr30sixms5zzy400j"; enableSeparateDataOutput = true; libraryHaskellDepends = [ array base binary bytestring containers deepseq directory @@ -112374,15 +116076,15 @@ self: { hydraPlatforms = lib.platforms.none; }) {}; - "ghc-lib-parser_9_2_7_20230228" = callPackage + "ghc-lib-parser_9_2_8_20230729" = callPackage ({ mkDerivation, alex, array, base, binary, bytestring, containers , deepseq, directory, exceptions, filepath, ghc-prim, happy, parsec , pretty, process, time, transformers, unix }: mkDerivation { pname = "ghc-lib-parser"; - version = "9.2.7.20230228"; - sha256 = "1bny37dny7jv37mpynp3zwdlp8993xikc1c4p6h5f2zwjb7nx2ny"; + version = "9.2.8.20230729"; + sha256 = "0lk6s6ka0yhqph6wlijlyzgj47r5j7cw6mnn02vgvqdvlmrkwkkl"; enableSeparateDataOutput = true; libraryHaskellDepends = [ array base binary bytestring containers deepseq directory @@ -112402,8 +116104,8 @@ self: { }: mkDerivation { pname = "ghc-lib-parser"; - version = "9.4.5.20230430"; - sha256 = "1f4d2mkk04an6i3xr5gi6qb1v5qg1lmwd5sfhl2by3pmy6vl8baw"; + version = "9.4.6.20230808"; + sha256 = "1s3lmmaj9aw1ykccqf7rdfnmsgbcilxavwgqm9xkhpfnzri06mqq"; enableSeparateDataOutput = true; libraryHaskellDepends = [ array base binary bytestring containers deepseq directory @@ -112495,14 +116197,14 @@ self: { license = lib.licenses.bsd3; }) {}; - "ghc-lib-parser-ex_9_6_0_0" = callPackage + "ghc-lib-parser-ex_9_6_0_1" = callPackage ({ mkDerivation, base, bytestring, containers, directory, extra , filepath, ghc-lib-parser, tasty, tasty-hunit, uniplate }: mkDerivation { pname = "ghc-lib-parser-ex"; - version = "9.6.0.0"; - sha256 = "0k84r37xfj9xhx2rl4kxx9imlnwpmxvnf3kadh8ysz3pl4hknnl5"; + version = "9.6.0.1"; + sha256 = "0a9dmn5qhy0h1zxkq03mv6w9a2g1a1difxwvg55ix8ig1r6mbr0g"; libraryHaskellDepends = [ base bytestring containers ghc-lib-parser uniplate ]; @@ -112752,7 +116454,6 @@ self: { description = "Type checker plugins without the type checking"; license = lib.licenses.mpl20; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "ghc-prim_0_10_0" = callPackage @@ -113103,8 +116804,6 @@ self: { ]; description = "CTags and ETags from Haskell syntax tree"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "ghc-tags-pipes" = callPackage @@ -113121,7 +116820,6 @@ self: { ]; description = "Streaming interface for ghc-tags-core"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "ghc-tags-plugin" = callPackage @@ -113131,10 +116829,8 @@ self: { }: mkDerivation { pname = "ghc-tags-plugin"; - version = "0.6.0.0"; - sha256 = "0ir9cbvcc6gmhc6a8sn29qagw08xvsxy7qqab00hjyq2nca8xd4i"; - revision = "2"; - editedCabalFile = "18ic4sc88sgl915v53kzzmib38b4wd3z68ra5flcnrbbk6hgd9hq"; + version = "0.6.0.1"; + sha256 = "0wzk3slkla62n3yywckdcfyl3lizfh9psxxp0vai0699c6396cwq"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -113145,6 +116841,7 @@ self: { description = "A compiler plugin which generates tags file from GHC parsed syntax tree"; license = lib.licenses.mpl20; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "ghc-tcplugin-api" = callPackage @@ -113344,8 +117041,6 @@ self: { libraryHaskellDepends = [ base directory ghc time ]; description = "Dump the ghc flags during compilation"; license = lib.licenses.bsd2; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "ghci_8_10_2" = callPackage @@ -113559,16 +117254,16 @@ self: { , monoid-subclasses, mtl, network-uri, opentelemetry , optparse-applicative, parallel, prettyprinter , prettyprinter-ansi-terminal, QuickCheck, random, regex-tdfa - , safe-exceptions, shake, sorted-list, sqlite-simple, stm - , stm-containers, syb, tasty, tasty-expected-failure, tasty-hunit - , tasty-quickcheck, tasty-rerun, text, text-rope, time + , row-types, safe-exceptions, shake, sorted-list, sqlite-simple + , stm, stm-containers, syb, tasty, tasty-expected-failure + , tasty-hunit, tasty-quickcheck, tasty-rerun, text, text-rope, time , transformers, unix, unliftio, unliftio-core, unordered-containers , vector }: mkDerivation { pname = "ghcide"; - version = "2.0.0.1"; - sha256 = "1yjsrnwriga1zfwygbr1301prijmi9wavniwlincdmf622aglzwj"; + version = "2.1.0.0"; + sha256 = "1886k12lad3gm5848380q6s08hb0fmfhhbsr0azi3n2vcycc1mcy"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -113581,9 +117276,9 @@ self: { hls-plugin-api implicit-hie implicit-hie-cradle lens list-t lsp lsp-types mtl opentelemetry optparse-applicative parallel prettyprinter prettyprinter-ansi-terminal random regex-tdfa - safe-exceptions sorted-list sqlite-simple stm stm-containers syb - text text-rope time transformers unix unliftio unliftio-core - unordered-containers vector + row-types safe-exceptions sorted-list sqlite-simple stm + stm-containers syb text text-rope time transformers unix unliftio + unliftio-core unordered-containers vector ]; executableHaskellDepends = [ base data-default extra gitrev hls-plugin-api lsp lsp-types @@ -113592,10 +117287,10 @@ self: { testHaskellDepends = [ aeson async base containers data-default directory extra filepath fuzzy ghc hls-plugin-api lens list-t lsp lsp-test lsp-types - monoid-subclasses network-uri QuickCheck random regex-tdfa shake - sqlite-simple stm stm-containers tasty tasty-expected-failure - tasty-hunit tasty-quickcheck tasty-rerun text text-rope - unordered-containers + monoid-subclasses mtl network-uri QuickCheck random regex-tdfa + row-types shake sqlite-simple stm stm-containers tasty + tasty-expected-failure tasty-hunit tasty-quickcheck tasty-rerun + text text-rope unordered-containers ]; testToolDepends = [ implicit-hie ]; description = "The core of an IDE"; @@ -113607,20 +117302,20 @@ self: { , data-default, deepseq, directory, extra, filepath, ghcide , ghcide-test-utils, hashable, hls-graph, hls-plugin-api , implicit-hie, lens, lsp-test, lsp-types, optparse-applicative - , parser-combinators, process, safe-exceptions, shake, tasty - , tasty-hunit, tasty-rerun, text + , parser-combinators, process, row-types, safe-exceptions, shake + , tasty, tasty-hunit, tasty-rerun, text }: mkDerivation { pname = "ghcide-bench"; - version = "2.0.0.1"; - sha256 = "10si4phkbds83x8br6cnq0ysp832yshf7k4p4p9a8fi9cmb3lczl"; + version = "2.1.0.0"; + sha256 = "1ka6yfjrdam9jlgmkmirpbqr4yjhm372l7qlfby11cvjcq13bbh0"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ aeson async base binary bytestring deepseq directory extra filepath ghcide ghcide-test-utils hashable lens lsp-test lsp-types - optparse-applicative parser-combinators process safe-exceptions - shake text + optparse-applicative parser-combinators process row-types + safe-exceptions shake text ]; executableHaskellDepends = [ aeson base bytestring containers data-default directory extra @@ -113633,6 +117328,7 @@ self: { testToolDepends = [ ghcide implicit-hie ]; description = "An LSP client for running performance experiments on HLS"; license = lib.licenses.asl20; + hydraPlatforms = lib.platforms.none; mainProgram = "ghcide-bench"; }) {}; @@ -113651,6 +117347,8 @@ self: { ]; description = "Test utils for ghcide"; license = lib.licenses.asl20; + hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "ghcjs-ajax" = callPackage @@ -113690,8 +117388,6 @@ self: { ]; description = "Allow GHCJS projects to compile under GHC and develop using intero"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "ghcjs-codemirror" = callPackage @@ -113798,7 +117494,6 @@ self: { ]; description = "GHCJS bindings for the JavaScript Fetch API"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "ghcjs-hplay" = callPackage @@ -114067,8 +117762,6 @@ self: { description = "Adwaita bindings"; license = lib.licenses.lgpl21Only; badPlatforms = lib.platforms.darwin; - hydraPlatforms = lib.platforms.none; - broken = true; }) {inherit (pkgs) libadwaita;}; "gi-atk" = callPackage @@ -114184,6 +117877,7 @@ self: { description = "clutter GObject bindings"; license = lib.licenses.lgpl21Only; hydraPlatforms = lib.platforms.none; + broken = true; }) {inherit (pkgs) clutter;}; "gi-cogl" = callPackage @@ -114203,8 +117897,6 @@ self: { libraryPkgconfigDepends = [ cogl ]; description = "COGL GObject bindings"; license = lib.licenses.lgpl21Only; - hydraPlatforms = lib.platforms.none; - broken = true; }) {inherit (pkgs) cogl;}; "gi-coglpango" = callPackage @@ -114229,6 +117921,7 @@ self: { description = "Cogl Pango GObject bindings"; license = lib.licenses.lgpl21Only; hydraPlatforms = lib.platforms.none; + broken = true; }) {cogl-pango = null;}; "gi-dbusmenu" = callPackage @@ -114876,8 +118569,7 @@ self: { libraryPkgconfigDepends = [ gtk-layer-shell ]; description = "gtk-layer-shell bindings"; license = lib.licenses.lgpl21Only; - hydraPlatforms = lib.platforms.none; - broken = true; + badPlatforms = lib.platforms.darwin; }) {inherit (pkgs) gtk-layer-shell;}; "gi-gtkosxapplication" = callPackage @@ -114976,8 +118668,6 @@ self: { libraryPkgconfigDepends = [ libhandy ]; description = "libhandy bindings"; license = lib.licenses.lgpl21Only; - hydraPlatforms = lib.platforms.none; - broken = true; }) {inherit (pkgs) libhandy;}; "gi-harfbuzz" = callPackage @@ -115536,8 +119226,8 @@ self: { }: mkDerivation { pname = "ginger"; - version = "0.10.4.0"; - sha256 = "1bl2cmr8sp9vnsvqq5wa0c56yljrhj3s09k2j5gyhp5xsskxa0gp"; + version = "0.10.5.2"; + sha256 = "0nwcppbfxj3nvq3hm9gj8gh076399zkksj2k39nb9zdm8dws79sf"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; @@ -115547,8 +119237,8 @@ self: { transformers unordered-containers utf8-string vector ]; executableHaskellDepends = [ - aeson base bytestring data-default optparse-applicative process - text transformers unordered-containers utf8-string yaml + aeson base bytestring data-default mtl optparse-applicative process + text time transformers unordered-containers utf8-string yaml ]; testHaskellDepends = [ aeson base bytestring data-default mtl tasty tasty-hunit @@ -115750,19 +119440,19 @@ self: { ({ mkDerivation, aeson, ansi-terminal, async, attoparsec, aws, base , blaze-builder, bloomfilter, bup, byteable, bytestring, Cabal , case-insensitive, clientsession, concurrent-output, conduit - , connection, containers, crypto-api, cryptonite, curl - , data-default, DAV, dbus, deepseq, directory, disk-free-space - , dlist, edit-distance, exceptions, fdo-notify, feed, filepath - , filepath-bytestring, free, git, git-lfs, gnupg, hinotify - , http-client, http-client-restricted, http-client-tls - , http-conduit, http-types, IfElse, lsof, magic, memory, microlens - , monad-control, monad-logger, mountpoints, mtl, network - , network-bsd, network-info, network-multicast, network-uri - , old-locale, openssh, optparse-applicative, path-pieces, perl - , persistent, persistent-sqlite, persistent-template, process - , QuickCheck, random, regex-tdfa, resourcet, rsync, SafeSemaphore - , sandi, securemem, shakespeare, socks, split, stm, stm-chans - , tagsoup, tasty, tasty-hunit, tasty-quickcheck, tasty-rerun + , containers, crypto-api, cryptonite, curl, data-default, DAV, dbus + , deepseq, directory, disk-free-space, dlist, edit-distance + , exceptions, fdo-notify, feed, filepath, filepath-bytestring, free + , git, git-lfs, gnupg, hinotify, http-client + , http-client-restricted, http-client-tls, http-conduit, http-types + , IfElse, lsof, magic, memory, microlens, monad-control + , monad-logger, mountpoints, mtl, network, network-bsd + , network-info, network-multicast, network-uri, old-locale, openssh + , optparse-applicative, path-pieces, perl, persistent + , persistent-sqlite, persistent-template, process, QuickCheck + , random, regex-tdfa, resourcet, rsync, SafeSemaphore, sandi + , securemem, shakespeare, socks, split, stm, stm-chans, tagsoup + , tasty, tasty-hunit, tasty-quickcheck, tasty-rerun , template-haskell, text, time, torrent, transformers, unix , unix-compat, unliftio-core, unordered-containers, utf8-string , uuid, vector, wai, wai-extra, warp, warp-tls, wget, which, yesod @@ -115770,8 +119460,8 @@ self: { }: mkDerivation { pname = "git-annex"; - version = "10.20230626"; - sha256 = "1z16alb5193y4m70rq0bcxx1rn6lnlgswigdnv5lqybjq1fw1z99"; + version = "10.20230802"; + sha256 = "05vjc3wg7yxh37pwffc9afjrbmza3yjc8yzy4kcid5fbkpnrrs67"; configureFlags = [ "-fassistant" "-f-benchmark" "-fdbus" "-f-debuglocks" "-fmagicmime" "-fnetworkbsd" "-fpairing" "-fproduction" "-fs3" "-ftorrentparser" @@ -115780,16 +119470,15 @@ self: { isLibrary = false; isExecutable = true; setupHaskellDepends = [ - async base bytestring Cabal data-default directory exceptions - filepath filepath-bytestring IfElse process split time transformers - unix-compat utf8-string + async base bytestring Cabal directory exceptions filepath + filepath-bytestring process split time utf8-string ]; executableHaskellDepends = [ aeson ansi-terminal async attoparsec aws base blaze-builder bloomfilter byteable bytestring case-insensitive clientsession - concurrent-output conduit connection containers crypto-api - cryptonite data-default DAV dbus deepseq directory disk-free-space - dlist edit-distance exceptions fdo-notify feed filepath + concurrent-output conduit containers crypto-api cryptonite + data-default DAV dbus deepseq directory disk-free-space dlist + edit-distance exceptions fdo-notify feed filepath filepath-bytestring free git-lfs hinotify http-client http-client-restricted http-client-tls http-conduit http-types IfElse magic memory microlens monad-control monad-logger @@ -116054,17 +119743,18 @@ self: { "git-mediate" = callPackage ({ mkDerivation, ansi-terminal, base, base-compat, Diff, directory - , filepath, mtl, optparse-applicative, process, unix-compat + , filepath, generic-data, mtl, optparse-applicative, process + , unix-compat }: mkDerivation { pname = "git-mediate"; - version = "1.0.8.1"; - sha256 = "0ry437v01vc3d462zgg0jn113l24lcry5lgdv2y0rmyy6wfq8i7f"; + version = "1.0.9"; + sha256 = "1b9ad7hn9q87zlgg1bpyyvjm2qcss0p90s1v1wpwd55v21psg6i9"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ - ansi-terminal base base-compat Diff directory filepath mtl - optparse-applicative process unix-compat + ansi-terminal base base-compat Diff directory filepath generic-data + mtl optparse-applicative process unix-compat ]; description = "Tool to help resolving git conflicts"; license = lib.licenses.gpl2Only; @@ -116154,8 +119844,8 @@ self: { }: mkDerivation { pname = "git-repair"; - version = "1.20220404"; - sha256 = "1rf0x9biyvmf808p5sq1ym3zdzgz003ravwpzpbnlr55wksxp1fc"; + version = "1.20230814"; + sha256 = "1aymf3aq51lng0scpd5bql3nm9azrnp85mvl44sj2qixawgyvkr1"; isLibrary = false; isExecutable = true; setupHaskellDepends = [ @@ -116353,8 +120043,8 @@ self: { pname = "github"; version = "0.29"; sha256 = "1hki9lvf5vcq980ky98vwc7rh86rgf3z8pvqfgpb6jinc7jylcpx"; - revision = "2"; - editedCabalFile = "1g3b2ppx2n5nxpn00sk6i413w99vmb95sz1v9g3anh9g9x6mgv21"; + revision = "3"; + editedCabalFile = "17dfigfd2p2h8zpvxfnr1y1ghl7a2f89k50rni4ibh1mn6x1j49r"; libraryHaskellDepends = [ aeson base base-compat base16-bytestring binary binary-instances bytestring containers cryptohash-sha1 deepseq deepseq-generics @@ -116425,7 +120115,6 @@ self: { description = "Access to the GitHub API, v3"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "github-post-receive" = callPackage @@ -116480,6 +120169,8 @@ self: { pname = "github-rest"; version = "1.1.4"; sha256 = "156mqc9748ypinwm8xb46b40ysqpgyb5p2jaiyc34jpxd74g3148"; + revision = "1"; + editedCabalFile = "13h1s60mqp198vl7cf2pjhx957mg2jd3zrwdfyj79fp87vfsz0fp"; libraryHaskellDepends = [ aeson base bytestring http-client http-client-tls http-types jwt mtl scientific text time transformers unliftio unliftio-core @@ -117720,6 +121411,23 @@ self: { hydraPlatforms = lib.platforms.none; }) {}; + "gloss-raster-massiv" = callPackage + ({ mkDerivation, base, derive-storable, derive-storable-plugin + , generic-deriving, gloss, gloss-rendering, massiv + }: + mkDerivation { + pname = "gloss-raster-massiv"; + version = "0.1.1.5"; + sha256 = "19smcfb1wq3193m19zaj4i3sfv9k8y7z3npjyrfwv4i3x32gydbq"; + libraryHaskellDepends = [ + base derive-storable derive-storable-plugin generic-deriving gloss + gloss-rendering massiv + ]; + testHaskellDepends = [ base ]; + description = "Massiv-based alternative for gloss-raster"; + license = lib.licenses.bsd3; + }) {}; + "gloss-rendering" = callPackage ({ mkDerivation, base, bmp, bytestring, containers, GLUT, OpenGL }: mkDerivation { @@ -120769,8 +124477,8 @@ self: { pname = "goldplate"; version = "0.2.1.1"; sha256 = "1cisak5ng6v0iq24djyg4jp87diay02m0k2saac49saxmk29jsr6"; - revision = "2"; - editedCabalFile = "1gcdgybp6dgdr46p22cm84i9b1p1p0afil616ni7kqrsb7vgssjy"; + revision = "3"; + editedCabalFile = "17v3xw6jqx74nfzgd4snqgj560i0v822vfvwkp5rq0xn1a8p4nxz"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ @@ -121057,7 +124765,6 @@ self: { description = "Bindings to the Google Maps Static API (formerly Static Maps API)"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "google-translate" = callPackage @@ -122526,8 +126233,6 @@ self: { ]; description = "GraphQL with batteries"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "graphql-utils" = callPackage @@ -122672,8 +126377,8 @@ self: { pname = "graphviz"; version = "2999.20.1.0"; sha256 = "0l0zxgb938hh09qirggbaskq79mgj3s081cnr42y5vm1rp1jir2s"; - revision = "2"; - editedCabalFile = "110yp1h2jrswllnx2ks772g10v9h4vqxc07b33wfaksyim9769bp"; + revision = "3"; + editedCabalFile = "16smnwf63z96myfw6yscxf1gpq3yn15634xh9xjkv4mf0zdl4f82"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -123050,7 +126755,6 @@ self: { license = lib.licenses.bsd3; badPlatforms = lib.platforms.darwin; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "gridbounds" = callPackage @@ -123581,8 +127285,6 @@ self: { libraryHaskellDepends = [ base groups ]; description = "Generically derive Group instances"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "grow-vector" = callPackage @@ -123608,6 +127310,26 @@ self: { broken = true; }) {}; + "growable-vector" = callPackage + ({ mkDerivation, base, contiguous, primitive, primitive-unlifted + , vector + }: + mkDerivation { + pname = "growable-vector"; + version = "0.1"; + sha256 = "03h9rl1h12nvgbav065ixl6r6kmdfkb32bzn23mkrmj5n0aacfh0"; + revision = "1"; + editedCabalFile = "0b9dbnk38sy4w29zhvn1qq0hjk5swwaw1qxs27s6knlvggrqxc9r"; + libraryHaskellDepends = [ + base contiguous primitive primitive-unlifted vector + ]; + testHaskellDepends = [ base contiguous vector ]; + description = "A contiguous growable array type"; + license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; + broken = true; + }) {}; + "growler" = callPackage ({ mkDerivation, aeson, base, blaze-builder, bytestring , case-insensitive, either, http-types, lens, monad-control, mtl @@ -124544,7 +128266,6 @@ self: { description = "Generate simple combinators given their type"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "guid" = callPackage @@ -125060,7 +128781,6 @@ self: { ]; description = "native Haskell implementation of OpenPGP (RFC4880)"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "hPDB" = callPackage @@ -125466,7 +129186,6 @@ self: { libraryHaskellDepends = [ base bytestring cgi containers hack ]; description = "Allows programs written against MonadCGI to run with any hack handler. (deprecated)"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "hack-handler-cgi" = callPackage @@ -125549,6 +129268,7 @@ self: { description = "Hack Happstack server handler"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "hack-handler-hyena" = callPackage @@ -125581,6 +129301,7 @@ self: { description = "Hack Kibro handler"; license = "GPL"; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "hack-handler-simpleserver" = callPackage @@ -125847,6 +129568,7 @@ self: { doHaddock = false; description = "CLI tool for Hackage"; license = lib.licenses.gpl3Plus; + hydraPlatforms = lib.platforms.none; mainProgram = "hackage-cli"; }) {}; @@ -126297,9 +130019,7 @@ self: { doHaddock = false; description = "Hackage and Portage integration tool"; license = lib.licenses.gpl3Plus; - hydraPlatforms = lib.platforms.none; mainProgram = "hackport"; - broken = true; }) {}; "hactor" = callPackage @@ -126571,7 +130291,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Dockerfile Linter JavaScript API"; license = lib.licenses.gpl3Only; - hydraPlatforms = lib.platforms.none; mainProgram = "hadolint"; }) {}; @@ -127087,6 +130806,8 @@ self: { pname = "hakyll"; version = "4.16.0.0"; sha256 = "1cxhi1k2fzxzgx6wvplq90jx7mqdzpnab0qjf763s8ih8bf6zck4"; + revision = "1"; + editedCabalFile = "1lwfhzxg74fqzf5g61bldhwfdh3dhls3mxf02rrd32vgv6c60hk8"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; @@ -127301,8 +131022,8 @@ self: { pname = "hakyll-convert"; version = "0.3.0.4"; sha256 = "09fqr05mvs0qs53psq97kn1s4axinwn1vr5d6af4sqj3zc5k6k39"; - revision = "2"; - editedCabalFile = "04j3f0p71y8hwx92daj31r609xj647r3v5yhxr9whzfn432wj7p1"; + revision = "3"; + editedCabalFile = "1nmcmc4vs4kwb521qvpxirgi1ykg8d6cx76d1v98prmrjnk2i9s5"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -127319,9 +131040,7 @@ self: { ]; description = "Convert from other blog engines to Hakyll"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "hakyll-convert"; - broken = true; }) {}; "hakyll-dhall" = callPackage @@ -127643,8 +131362,6 @@ self: { ]; description = "Additive monoids generalising vector spaces"; license = lib.licenses.gpl3Only; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "halfs" = callPackage @@ -127676,8 +131393,8 @@ self: { ({ mkDerivation, base }: mkDerivation { pname = "halfsplit"; - version = "0.2.0.0"; - sha256 = "1z434f5zw6riqa6b5k0ism80j6wcx6sgvicz6wl9winz0mxzg9gv"; + version = "0.3.0.0"; + sha256 = "0yxi29jai57jgvw67c305lcmnyyh58a8pjz1yvmbmk5q20cmbkdc"; libraryHaskellDepends = [ base ]; description = "A library to provide special kind of two-column terminal output for Phladiprelio"; license = lib.licenses.mit; @@ -127947,7 +131664,6 @@ self: { license = "GPL"; hydraPlatforms = lib.platforms.none; mainProgram = "hamlog"; - broken = true; }) {}; "hamid" = callPackage @@ -128777,7 +132493,6 @@ self: { ]; description = "Happstack extension for use with FastCGI"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "happstack-fay" = callPackage @@ -128858,7 +132573,6 @@ self: { description = "Support for using Heist templates in Happstack"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "happstack-helpers" = callPackage @@ -129213,8 +132927,6 @@ self: { libraryHaskellDepends = [ base Chart Chart-diagrams ]; description = "Generate simple okay-looking bar plots without much effort"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "happy-meta" = callPackage @@ -129393,7 +133105,6 @@ self: { description = "Deep embedding of hardware descriptions with code generation"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "harfbuzz-pure" = callPackage @@ -129413,9 +133124,7 @@ self: { executableHaskellDepends = [ base bytestring parallel text ]; description = "Pure-functional Harfbuzz language bindings"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; mainProgram = "shape-text"; - broken = true; }) {inherit (pkgs) harfbuzz;}; "harg" = callPackage @@ -129434,6 +133143,7 @@ self: { description = "Haskell program configuration using higher kinded data"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "hark" = callPackage @@ -129640,8 +133350,6 @@ self: { ]; description = "Haskell driver for Neo4j 3+ (BOLT protocol)"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "hasbolt-extras" = callPackage @@ -129669,6 +133377,7 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "example"; + broken = true; }) {}; "hascal" = callPackage @@ -129962,10 +133671,8 @@ self: { }: mkDerivation { pname = "hashable"; - version = "1.4.2.0"; - sha256 = "1y73606pcrs7zi6f4f07a5rkhc6620n1bx0adpa6j7xqhbm00h0v"; - revision = "1"; - editedCabalFile = "12nmnmm2kyjalkvmz0l1l895ikc938lwppx8iykxnhamblrr4msq"; + version = "1.4.3.0"; + sha256 = "1xdhg8mn1i3bci7sbw9nx18sxadkz6fw7rfbnw4n4y4i51nb3vrj"; libraryHaskellDepends = [ base bytestring containers deepseq filepath ghc-bignum ghc-prim text @@ -130435,7 +134142,6 @@ self: { license = "unknown"; hydraPlatforms = lib.platforms.none; mainProgram = "haskanoid"; - broken = true; }) {}; "haskarrow" = callPackage @@ -130634,7 +134340,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "haskell-abci-counter"; - broken = true; }) {}; "haskell-admin" = callPackage @@ -130884,8 +134589,8 @@ self: { }: mkDerivation { pname = "haskell-ci"; - version = "0.16.3"; - sha256 = "1f1k64w6hdgfns3a1pa09h4064ihfck2pdwr7fn0yqpynd0q6cq3"; + version = "0.16.6"; + sha256 = "150s3lp49rpg9x3wcqhlcb70afgvxfnfyjwhiwmypqd02xxb29dk"; isLibrary = false; isExecutable = true; libraryHaskellDepends = [ @@ -131451,19 +135156,19 @@ self: { , hls-module-name-plugin, hls-ormolu-plugin , hls-overloaded-record-dot-plugin, hls-plugin-api , hls-pragmas-plugin, hls-qualify-imported-names-plugin - , hls-refactor-plugin, hls-refine-imports-plugin, hls-rename-plugin - , hls-retrie-plugin, hls-splice-plugin, hls-stylish-haskell-plugin - , hls-test-utils, hp2pretty, hspec-expectations, implicit-hie, lens - , lens-aeson, lsp, lsp-test, lsp-types, mtl, optparse-applicative - , optparse-simple, prettyprinter, process, regex-tdfa + , hls-refactor-plugin, hls-rename-plugin, hls-retrie-plugin + , hls-splice-plugin, hls-stylish-haskell-plugin, hls-test-utils + , hp2pretty, hspec-expectations, implicit-hie, lens, lens-aeson + , lsp, lsp-test, lsp-types, mtl, optparse-applicative + , optparse-simple, prettyprinter, process, regex-tdfa, row-types , safe-exceptions, shake, shake-bench, sqlite-simple, stm , temporary, text, transformers, unix, unliftio-core , unordered-containers, yaml }: mkDerivation { pname = "haskell-language-server"; - version = "2.0.0.1"; - sha256 = "1d3cgsr842czd92ay30yf9xm6bm1q6yvi6yjxsmb42mncdgh3wqr"; + version = "2.1.0.0"; + sha256 = "0i8fwc4m0rc5dzs1rp14g20cz2awm2x9pc46rhkcql3x89kn6knf"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -131478,10 +135183,10 @@ self: { hls-hlint-plugin hls-module-name-plugin hls-ormolu-plugin hls-overloaded-record-dot-plugin hls-plugin-api hls-pragmas-plugin hls-qualify-imported-names-plugin hls-refactor-plugin - hls-refine-imports-plugin hls-rename-plugin hls-retrie-plugin - hls-splice-plugin hls-stylish-haskell-plugin lsp - optparse-applicative optparse-simple prettyprinter process - safe-exceptions sqlite-simple text unordered-containers + hls-rename-plugin hls-retrie-plugin hls-splice-plugin + hls-stylish-haskell-plugin lsp optparse-applicative optparse-simple + prettyprinter process safe-exceptions sqlite-simple text + unordered-containers ]; executableHaskellDepends = [ aeson async base base16-bytestring binary bytestring containers @@ -131496,7 +135201,7 @@ self: { aeson base bytestring containers data-default deepseq directory extra filepath ghcide ghcide-test-utils hashable hls-plugin-api hls-refactor-plugin hls-test-utils hspec-expectations lens - lens-aeson lsp-test lsp-types prettyprinter process text + lens-aeson lsp-test lsp-types prettyprinter process row-types text unordered-containers ]; testToolDepends = [ ghcide ]; @@ -132037,7 +135742,6 @@ self: { badPlatforms = lib.platforms.darwin; hydraPlatforms = lib.platforms.none; mainProgram = "haskell-snake"; - broken = true; }) {}; "haskell-spacegoo" = callPackage @@ -133380,7 +137084,6 @@ self: { description = "A transactional, ACID compliant, embeddable key-value store"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "haskey-btree" = callPackage @@ -133632,7 +137335,7 @@ self: { license = lib.licenses.mit; }) {}; - "haskoin-core_0_22_0" = callPackage + "haskoin-core_1_0_2" = callPackage ({ mkDerivation, aeson, array, base, base16, base64, binary, bytes , bytestring, cereal, conduit, containers, cryptonite, deepseq , entropy, hashable, hspec, hspec-discover, HUnit, lens, lens-aeson @@ -133642,8 +137345,8 @@ self: { }: mkDerivation { pname = "haskoin-core"; - version = "0.22.0"; - sha256 = "1a8gzlpx7cgdsdsxxqmp7girm19aliszna08cpkk70jigkv2bmm9"; + version = "1.0.2"; + sha256 = "1abcidb3d18yb4h1p889xi2ffad0swbl0akvshlyvq6jm9gzqavy"; libraryHaskellDepends = [ aeson array base base16 binary bytes bytestring cereal conduit containers cryptonite deepseq entropy hashable hspec memory mtl @@ -133718,7 +137421,7 @@ self: { license = lib.licenses.mit; }) {}; - "haskoin-node_0_19_0" = callPackage + "haskoin-node_1_0_1" = callPackage ({ mkDerivation, base, base64, bytestring, cereal, conduit , conduit-extra, containers, data-default, hashable, haskoin-core , hspec, hspec-discover, HUnit, monad-logger, mtl, network, nqe @@ -133728,8 +137431,8 @@ self: { }: mkDerivation { pname = "haskoin-node"; - version = "0.19.0"; - sha256 = "1r6kr7jysqm9rzmckd51v50q8z2vn5ygl9xxfgr02r4xvs8phvxh"; + version = "1.0.1"; + sha256 = "162lbwqbivcz1fqhfn7g265qinfnai270x6fsk7xp9zysqib2jc4"; libraryHaskellDepends = [ base bytestring cereal conduit conduit-extra containers data-default hashable haskoin-core monad-logger mtl network nqe @@ -133812,8 +137515,8 @@ self: { }: mkDerivation { pname = "haskoin-store"; - version = "0.65.10"; - sha256 = "15zp67vxr8b593pgp989kfrp9cy8nybyyk8k5inqc42q7by1rz1y"; + version = "1.0.1"; + sha256 = "19i4m1hgf5gzlxq3pkq8wcn0z264b701xljzxsaq5zwdp9hvx9iy"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -133880,6 +137583,35 @@ self: { license = lib.licenses.mit; }) {}; + "haskoin-store-data_1_0_1" = callPackage + ({ mkDerivation, aeson, base, binary, bytes, bytestring, cereal + , containers, data-default, deepseq, hashable, haskoin-core, hspec + , hspec-discover, http-client, http-types, lens, mtl, network + , QuickCheck, scotty, string-conversions, text, time + , unordered-containers, vector, wreq + }: + mkDerivation { + pname = "haskoin-store-data"; + version = "1.0.1"; + sha256 = "19jax9bz68qakjrx54fbsqz5h1jiad4cmn3g7xln8q1qaq0pyl08"; + libraryHaskellDepends = [ + aeson base binary bytes bytestring cereal containers data-default + deepseq hashable haskoin-core http-client http-types lens mtl + network scotty string-conversions text time unordered-containers + vector wreq + ]; + testHaskellDepends = [ + aeson base binary bytes bytestring cereal containers data-default + deepseq hashable haskoin-core hspec http-client http-types lens mtl + network QuickCheck scotty string-conversions text time + unordered-containers vector wreq + ]; + testToolDepends = [ hspec-discover ]; + description = "Data for Haskoin Store"; + license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; + }) {}; + "haskoin-util" = callPackage ({ mkDerivation, base, binary, bytestring, containers, either , HUnit, mtl, QuickCheck, test-framework, test-framework-hunit @@ -134157,6 +137889,36 @@ self: { mainProgram = "hasktags"; }) {}; + "hasktags_0_73_0" = callPackage + ({ mkDerivation, base, bytestring, containers, directory, filepath + , HUnit, json, microlens-platform, optparse-applicative + , utf8-string + }: + mkDerivation { + pname = "hasktags"; + version = "0.73.0"; + sha256 = "0w0g50ggdmkpxgwqdwdbizw3cz8q86l1xwhvj3bjczh72q5xa0nh"; + revision = "1"; + editedCabalFile = "1zxfnrrcbkv5if0sk8qq9f7bbhm8b7xcmavvl0ad5j1zhk9nlqy5"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + base bytestring directory filepath json microlens-platform + utf8-string + ]; + executableHaskellDepends = [ + base containers directory filepath optparse-applicative + ]; + testHaskellDepends = [ + base bytestring directory filepath HUnit json microlens-platform + utf8-string + ]; + description = "Produces ctags \"tags\" and etags \"TAGS\" files for Haskell programs"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + mainProgram = "hasktags"; + }) {}; + "hasktorch" = callPackage ({ mkDerivation, backprop, base, dimensions, generic-lens , ghc-typelits-natnormalise, hasktorch-ffi-th, hasktorch-ffi-thc @@ -134745,8 +138507,8 @@ self: { }: mkDerivation { pname = "hasql"; - version = "1.6.3"; - sha256 = "04d4pa248pffqsj032m69wkv11cn0mdfc21qfygk3dcb1yfh0nnj"; + version = "1.6.3.2"; + sha256 = "0b5sawrcbyqin48vfg2nyrk55qdsxpdn4gmdj3mdb30vnrkns9pq"; libraryHaskellDepends = [ aeson attoparsec base bytestring bytestring-strict-builder contravariant dlist hashable hashtables mtl network-ip @@ -134942,8 +138704,6 @@ self: { ]; description = "QuasiQuoter that supports expression interpolation for hasql"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "hasql-listen-notify" = callPackage @@ -135228,7 +138988,6 @@ self: { description = "Stream Hasql queries"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "hasql-streams-example" = callPackage @@ -136113,17 +139872,15 @@ self: { ({ mkDerivation, base, blake3, bytestring, mmap }: mkDerivation { pname = "hb3sum"; - version = "0"; - sha256 = "1kkh92br8aazm90zffx33my8bp7fw9ldsvjw0327fjll08hs8l3x"; + version = "0.0.0.1"; + sha256 = "0z41vkzjwxfqdfh26q5qrvzivjfvkk1wq7lcg511b35mi4f10znw"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ base blake3 bytestring mmap ]; description = "A command line tool to compute BLAKE3 hashes"; license = lib.licenses.agpl3Only; - badPlatforms = [ "aarch64-linux" ]; - hydraPlatforms = lib.platforms.none; + platforms = lib.platforms.x86; mainProgram = "hb3sum"; - broken = true; }) {}; "hback" = callPackage @@ -136954,6 +140711,7 @@ self: { description = "HDF: Uniform Rate Audio Signal Processing in Haskell"; license = "GPL"; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "hdf5" = callPackage @@ -137046,6 +140804,7 @@ self: { description = "Server-side HTTP Digest (RFC2617) in the CGI monad"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "hdirect" = callPackage @@ -137298,9 +141057,7 @@ self: { testToolDepends = [ hspec-discover ]; description = "License Header Manager"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "headroom"; - broken = true; }) {}; "heap" = callPackage @@ -137701,7 +141458,7 @@ self: { maintainers = [ lib.maintainers.maralorn ]; }) {}; - "hedgehog_1_3" = callPackage + "hedgehog_1_4" = callPackage ({ mkDerivation, ansi-terminal, async, barbies, base, bytestring , concurrent-output, containers, deepseq, directory, erf , exceptions, lifted-async, mmorph, monad-control, mtl, pretty-show @@ -137711,8 +141468,8 @@ self: { }: mkDerivation { pname = "hedgehog"; - version = "1.3"; - sha256 = "1lkxmccjghdr7s02gwbzlhhwndpcrgi5a4a3yy2qq73xkcr3nqsg"; + version = "1.4"; + sha256 = "1sz685n2ljriqwfpfy57adbsc6gyrd4x7jmy628803rfalqznjpm"; libraryHaskellDepends = [ ansi-terminal async barbies base bytestring concurrent-output containers deepseq directory erf exceptions lifted-async mmorph @@ -137855,7 +141612,6 @@ self: { description = "Customizable Gen for ADT using Generics"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "hedgehog-gen-json" = callPackage @@ -137945,8 +141701,8 @@ self: { pname = "hedgehog-quickcheck"; version = "0.1.1"; sha256 = "1z2ja63wqz83qhwzh0zs98k502v8fjdpnsnhqk3srypx2nw5vdlp"; - revision = "5"; - editedCabalFile = "0l5fn4z4n80h99baxhsqsq3dqxli9hl0xwjgxbs12kz59w667ml1"; + revision = "6"; + editedCabalFile = "0ymfpbnywq83xbz1rmp8w3piikgvzriczwcvhir125nk50mgp0xz"; libraryHaskellDepends = [ base hedgehog QuickCheck transformers ]; description = "Use QuickCheck generators in Hedgehog and vice versa"; license = lib.licenses.bsd3; @@ -138183,6 +141939,8 @@ self: { pname = "hegg"; version = "0.4.0.0"; sha256 = "1nhxmf90965752skn3wcyjavi2amfxhlyrh60lmslm08w2wk14sk"; + revision = "1"; + editedCabalFile = "11c6ls164lr2bwjk0bbkzy8wiaazi8gd60pqyhf0in376ar2f6z5"; libraryHaskellDepends = [ base containers transformers ]; testHaskellDepends = [ base containers tasty tasty-hunit tasty-quickcheck @@ -138283,6 +142041,8 @@ self: { ]; description = "An Haskell template system supporting both HTML5 and XML"; license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "heist-aeson" = callPackage @@ -138300,7 +142060,6 @@ self: { description = "Use JSON directly from Heist templates"; license = lib.licenses.publicDomain; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "heist-async" = callPackage @@ -138315,7 +142074,6 @@ self: { description = "Adding support for asynchronous updates (\"AJAX\") with heist"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "heist-emanote" = callPackage @@ -138367,8 +142125,8 @@ self: { }: mkDerivation { pname = "heist-extra"; - version = "0.2.0.0"; - sha256 = "0rjlnj6ja7wfck1cpkfl9hh17kn0ghal8g4j8dh2dfzm6bnprqmk"; + version = "0.3.0.0"; + sha256 = "11gswvr4kmrci4xnl2sq0hhfg1mmrx8hnyd8lw74zv5vwhacl00m"; libraryHaskellDepends = [ base data-default filepath heist map-syntax mtl pandoc-types relude xmlhtml @@ -138376,7 +142134,6 @@ self: { description = "Extra heist functionality"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "helf" = callPackage @@ -139103,16 +142860,17 @@ self: { }) {inherit (pkgs) boost; inherit (pkgs) nix;}; "here" = callPackage - ({ mkDerivation, base, haskell-src-meta, mtl, parsec - , template-haskell + ({ mkDerivation, base, bytestring, haskell-src-meta, hspec, mtl + , parsec, template-haskell, text }: mkDerivation { pname = "here"; - version = "1.2.13"; - sha256 = "001wfyvigl2xswqysnpignkl124hybf833875mkcsn8yp8krqvs0"; + version = "1.2.14"; + sha256 = "00gi4dji90z37igymyrwzwxq5d7q5dydb9lhr3iihin8sd83zvda"; libraryHaskellDepends = [ base haskell-src-meta mtl parsec template-haskell ]; + testHaskellDepends = [ base bytestring hspec text ]; description = "Here docs & interpolated strings via quasiquotation"; license = lib.licenses.bsd3; }) {}; @@ -140339,20 +144097,19 @@ self: { }) {}; "hgdal" = callPackage - ({ mkDerivation, base, fficxx, fficxx-runtime, gdal, stdcxx + ({ mkDerivation, base, fficxx-runtime, gdal, stdcxx , template-haskell }: mkDerivation { pname = "hgdal"; - version = "1.0.0.0"; - sha256 = "0zfqa1rgmkch0gj15w9gqavl1lyvyi2i7jsm3n7srnrrhfchxvfb"; + version = "1.0.0.1"; + sha256 = "1p2fr215mfihblzi0ky5w0wm8km0i3rh2z3pr8wjb777nkq09mn5"; libraryHaskellDepends = [ - base fficxx fficxx-runtime stdcxx template-haskell + base fficxx-runtime stdcxx template-haskell ]; libraryPkgconfigDepends = [ gdal ]; description = "Haskell binding to the GDAL library"; - license = lib.licenses.bsd2; - hydraPlatforms = lib.platforms.none; + license = lib.licenses.bsd3; }) {inherit (pkgs) gdal;}; "hgdbmi" = callPackage @@ -140531,7 +144288,6 @@ self: { description = "Reading and Writing ipe7 files"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "hgeometry-svg" = callPackage @@ -140886,7 +144642,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "hi"; - broken = true; }) {}; "hi-file-parser" = callPackage @@ -141365,8 +145120,6 @@ self: { testToolDepends = [ markdown-unlit ]; description = "Partial types as a type constructor"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "highWaterMark" = callPackage @@ -142251,7 +146004,6 @@ self: { description = "Support for reading and writing ipe7 files (http://ipe7.sourceforge.net)"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "hips" = callPackage @@ -142675,7 +146427,6 @@ self: { ]; description = "Haskell/Nix development build tools"; license = "BSD-2-Clause-Patent"; - hydraPlatforms = lib.platforms.none; mainProgram = "hix"; }) {}; @@ -143864,8 +147615,8 @@ self: { }: mkDerivation { pname = "hls-alternate-number-format-plugin"; - version = "2.0.0.1"; - sha256 = "1vszwiy8jgs8a2ggz04kn0d0y59fx6ji110j8pj1z5k40yx1a26a"; + version = "2.1.0.0"; + sha256 = "0zp5y6k5hk9xkc45nz64w550af2a881jbzpl3nwif9w3qx7q0966"; libraryHaskellDepends = [ aeson base containers extra ghc-boot-th ghcide hie-compat hls-graph hls-plugin-api lens lsp mtl regex-tdfa syb text @@ -143893,14 +147644,14 @@ self: { "hls-cabal-fmt-plugin" = callPackage ({ mkDerivation, base, directory, filepath, ghcide, hls-plugin-api - , hls-test-utils, lens, lsp-types, process, text, transformers + , hls-test-utils, lens, lsp-types, mtl, process, text, transformers }: mkDerivation { pname = "hls-cabal-fmt-plugin"; - version = "2.0.0.1"; - sha256 = "1nmwnx2j1cnqsgw3bsdkdw8rp4dnf4fdclsr56viaz2qm4hqjc8k"; + version = "2.1.0.0"; + sha256 = "0qrjflj02y600y8793gwhkz13hgnl8dv1nmgv5ajlr59md0r8gvf"; libraryHaskellDepends = [ - base directory filepath ghcide hls-plugin-api lens lsp-types + base directory filepath ghcide hls-plugin-api lens lsp-types mtl process text transformers ]; testHaskellDepends = [ base directory filepath hls-test-utils ]; @@ -143909,23 +147660,26 @@ self: { }) {}; "hls-cabal-plugin" = callPackage - ({ mkDerivation, base, bytestring, Cabal, deepseq, directory, extra - , filepath, ghcide, hashable, hls-graph, hls-plugin-api - , hls-test-utils, lens, lsp, lsp-types, regex-tdfa, stm - , tasty-hunit, text, unordered-containers + ({ mkDerivation, base, bytestring, Cabal-syntax, containers + , deepseq, directory, extra, filepath, ghcide, hashable, hls-graph + , hls-plugin-api, hls-test-utils, lens, lsp, lsp-types, regex-tdfa + , row-types, stm, tasty-hunit, text, text-rope, transformers + , unordered-containers }: mkDerivation { pname = "hls-cabal-plugin"; - version = "2.0.0.1"; - sha256 = "17zccd6c16xq44al5iaj9zfp0gzjb03bclvyyr739ikh8vjb2j7b"; + version = "2.1.0.0"; + sha256 = "1qv70vj4znn5yswnsr41h313y8p6zx006hzqc7fzk3f2khz079rp"; libraryHaskellDepends = [ - base bytestring Cabal deepseq directory extra ghcide hashable - hls-graph hls-plugin-api lsp lsp-types regex-tdfa stm text + base bytestring Cabal-syntax containers deepseq directory extra + filepath ghcide hashable hls-graph hls-plugin-api lens lsp + lsp-types regex-tdfa stm text text-rope transformers unordered-containers ]; testHaskellDepends = [ - base bytestring filepath ghcide hls-test-utils lens lsp-types - tasty-hunit text + base bytestring Cabal-syntax directory filepath ghcide + hls-test-utils lens lsp lsp-types row-types tasty-hunit text + text-rope transformers ]; description = "Cabal integration plugin with Haskell Language Server"; license = lib.licenses.mit; @@ -143938,8 +147692,8 @@ self: { }: mkDerivation { pname = "hls-call-hierarchy-plugin"; - version = "2.0.0.1"; - sha256 = "1bc3mwvj9k7bnhpf69ikzz206hr4sqp7k57mlqs1bpillai9sk1c"; + version = "2.1.0.0"; + sha256 = "1rlnx62y6271mfka1qp48fhfkv89dz4qbhiz6n7sh9mp5bplkkbh"; libraryHaskellDepends = [ aeson base containers extra ghcide hiedb hls-plugin-api lens lsp sqlite-simple text unordered-containers @@ -143953,16 +147707,16 @@ self: { }) {}; "hls-change-type-signature-plugin" = callPackage - ({ mkDerivation, base, filepath, ghcide, hls-plugin-api + ({ mkDerivation, base, containers, filepath, ghcide, hls-plugin-api , hls-test-utils, lsp, lsp-types, QuickCheck, regex-tdfa, syb, text , transformers, unordered-containers }: mkDerivation { pname = "hls-change-type-signature-plugin"; - version = "2.0.0.1"; - sha256 = "1mjckkjsl8r3mln9lbw4f59fwkss0p8lz9n73nppadrf61x4fkm5"; + version = "2.1.0.0"; + sha256 = "1hv0hdgqxkxs3lad29ikpswal08gvql4bd9qwsq3p94brc59c6nz"; libraryHaskellDepends = [ - base ghcide hls-plugin-api lsp-types regex-tdfa syb text + base containers ghcide hls-plugin-api lsp-types regex-tdfa syb text transformers unordered-containers ]; testHaskellDepends = [ @@ -143975,20 +147729,20 @@ self: { "hls-class-plugin" = callPackage ({ mkDerivation, aeson, base, containers, deepseq, extra, filepath , ghc, ghc-boot-th, ghc-exactprint, ghcide, hls-graph - , hls-plugin-api, hls-test-utils, lens, lsp, lsp-types, text - , transformers + , hls-plugin-api, hls-test-utils, lens, lsp, lsp-types, mtl + , row-types, text, transformers }: mkDerivation { pname = "hls-class-plugin"; - version = "2.0.0.1"; - sha256 = "1hmp4apq1azds6bc2ri7i6q4d6aa52sz0c24pdwnzmb59blvgia4"; + version = "2.1.0.0"; + sha256 = "0m3aprgv1inmj9lq85g1vrngrqvgrq9r39jq2vy4rlm14cqlgjk2"; libraryHaskellDepends = [ aeson base containers deepseq extra ghc ghc-boot-th ghc-exactprint - ghcide hls-graph hls-plugin-api lens lsp text transformers + ghcide hls-graph hls-plugin-api lens lsp mtl text transformers ]; testHaskellDepends = [ aeson base filepath ghcide hls-plugin-api hls-test-utils lens - lsp-types text + lsp-types row-types text ]; description = "Class/instance management plugin for Haskell Language Server"; license = lib.licenses.asl20; @@ -144002,42 +147756,44 @@ self: { }: mkDerivation { pname = "hls-code-range-plugin"; - version = "2.0.0.1"; - sha256 = "19vwzjkl2ihpc2c6dwnfnb6vi0jafbpqwhq24hi61pgiciqvvm7i"; + version = "2.1.0.0"; + sha256 = "1jqh37gd5hqznx4gjvbx0k077bryic1j15b843l02vy9iymsmaj5"; libraryHaskellDepends = [ aeson base containers deepseq extra ghcide hashable hls-plugin-api lens lsp mtl semigroupoids text transformers vector ]; testHaskellDepends = [ - base bytestring containers filepath ghcide hls-test-utils lens lsp - lsp-test tasty-hunit text transformers vector + base bytestring containers filepath ghcide hls-plugin-api + hls-test-utils lens lsp lsp-test tasty-hunit text transformers + vector ]; description = "HLS Plugin to support smart selection range and Folding range"; license = lib.licenses.asl20; }) {}; "hls-eval-plugin" = callPackage - ({ mkDerivation, aeson, base, containers, data-default, deepseq - , Diff, directory, dlist, extra, filepath, ghc, ghc-boot-th - , ghc-paths, ghcide, hashable, hls-graph, hls-plugin-api - , hls-test-utils, lens, lsp, lsp-types, megaparsec, mtl - , parser-combinators, pretty-simple, QuickCheck, safe-exceptions - , text, time, transformers, unliftio, unordered-containers + ({ mkDerivation, aeson, base, bytestring, containers, data-default + , deepseq, Diff, directory, dlist, extra, filepath, ghc + , ghc-boot-th, ghc-paths, ghcide, hashable, hls-graph + , hls-plugin-api, hls-test-utils, lens, lsp, lsp-types, megaparsec + , mtl, parser-combinators, pretty-simple, QuickCheck, row-types + , safe-exceptions, text, time, transformers, unliftio + , unordered-containers }: mkDerivation { pname = "hls-eval-plugin"; - version = "2.0.0.1"; - sha256 = "0955f7zgd13c9nyx1s800aqk3fpysdfmhks9smlnaqg97b56yrzh"; + version = "2.1.0.0"; + sha256 = "1ic1giwwndmijbnbnani2xxfmxwpp66jh03l614wphvlxqchgf67"; libraryHaskellDepends = [ - aeson base containers data-default deepseq Diff directory dlist - extra filepath ghc ghc-boot-th ghc-paths ghcide hashable hls-graph - hls-plugin-api lens lsp lsp-types megaparsec mtl parser-combinators - pretty-simple QuickCheck safe-exceptions text time transformers - unliftio unordered-containers + aeson base bytestring containers data-default deepseq Diff + directory dlist extra filepath ghc ghc-boot-th ghc-paths ghcide + hashable hls-graph hls-plugin-api lens lsp lsp-types megaparsec mtl + parser-combinators pretty-simple QuickCheck safe-exceptions text + time transformers unliftio unordered-containers ]; testHaskellDepends = [ aeson base containers directory extra filepath hls-plugin-api - hls-test-utils lens lsp-types text + hls-test-utils lens lsp-types row-types text ]; description = "Eval plugin for Haskell Language Server"; license = lib.licenses.asl20; @@ -144068,8 +147824,8 @@ self: { }: mkDerivation { pname = "hls-explicit-fixity-plugin"; - version = "2.0.0.1"; - sha256 = "1mrmh8g5zws4aa222k4sfwgh8whvmh94lljnhhiylk65zd5ib7mm"; + version = "2.1.0.0"; + sha256 = "12kv301kwl84xd30fz7s3mmcyf51kypwfl7nhcqx7829xjrsxkbb"; libraryHaskellDepends = [ base containers deepseq extra ghc ghcide hashable hls-plugin-api lsp text transformers @@ -144080,19 +147836,22 @@ self: { }) {}; "hls-explicit-imports-plugin" = callPackage - ({ mkDerivation, aeson, base, containers, deepseq, filepath, ghc - , ghcide, hls-graph, hls-plugin-api, hls-test-utils, lsp, text + ({ mkDerivation, aeson, base, containers, deepseq, extra, filepath + , ghc, ghcide, hls-graph, hls-plugin-api, hls-test-utils, lens, lsp + , lsp-types, mtl, row-types, text, transformers , unordered-containers }: mkDerivation { pname = "hls-explicit-imports-plugin"; - version = "2.0.0.1"; - sha256 = "0h76wg03lqsas0dmpvk2kcccrrj07qn3kxxr1fwxs137m73pfn1x"; + version = "2.1.0.0"; + sha256 = "12aj8px0sbi5qh9q4381rmh3p9nyk2x5mk91i0mm5m9as4gwbk4k"; libraryHaskellDepends = [ aeson base containers deepseq ghc ghcide hls-graph hls-plugin-api - lsp text unordered-containers + lens lsp mtl text transformers unordered-containers + ]; + testHaskellDepends = [ + base extra filepath hls-test-utils lens lsp-types row-types text ]; - testHaskellDepends = [ base filepath hls-test-utils text ]; description = "Explicit imports plugin for Haskell Language Server"; license = lib.licenses.asl20; }) {}; @@ -144104,8 +147863,8 @@ self: { }: mkDerivation { pname = "hls-explicit-record-fields-plugin"; - version = "2.0.0.1"; - sha256 = "1543dvl9i1508f7fhl15skbnfcrs5vnpli0wixsckgn6297vz2g1"; + version = "2.1.0.0"; + sha256 = "0bnvc7sd6sn98m9y1kszlm7ca8ddwjl9106c895j28yq5pjxarff"; libraryHaskellDepends = [ base containers ghc-boot-th ghcide hls-graph hls-plugin-api lens lsp syb text transformers unordered-containers @@ -144119,14 +147878,14 @@ self: { "hls-floskell-plugin" = callPackage ({ mkDerivation, base, filepath, floskell, ghcide, hls-plugin-api - , hls-test-utils, lsp-types, text, transformers + , hls-test-utils, lsp-types, mtl, text, transformers }: mkDerivation { pname = "hls-floskell-plugin"; - version = "2.0.0.1"; - sha256 = "1mm9qavr3qlrjv13m7a982faya13qnh0ddpcgckaydy3pr8hsqrm"; + version = "2.1.0.0"; + sha256 = "13igdc86qpk7j9cbc9cpfyhpahi8wcnxar45wl81piaqahqpn581"; libraryHaskellDepends = [ - base floskell ghcide hls-plugin-api lsp-types text transformers + base floskell ghcide hls-plugin-api lsp-types mtl text transformers ]; testHaskellDepends = [ base filepath hls-test-utils ]; description = "Integration with the Floskell code formatter"; @@ -144136,15 +147895,15 @@ self: { "hls-fourmolu-plugin" = callPackage ({ mkDerivation, aeson, base, containers, filepath, fourmolu, ghc , ghc-boot-th, ghcide, hls-plugin-api, hls-test-utils, lens, lsp - , lsp-test, process-extras, text + , lsp-test, mtl, process-extras, text, transformers }: mkDerivation { pname = "hls-fourmolu-plugin"; - version = "2.0.0.1"; - sha256 = "199kk08kzyfpxqv02j1q56fkqbbyn40v1dj8ijazv90an97qw8y8"; + version = "2.1.0.0"; + sha256 = "0lrm6f1npqplf1sw5a3pc7qyzd3clys5dylbywh6m66h2bns96yf"; libraryHaskellDepends = [ base filepath fourmolu ghc ghc-boot-th ghcide hls-plugin-api lens - lsp process-extras text + lsp mtl process-extras text transformers ]; testHaskellDepends = [ aeson base containers filepath hls-plugin-api hls-test-utils @@ -144163,8 +147922,8 @@ self: { }: mkDerivation { pname = "hls-gadt-plugin"; - version = "2.0.0.1"; - sha256 = "1pabnzwh9zjyjidyri0mhn87dy2xhk7c88fj6r096riisj6wzp5g"; + version = "2.1.0.0"; + sha256 = "1lb5zk21gx620ywz1wb2034jvq4mc9amp2zmydfc1lwg5ad1my0b"; libraryHaskellDepends = [ aeson base containers extra ghc ghc-boot-th ghc-exactprint ghcide hls-plugin-api hls-refactor-plugin lens lsp mtl text transformers @@ -144186,8 +147945,8 @@ self: { }: mkDerivation { pname = "hls-graph"; - version = "2.0.0.1"; - sha256 = "0kbhhnbjka5xlsa5vq0vlxg210fz4j7w524a11g6375br3vjfqnc"; + version = "2.1.0.0"; + sha256 = "0gsi7a2qpi9qybaig2manbd7pggg429hzwbiwlfjrlnmd4apvs6x"; enableSeparateDataOutput = true; libraryHaskellDepends = [ aeson async base bytestring containers deepseq directory exceptions @@ -144208,8 +147967,8 @@ self: { ({ mkDerivation }: mkDerivation { pname = "hls-haddock-comments-plugin"; - version = "2.0.0.1"; - sha256 = "1w80jkb4n61v1lvbkpimdwvxi4w9h72zi8lr6p7f8r9fd7l41x35"; + version = "2.1.0.0"; + sha256 = "0xf38ikm0r0sx4b25g3ns8q421swmy7an4fbs5dmv86r4pr3999m"; description = "Haddock comments plugin for Haskell Language Server"; license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; @@ -144221,40 +147980,40 @@ self: { , containers, data-default, deepseq, Diff, directory, extra , filepath, ghc-exactprint, ghc-lib-parser, ghc-lib-parser-ex , ghcide, hashable, hlint, hls-plugin-api, hls-test-utils, lens - , lsp, lsp-types, refact, regex-tdfa, stm, temporary, text - , transformers, unordered-containers + , lsp, lsp-types, mtl, refact, regex-tdfa, row-types, stm + , temporary, text, transformers, unordered-containers }: mkDerivation { pname = "hls-hlint-plugin"; - version = "2.0.0.1"; - sha256 = "0nrcr2xavq5fvq72mhl46y98w28hn64237z1kcx7i69svncwfpk0"; + version = "2.1.0.0"; + sha256 = "1z96hry723n236v8v05yzjrs5nxaxh58vqm2ixmkldpgf1i5qklq"; libraryHaskellDepends = [ aeson apply-refact base binary bytestring containers data-default deepseq Diff directory extra filepath ghc-exactprint ghc-lib-parser - ghc-lib-parser-ex ghcide hashable hlint hls-plugin-api lens lsp + ghc-lib-parser-ex ghcide hashable hlint hls-plugin-api lens lsp mtl refact regex-tdfa stm temporary text transformers unordered-containers ]; testHaskellDepends = [ aeson base containers filepath hls-plugin-api hls-test-utils lens - lsp-types text + lsp-types row-types text ]; description = "Hlint integration plugin with Haskell Language Server"; license = lib.licenses.asl20; }) {}; "hls-module-name-plugin" = callPackage - ({ mkDerivation, aeson, base, directory, filepath, ghcide - , hls-plugin-api, hls-test-utils, lsp, text, transformers + ({ mkDerivation, aeson, base, containers, directory, filepath + , ghcide, hls-plugin-api, hls-test-utils, lsp, text, transformers , unordered-containers }: mkDerivation { pname = "hls-module-name-plugin"; - version = "2.0.0.1"; - sha256 = "0y4x27mna7adjvk6scnmz28m1ks6nz7lkbp29x1k7k5nj42kzcph"; + version = "2.1.0.0"; + sha256 = "1h34cy75mbpdzv4a63k3kcwds7d0c0k87a5fcvgyxbi6a0pkchps"; libraryHaskellDepends = [ - aeson base directory filepath ghcide hls-plugin-api lsp text - transformers unordered-containers + aeson base containers directory filepath ghcide hls-plugin-api lsp + text transformers unordered-containers ]; testHaskellDepends = [ base filepath hls-test-utils ]; description = "Module name plugin for Haskell Language Server"; @@ -144262,63 +148021,67 @@ self: { }) {}; "hls-ormolu-plugin" = callPackage - ({ mkDerivation, base, filepath, ghc, ghc-boot-th, ghcide - , hls-plugin-api, hls-test-utils, lens, lsp, lsp-types, ormolu + ({ mkDerivation, base, extra, filepath, ghc, ghc-boot-th, ghcide + , hls-plugin-api, hls-test-utils, lens, lsp, lsp-types, mtl, ormolu , text }: mkDerivation { pname = "hls-ormolu-plugin"; - version = "2.0.0.1"; - sha256 = "0bdcaqcd2k8ha6wvp7w5wda1nsczcawc8n74i11s40r68qq3j0xq"; + version = "2.1.0.0"; + sha256 = "0ianjskwbvh3lshp74mwddc3b3k472zn8gpa1fwglhcixv1i4d92"; libraryHaskellDepends = [ - base filepath ghc ghc-boot-th ghcide hls-plugin-api lens lsp ormolu - text + base extra filepath ghc ghc-boot-th ghcide hls-plugin-api lens lsp + mtl ormolu text ]; testHaskellDepends = [ - base filepath hls-test-utils lsp-types ormolu + base filepath hls-test-utils lsp-types ormolu text ]; description = "Integration with the Ormolu code formatter"; license = lib.licenses.asl20; }) {}; "hls-overloaded-record-dot-plugin" = callPackage - ({ mkDerivation, base, containers, deepseq, filepath, ghc-boot-th - , ghcide, hls-graph, hls-plugin-api, hls-test-utils, lens, lsp - , lsp-test, syb, text, transformers, unordered-containers + ({ mkDerivation, aeson, base, containers, deepseq, filepath + , ghc-boot-th, ghcide, hls-graph, hls-plugin-api, hls-test-utils + , lens, lsp, lsp-test, lsp-types, row-types, syb, text + , transformers, unordered-containers }: mkDerivation { pname = "hls-overloaded-record-dot-plugin"; - version = "2.0.0.1"; - sha256 = "1ilmiw0lrd8rkmplwfm6lf5hckjg2ak7x2payr2x90bhhbjzg9fa"; + version = "2.1.0.0"; + sha256 = "1x575xdqlynghnirqik6w8mm84jdwlm8wvaigkhhnqw2fqii991i"; libraryHaskellDepends = [ - base containers deepseq ghc-boot-th ghcide hls-graph hls-plugin-api - lens lsp syb text transformers unordered-containers + aeson base containers deepseq ghc-boot-th ghcide hls-graph + hls-plugin-api lens lsp syb text transformers unordered-containers ]; testHaskellDepends = [ - base filepath hls-test-utils lsp-test text + base filepath ghcide hls-plugin-api hls-test-utils lens lsp-test + lsp-types row-types text ]; description = "Overloaded record dot plugin for Haskell Language Server"; license = lib.licenses.bsd3; }) {}; "hls-plugin-api" = callPackage - ({ mkDerivation, aeson, base, containers, criterion, data-default - , deepseq, dependent-map, dependent-sum, Diff, dlist, extra - , filepath, ghc, hashable, hls-graph, hw-fingertree, lens - , lens-aeson, lsp, lsp-types, megaparsec, opentelemetry - , optparse-applicative, random, random-fu, regex-tdfa, tasty - , tasty-hunit, tasty-quickcheck, tasty-rerun, text, transformers - , unix, unordered-containers + ({ mkDerivation, aeson, base, co-log-core, containers, criterion + , data-default, deepseq, dependent-map, dependent-sum, Diff, dlist + , extra, filepath, ghc, hashable, hls-graph, hw-fingertree, lens + , lens-aeson, lsp, lsp-types, megaparsec, mtl, opentelemetry + , optparse-applicative, prettyprinter, random, random-fu + , regex-tdfa, row-types, stm, tasty, tasty-hunit, tasty-quickcheck + , tasty-rerun, text, time, transformers, unix, unliftio + , unordered-containers }: mkDerivation { pname = "hls-plugin-api"; - version = "2.0.0.1"; - sha256 = "1rahsz7yl8vd7wcwag76dmmvnysagn6s6iabijlpp2v7z6kkr5y9"; + version = "2.1.0.0"; + sha256 = "0s2pnvakmvahwrxm7mvdrs5gmhds0i3yak6axfw8ng0f139lglhx"; libraryHaskellDepends = [ - aeson base containers data-default dependent-map dependent-sum Diff - dlist extra filepath ghc hashable hls-graph hw-fingertree lens - lens-aeson lsp megaparsec opentelemetry optparse-applicative - regex-tdfa text transformers unix unordered-containers + aeson base co-log-core containers data-default dependent-map + dependent-sum Diff dlist extra filepath ghc hashable hls-graph + hw-fingertree lens lens-aeson lsp megaparsec mtl opentelemetry + optparse-applicative prettyprinter regex-tdfa row-types stm text + time transformers unix unliftio unordered-containers ]; testHaskellDepends = [ base containers lsp-types tasty tasty-hunit tasty-quickcheck @@ -144332,20 +148095,20 @@ self: { }) {}; "hls-pragmas-plugin" = callPackage - ({ mkDerivation, base, containers, extra, filepath, fuzzy, ghc - , ghcide, hls-plugin-api, hls-test-utils, lens, lsp, lsp-types + ({ mkDerivation, aeson, base, containers, extra, filepath, fuzzy + , ghc, ghcide, hls-plugin-api, hls-test-utils, lens, lsp, lsp-types , text, transformers, unordered-containers }: mkDerivation { pname = "hls-pragmas-plugin"; - version = "2.0.0.1"; - sha256 = "1mgq746zz4v348218qn4cifjcxm85a6zxzizhzanpv3xg15g30m4"; + version = "2.1.0.0"; + sha256 = "1ny20jpzf7qhvc80pz80drjpkljr07nbriy5f9nfd5izhdkdbjp6"; libraryHaskellDepends = [ base containers extra fuzzy ghc ghcide hls-plugin-api lens lsp text transformers unordered-containers ]; testHaskellDepends = [ - base filepath hls-test-utils lens lsp-types text + aeson base filepath hls-test-utils lens lsp-types text ]; description = "Pragmas plugin for Haskell Language Server"; license = lib.licenses.asl20; @@ -144353,16 +148116,16 @@ self: { "hls-qualify-imported-names-plugin" = callPackage ({ mkDerivation, aeson, base, containers, deepseq, dlist, filepath - , ghc, ghcide, hls-graph, hls-plugin-api, hls-test-utils, lsp, text - , transformers, unordered-containers + , ghc, ghcide, hls-graph, hls-plugin-api, hls-test-utils, lens, lsp + , text, transformers, unordered-containers }: mkDerivation { pname = "hls-qualify-imported-names-plugin"; - version = "2.0.0.1"; - sha256 = "0ra3r31b0f5g38fwxm1j7bljwph00c7r963fn1py7g9ib2rv476k"; + version = "2.1.0.0"; + sha256 = "1lcc2ga264p79shd26rpl961rqr583p4ncgb38f1wx6c6jl7r251"; libraryHaskellDepends = [ aeson base containers deepseq dlist ghc ghcide hls-graph - hls-plugin-api lsp text transformers unordered-containers + hls-plugin-api lens lsp text transformers unordered-containers ]; testHaskellDepends = [ base filepath hls-test-utils text ]; description = "A Haskell Language Server plugin that qualifies imported names"; @@ -144374,19 +148137,20 @@ self: { , data-default, deepseq, directory, dlist, extra, filepath, ghc , ghc-boot, ghc-exactprint, ghcide, ghcide-test-utils, hls-graph , hls-plugin-api, hls-test-utils, lens, lsp, lsp-test, lsp-types - , mtl, network-uri, parser-combinators, regex-tdfa, retrie, shake - , syb, tasty, tasty-expected-failure, tasty-hunit, tasty-rerun - , text, text-rope, time, transformers, unordered-containers + , mtl, network-uri, parser-combinators, regex-applicative + , regex-tdfa, retrie, shake, syb, tasty, tasty-expected-failure + , tasty-hunit, tasty-rerun, text, text-rope, time, transformers + , unordered-containers }: mkDerivation { pname = "hls-refactor-plugin"; - version = "2.0.0.1"; - sha256 = "0ww7ijagiy4lyhsp5ljph09s6mixc0jyh7794cgmbxvwgc4nv89p"; + version = "2.1.0.0"; + sha256 = "0v96fgsx2hh45xiby0q9jxg2px927v7rynl4qq0mcrsidfwrwaqd"; libraryHaskellDepends = [ aeson base bytestring containers data-default deepseq dlist extra ghc ghc-boot ghc-exactprint ghcide hls-graph hls-plugin-api lens - lsp mtl regex-tdfa retrie syb text text-rope time transformers - unordered-containers + lsp mtl parser-combinators regex-applicative regex-tdfa retrie syb + text text-rope time transformers unordered-containers ]; testHaskellDepends = [ aeson async base containers data-default directory extra filepath @@ -144415,22 +148179,25 @@ self: { testHaskellDepends = [ base filepath hls-test-utils text ]; description = "Refine imports plugin for Haskell Language Server"; license = lib.licenses.asl20; + hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "hls-rename-plugin" = callPackage ({ mkDerivation, aeson, base, containers, extra, filepath, ghc , ghc-exactprint, ghcide, hashable, hie-compat, hiedb - , hls-plugin-api, hls-refactor-plugin, hls-test-utils, lsp - , lsp-types, mod, syb, text, transformers, unordered-containers + , hls-plugin-api, hls-refactor-plugin, hls-test-utils, lens, lsp + , lsp-types, mod, mtl, syb, text, transformers + , unordered-containers }: mkDerivation { pname = "hls-rename-plugin"; - version = "2.0.0.1"; - sha256 = "0b3c7h151rn9awkjc0iq2fnir3g9kvj79b4mdigrarzi8g695agn"; + version = "2.1.0.0"; + sha256 = "07bnc1d05hd8pjwxgxhrkrxaiqg2f082vzvh0c942ri2knpf6f2g"; libraryHaskellDepends = [ base containers extra ghc ghc-exactprint ghcide hashable hie-compat - hiedb hls-plugin-api hls-refactor-plugin lsp lsp-types mod syb text - transformers unordered-containers + hiedb hls-plugin-api hls-refactor-plugin lens lsp lsp-types mod mtl + syb text transformers unordered-containers ]; testHaskellDepends = [ aeson base containers filepath hls-plugin-api hls-test-utils @@ -144442,17 +148209,18 @@ self: { "hls-retrie-plugin" = callPackage ({ mkDerivation, aeson, base, bytestring, containers, deepseq , directory, extra, filepath, ghc, ghcide, hashable, hls-plugin-api - , hls-refactor-plugin, hls-test-utils, lsp, lsp-types, retrie - , safe-exceptions, stm, text, transformers, unordered-containers + , hls-refactor-plugin, hls-test-utils, lens, lsp, lsp-types, mtl + , retrie, safe-exceptions, stm, text, transformers + , unordered-containers }: mkDerivation { pname = "hls-retrie-plugin"; - version = "2.0.0.1"; - sha256 = "0rq49n8ryp8x1jk9lwm2lcih0kx8lc0snlmclp6jky503n33vkf8"; + version = "2.1.0.0"; + sha256 = "1lq1bb73cp8sp846ib3apynk6iy3v1qn47hlj8spiq4lx7wa2an9"; libraryHaskellDepends = [ aeson base bytestring containers deepseq directory extra ghc ghcide - hashable hls-plugin-api hls-refactor-plugin lsp lsp-types retrie - safe-exceptions stm text transformers unordered-containers + hashable hls-plugin-api hls-refactor-plugin lens lsp lsp-types mtl + retrie safe-exceptions stm text transformers unordered-containers ]; testHaskellDepends = [ aeson base containers filepath hls-plugin-api hls-refactor-plugin @@ -144490,19 +148258,22 @@ self: { "hls-splice-plugin" = callPackage ({ mkDerivation, aeson, base, containers, dlist, extra, filepath , foldl, ghc, ghc-exactprint, ghcide, hls-plugin-api - , hls-refactor-plugin, hls-test-utils, lens, lsp, retrie, syb, text - , transformers, unliftio-core, unordered-containers + , hls-refactor-plugin, hls-test-utils, lens, lsp, mtl, retrie + , row-types, syb, text, transformers, unliftio-core + , unordered-containers }: mkDerivation { pname = "hls-splice-plugin"; - version = "2.0.0.1"; - sha256 = "0scjc5rd01ns8ifyscvzlxsb6r307j9p2cv8d77vh0akm6jrjiq3"; + version = "2.1.0.0"; + sha256 = "03b4l49g8c3z45c3my0b50m0960snip17w3p3r6wa04afm9r6g6f"; libraryHaskellDepends = [ aeson base containers dlist extra foldl ghc ghc-exactprint ghcide - hls-plugin-api hls-refactor-plugin lens lsp retrie syb text + hls-plugin-api hls-refactor-plugin lens lsp mtl retrie syb text transformers unliftio-core unordered-containers ]; - testHaskellDepends = [ base filepath hls-test-utils text ]; + testHaskellDepends = [ + base filepath hls-test-utils row-types text + ]; description = "HLS Plugin to expand TemplateHaskell Splices and QuasiQuotes"; license = lib.licenses.asl20; }) {}; @@ -144511,8 +148282,8 @@ self: { ({ mkDerivation }: mkDerivation { pname = "hls-stan-plugin"; - version = "2.0.0.1"; - sha256 = "12100gj5hiqsjx71syww45nv4pnrhq0a1zhpmh2ac1kslgdajij1"; + version = "2.1.0.0"; + sha256 = "0azlvn5mibmsj3w5pwfkgaydji58bb88bvhzyc49y7gzg24cm23s"; description = "Stan integration plugin with Haskell Language Server"; license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; @@ -144521,16 +148292,16 @@ self: { "hls-stylish-haskell-plugin" = callPackage ({ mkDerivation, base, directory, filepath, ghc, ghc-boot-th - , ghcide, hls-plugin-api, hls-test-utils, lsp-types + , ghcide, hls-plugin-api, hls-test-utils, lsp-types, mtl , stylish-haskell, text }: mkDerivation { pname = "hls-stylish-haskell-plugin"; - version = "2.0.0.1"; - sha256 = "0g2gpldgd9g9mpxnzbi5amsl9v277n2wdn1yd4bammq5dc80prvz"; + version = "2.1.0.0"; + sha256 = "025g6laxsffdp5alvilpq71slqkybwfak5ayr3m8h2ymylhf4vy3"; libraryHaskellDepends = [ base directory filepath ghc ghc-boot-th ghcide hls-plugin-api - lsp-types stylish-haskell text + lsp-types mtl stylish-haskell text ]; testHaskellDepends = [ base filepath hls-test-utils ]; description = "Integration with the Stylish Haskell code formatter"; @@ -144541,8 +148312,8 @@ self: { ({ mkDerivation }: mkDerivation { pname = "hls-tactics-plugin"; - version = "2.0.0.1"; - sha256 = "0kzyjsgc4j03ayjq79nd3kkv8x3nf0c9wk12m0fix0ik9fr35idh"; + version = "2.1.0.0"; + sha256 = "0mry1y7p26lraina8ynsrnm441wprrrp4wcl5ys6xs6z860kxaqf"; description = "Wingman plugin for Haskell Language Server"; license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; @@ -144552,19 +148323,20 @@ self: { "hls-test-utils" = callPackage ({ mkDerivation, aeson, async, base, blaze-markup, bytestring , containers, data-default, directory, extra, filepath, ghcide - , hls-graph, hls-plugin-api, lens, lsp, lsp-test, lsp-types, tasty - , tasty-expected-failure, tasty-golden, tasty-hunit, tasty-rerun - , temporary, text, unordered-containers + , hls-graph, hls-plugin-api, lens, lsp, lsp-test, lsp-types + , row-types, tasty, tasty-expected-failure, tasty-golden + , tasty-hunit, tasty-rerun, temporary, text, unordered-containers }: mkDerivation { pname = "hls-test-utils"; - version = "2.0.0.1"; - sha256 = "0s2418lv3kg5zs8zr4a1j90503c6alcpr6q5d7cs6ravdq6s0qvi"; + version = "2.1.0.0"; + sha256 = "1dmnbnlz4yk8w1ln6dc2gqv10gsjb8mkzv89lv3h3vc5q6pqimpw"; libraryHaskellDepends = [ aeson async base blaze-markup bytestring containers data-default directory extra filepath ghcide hls-graph hls-plugin-api lens lsp - lsp-test lsp-types tasty tasty-expected-failure tasty-golden - tasty-hunit tasty-rerun temporary text unordered-containers + lsp-test lsp-types row-types tasty tasty-expected-failure + tasty-golden tasty-hunit tasty-rerun temporary text + unordered-containers ]; description = "Utilities used in the tests of Haskell Language Server"; license = lib.licenses.asl20; @@ -144680,7 +148452,6 @@ self: { ]; description = "hmatrix operations lifted for backprop"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "hmatrix-banded" = callPackage @@ -145159,7 +148930,6 @@ self: { doHaddock = false; description = "Hidden Markov Models using LAPACK primitives"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; maintainers = [ lib.maintainers.thielema ]; }) {}; @@ -145326,7 +149096,6 @@ self: { description = "Haskell NetCDF library"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {inherit (pkgs) netcdf;}; "hnix" = callPackage @@ -145608,6 +149377,7 @@ self: { description = "Generic rewrite rules with safe treatment of variables and binders"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "hoauth" = callPackage @@ -147149,6 +150919,7 @@ self: { description = "Generate nix expressions from horizon-spec definitions"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "horizon-spec" = callPackage @@ -147165,8 +150936,6 @@ self: { ]; description = "Horizon Stable Package Set Type Definitions"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "horizon-spec-lens" = callPackage @@ -147179,6 +150948,7 @@ self: { description = "Horizon Stable Package Set Lenses"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "horizon-spec-pretty" = callPackage @@ -147195,6 +150965,7 @@ self: { description = "Horizon Stable Package Set Pretty Printer"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "horizontal-rule" = callPackage @@ -147214,7 +150985,6 @@ self: { testHaskellDepends = [ base HMock tasty tasty-hunit ]; description = "horizontal rule for the terminal"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; mainProgram = "hr"; }) {}; @@ -147619,7 +151389,7 @@ self: { mainProgram = "hpack"; }) {}; - "hpack_0_35_3" = callPackage + "hpack_0_35_4" = callPackage ({ mkDerivation, aeson, base, bifunctors, bytestring, Cabal , containers, crypton, deepseq, directory, filepath, Glob, hspec , hspec-discover, http-client, http-client-tls, http-types, HUnit @@ -147629,8 +151399,8 @@ self: { }: mkDerivation { pname = "hpack"; - version = "0.35.3"; - sha256 = "1kh5v2hj4y3f73hjcqxr4q60cbva4lmi43iahrrnhj789h5b5k94"; + version = "0.35.4"; + sha256 = "13zz10c3nc9lfr6n7xjb4j05vin9r3mg1aribpz26sx6y83lpy7y"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -147890,7 +151660,6 @@ self: { description = "Alternative to 'directory' package with ByteString based filepaths"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "hpath-filepath" = callPackage @@ -147933,14 +151702,14 @@ self: { license = lib.licenses.bsd3; }) {}; - "hpc_0_6_2_0" = callPackage + "hpc_0_7_0_0" = callPackage ({ mkDerivation, base, containers, deepseq, directory, filepath , time }: mkDerivation { pname = "hpc"; - version = "0.6.2.0"; - sha256 = "0igq0x3hsdfh4sw40ac8ld5xmsdqxykfz1jmzmwf142za6q7k964"; + version = "0.7.0.0"; + sha256 = "0y3d43r4wl07w9wl6fgrnmpi0lpv0ai61r4wb3cmnx2divqmm3q0"; libraryHaskellDepends = [ base containers deepseq directory filepath time ]; @@ -148409,9 +152178,7 @@ self: { ]; description = "a lightweight HTTP proxy server, and more"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; mainProgram = "hprox"; - broken = true; }) {}; "hps" = callPackage @@ -149370,9 +153137,7 @@ self: { executableHaskellDepends = [ base containers emojis text ]; description = "The OpenMoji emoji dataset"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "readme"; - broken = true; }) {}; "hs-opentelemetry-api" = callPackage @@ -150391,6 +154156,7 @@ self: { description = "OASIS Security Assertion Markup Language (SAML) V2.0"; license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; + broken = true; }) {inherit (pkgs) libxml2;}; "hsass" = callPackage @@ -150632,8 +154398,6 @@ self: { ]; description = "Haskell SuperCollider"; license = lib.licenses.gpl3Only; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "hsc3-auditor" = callPackage @@ -150663,6 +154427,7 @@ self: { description = "haskell supercollider cairo drawing"; license = "GPL"; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "hsc3-data" = callPackage @@ -150694,6 +154459,7 @@ self: { description = "Haskell SuperCollider Unit Generator Database"; license = "GPL"; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "hsc3-dot" = callPackage @@ -150707,6 +154473,7 @@ self: { description = "haskell supercollider graph drawing"; license = "GPL"; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "hsc3-forth" = callPackage @@ -150775,6 +154542,7 @@ self: { description = "Haskell SuperCollider Language"; license = "GPL"; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "hsc3-lisp" = callPackage @@ -150834,6 +154602,7 @@ self: { description = "Create and control scsynth processes"; license = "GPL"; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "hsc3-rec" = callPackage @@ -150847,6 +154616,7 @@ self: { description = "Haskell SuperCollider Record Variants"; license = "GPL"; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "hsc3-rw" = callPackage @@ -150937,6 +154707,7 @@ self: { description = "Unsafe Haskell SuperCollider"; license = "GPL"; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "hsc3-utils" = callPackage @@ -151803,43 +155574,19 @@ self: { }) {}; "hsini" = callPackage - ({ mkDerivation, base, bytestring, containers, mtl, parsec, tasty - , tasty-hunit, tasty-quickcheck, tasty-th - }: - mkDerivation { - pname = "hsini"; - version = "0.5.1.2"; - sha256 = "1r6qksnrmk18ndxs5zaga8b7kvmk34kp0kh5hwqmq797qrlax9pa"; - revision = "3"; - editedCabalFile = "0wkx1syrx45ivs9lqhh7wfzwr1a0a3fq3km83amvl2a877yaqrjm"; - libraryHaskellDepends = [ base bytestring containers mtl parsec ]; - testHaskellDepends = [ - base bytestring containers mtl parsec tasty tasty-hunit - tasty-quickcheck tasty-th - ]; - description = "ini configuration files"; - license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; - }) {}; - - "hsini_0_5_2" = callPackage - ({ mkDerivation, base, bytestring, containers, mtl, parsec, tasty - , tasty-hunit, tasty-quickcheck, tasty-th + ({ mkDerivation, base, containers, mtl, parsec, tasty, tasty-hunit + , tasty-quickcheck, tasty-th }: mkDerivation { pname = "hsini"; - version = "0.5.2"; - sha256 = "14mybpf6qkcwrji9j2bvajqfb4p3ybi3n8rvblggpxd9fvm5gak2"; - libraryHaskellDepends = [ base bytestring containers mtl parsec ]; + version = "0.5.2.1"; + sha256 = "1j4kcmqx4cq0zjimdn1fvm7a26phwl95bh405d68n5qc2qmncyyb"; + libraryHaskellDepends = [ base containers mtl parsec ]; testHaskellDepends = [ - base bytestring containers mtl parsec tasty tasty-hunit - tasty-quickcheck tasty-th + base parsec tasty tasty-hunit tasty-quickcheck tasty-th ]; description = "ini configuration files"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "hsinspect" = callPackage @@ -151862,9 +155609,7 @@ self: { ]; description = "Inspect Haskell source files"; license = lib.licenses.gpl3Plus; - hydraPlatforms = lib.platforms.none; mainProgram = "hsinspect"; - broken = true; }) {}; "hsinspect-lsp" = callPackage @@ -151994,8 +155739,8 @@ self: { pname = "hslogger"; version = "1.3.1.0"; sha256 = "0nyar9xcblx5jwks85y8f4jfy9k1h4ss6rvj4mdbiidrq3v688vz"; - revision = "7"; - editedCabalFile = "1jvh7zs0a2n47785bpq9bf3nba0gvbbvhhq1ban87prlhr0j3r2k"; + revision = "8"; + editedCabalFile = "10lqpvvg1fsv69v8v4nynwimln1flhd32d173pgpywmniaf40yy7"; libraryHaskellDepends = [ base bytestring containers deepseq network network-bsd old-locale time unix @@ -152198,8 +155943,6 @@ self: { executableHaskellDepends = [ base bytestring hslua lua text ]; description = "Examples of how to combine Haskell and Lua"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "hslua-list" = callPackage @@ -152767,7 +156510,6 @@ self: { description = "A SPARQL query generator and DSL, and a client to query a SPARQL server"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "hspear" = callPackage @@ -153112,17 +156854,17 @@ self: { "hspec-expectations-json" = callPackage ({ mkDerivation, aeson, aeson-pretty, aeson-qq, base, Diff, hspec - , HUnit, scientific, text, unordered-containers, vector + , HUnit, QuickCheck, scientific, text, unordered-containers, vector }: mkDerivation { pname = "hspec-expectations-json"; - version = "1.0.0.7"; - sha256 = "0042xf11w74bx7mlly2ca98778jqyb4p2l9iy92gyys85zn5219v"; + version = "1.0.2.0"; + sha256 = "0vl5brjbvjdbv2i4zq2ww7l0gg11l0ssmr4m7xqdn7as2798q1q1"; libraryHaskellDepends = [ aeson aeson-pretty base Diff HUnit scientific text unordered-containers vector ]; - testHaskellDepends = [ aeson-qq base hspec ]; + testHaskellDepends = [ aeson aeson-qq base hspec QuickCheck ]; description = "Hspec expectations for JSON Values"; license = lib.licenses.mit; }) {}; @@ -153675,7 +157417,6 @@ self: { description = "A library for testing with Hspec and the Snap Web Framework"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "hspec-stack-rerun" = callPackage @@ -153840,8 +157581,6 @@ self: { ]; description = "Write end2end web application tests using webdriver and hspec"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "hspec2" = callPackage @@ -154899,6 +158638,7 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "htar"; + broken = true; }) {}; "htaut" = callPackage @@ -155825,6 +159565,23 @@ self: { license = lib.licenses.mit; }) {}; + "http-client-restricted_0_1_0" = callPackage + ({ mkDerivation, base, crypton-connection, data-default + , http-client, http-client-tls, network, network-bsd, utf8-string + }: + mkDerivation { + pname = "http-client-restricted"; + version = "0.1.0"; + sha256 = "12rzkzqgv32rw0z1m38d0mi5dbdn07j3myqp3wfdqfaygib0a6i4"; + libraryHaskellDepends = [ + base crypton-connection data-default http-client http-client-tls + network network-bsd utf8-string + ]; + description = "restricting the servers that http-client will use"; + license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; + }) {}; + "http-client-rustls" = callPackage ({ mkDerivation, base, bytestring, directory, filepath, http-client , http-types, network, port-utils, process, resourcet, rustls @@ -155907,7 +159664,7 @@ self: { license = lib.licenses.mit; }) {}; - "http-client-tls_0_3_6_2" = callPackage + "http-client-tls_0_3_6_3" = callPackage ({ mkDerivation, base, bytestring, case-insensitive, containers , crypton, crypton-connection, data-default-class, exceptions , gauge, hspec, http-client, http-types, memory, network @@ -155915,14 +159672,16 @@ self: { }: mkDerivation { pname = "http-client-tls"; - version = "0.3.6.2"; - sha256 = "19mbjh1rh46zmra4s7ybqvmwffmp3z5x7xlw9hsv55rbxsz8whr8"; + version = "0.3.6.3"; + sha256 = "15chmlnq0nk2kxhk65r9xdjkzl94k0axcml89a5qkdiffwyzrp1q"; libraryHaskellDepends = [ base bytestring case-insensitive containers crypton crypton-connection data-default-class exceptions http-client http-types memory network network-uri text tls transformers ]; - testHaskellDepends = [ base hspec http-client http-types ]; + testHaskellDepends = [ + base crypton-connection hspec http-client http-types + ]; benchmarkHaskellDepends = [ base gauge http-client ]; doCheck = false; description = "http-client backend using the connection package and tls library"; @@ -156154,6 +159913,32 @@ self: { license = lib.licenses.bsd3; }) {}; + "http-download_0_2_1_0" = callPackage + ({ mkDerivation, base, base64-bytestring, bytestring, conduit + , conduit-extra, crypton, crypton-conduit, directory, exceptions + , filepath, hspec, hspec-discover, http-client, http-conduit + , http-types, memory, path, path-io, retry, rio, rio-prettyprint + }: + mkDerivation { + pname = "http-download"; + version = "0.2.1.0"; + sha256 = "09689xpjw0r66x6239ncpcjpxpjyl6jp8pg3zwmridp80fnx5ph5"; + libraryHaskellDepends = [ + base base64-bytestring bytestring conduit conduit-extra crypton + crypton-conduit directory exceptions filepath http-client + http-conduit http-types memory path path-io retry rio + rio-prettyprint + ]; + testHaskellDepends = [ + base crypton hspec hspec-discover http-client path path-io retry + rio rio-prettyprint + ]; + testToolDepends = [ hspec-discover ]; + description = "Verified downloads with retries"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + "http-encodings" = callPackage ({ mkDerivation, base, bytestring, HTTP, iconv, mime, mtl, parsec , text, utf8-string, zlib @@ -156211,6 +159996,28 @@ self: { broken = true; }) {}; + "http-interchange" = callPackage + ({ mkDerivation, aeson, base, bytebuild, byteslice, bytesmith + , bytestring, contiguous, filepath, pretty-show, primitive, tasty + , tasty-golden, text + }: + mkDerivation { + pname = "http-interchange"; + version = "0.3.1.0"; + sha256 = "1qz7ims2n51db6lcv4lg22z0w36abgn9yzpqc7jd438xlc40j137"; + libraryHaskellDepends = [ + base bytebuild byteslice bytesmith contiguous primitive text + ]; + testHaskellDepends = [ + aeson base byteslice bytestring filepath pretty-show primitive + tasty tasty-golden + ]; + description = "Types and serialization for HTTP"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + broken = true; + }) {}; + "http-io-streams" = callPackage ({ mkDerivation, aeson, aeson-pretty, attoparsec, base , base64-bytestring, binary, blaze-builder, brotli-streams @@ -156242,6 +160049,7 @@ self: { ]; description = "HTTP and WebSocket client based on io-streams"; license = "BSD-3-Clause AND GPL-2.0-or-later"; + hydraPlatforms = lib.platforms.none; }) {}; "http-kinder" = callPackage @@ -156389,8 +160197,6 @@ self: { ]; description = "Monad abstraction for HTTP allowing lazy transfer and non-I/O simulation"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "http-pony" = callPackage @@ -156555,7 +160361,6 @@ self: { description = "Declarative DSL for parsing an HTTP response"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "http-reverse-proxy" = callPackage @@ -156736,6 +160541,41 @@ self: { broken = true; }) {}; + "http2_3_0_3" = callPackage + ({ mkDerivation, aeson, aeson-pretty, array, async, base + , base16-bytestring, bytestring, case-insensitive, containers + , cryptonite, directory, filepath, gauge, Glob, heaps, hspec + , hspec-discover, http-types, mwc-random, network + , network-byte-order, network-run, psqueues, stm, text + , time-manager, typed-process, unix-time, unordered-containers + , vector + }: + mkDerivation { + pname = "http2"; + version = "3.0.3"; + sha256 = "1kv99i3pnnx31xndlkaczrpd2j5mvzbqlfz1kaw6cwlwkdnl5bhv"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + array async base bytestring case-insensitive containers http-types + network network-byte-order psqueues stm time-manager unix-time + ]; + testHaskellDepends = [ + aeson aeson-pretty async base base16-bytestring bytestring + cryptonite directory filepath Glob hspec http-types network + network-byte-order network-run text typed-process + unordered-containers vector + ]; + testToolDepends = [ hspec-discover ]; + benchmarkHaskellDepends = [ + array base bytestring case-insensitive containers gauge heaps + mwc-random network-byte-order psqueues stm + ]; + description = "HTTP/2 library"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + "http2" = callPackage ({ mkDerivation, aeson, aeson-pretty, array, async, base , base16-bytestring, bytestring, case-insensitive, containers @@ -156810,7 +160650,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "http2-client-exe"; - broken = true; }) {}; "http2-client-grpc" = callPackage @@ -156891,14 +160730,16 @@ self: { }: mkDerivation { pname = "http2-tls"; - version = "0.0.0"; - sha256 = "0grd4i90wpdrd8k0dvm56hzfc2pncx0hjfy7678v9w8r524rbcqh"; + version = "0.0.1"; + sha256 = "104qqirzg4q3gy4qw8d0mhp94xiavzzr6ziwnwjc1xm1zv8m37nb"; libraryHaskellDepends = [ base bytestring data-default-class http2 network network-run recv time-manager tls unliftio ]; description = "Library for HTTP/2 over TLS"; license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "http3" = callPackage @@ -156927,7 +160768,6 @@ self: { description = "HTTP/3 library"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "httpd-shed" = callPackage @@ -157033,6 +160873,7 @@ self: { executableHaskellDepends = [ alsa-pcm base carray fft gloss ]; description = "harmonic analyser and tuner for musical instruments"; license = lib.licenses.bsd3; + platforms = lib.platforms.linux; hydraPlatforms = lib.platforms.none; mainProgram = "htune"; broken = true; @@ -157638,8 +161479,6 @@ self: { ]; description = "Haskell URL resolver"; license = lib.licenses.gpl3Only; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "hurl-xml" = callPackage @@ -158393,7 +162232,6 @@ self: { description = "Memory efficient JSON parser"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "hw-json-lens" = callPackage @@ -158424,7 +162262,6 @@ self: { description = "Lens for hw-json"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "hw-json-simd" = callPackage @@ -158580,15 +162417,15 @@ self: { license = lib.licenses.mit; }) {inherit (pkgs) rdkafka;}; - "hw-kafka-client_5_0_0" = callPackage + "hw-kafka-client_5_3_0" = callPackage ({ mkDerivation, base, bifunctors, bytestring, c2hs, containers , either, hspec, hspec-discover, monad-loops, rdkafka, text , transformers, unix }: mkDerivation { pname = "hw-kafka-client"; - version = "5.0.0"; - sha256 = "1w0c80pn43xg4rrmwwq37yya96fil124sdly9djjnxm1g0brwjz6"; + version = "5.3.0"; + sha256 = "0cs7pvp1fvh8k52x2qln7skynpbg9h71p83fgr7wbjf4xx0fs994"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -158757,6 +162594,8 @@ self: { pname = "hw-prim"; version = "0.6.3.2"; sha256 = "07a442g1fjzrfnz3y9mx3d2hv0ffjnbfdkmbiard8bn78vf5z80z"; + revision = "1"; + editedCabalFile = "1wga8ghc001lz9y76ffrdrpqkxpj1lz7qpkykfl66lsqf5pvng32"; libraryHaskellDepends = [ base bytestring deepseq ghc-prim mmap transformers unliftio-core vector @@ -159741,10 +163580,10 @@ self: { ({ mkDerivation, base, deepseq, primitive, semigroups, vector }: mkDerivation { pname = "hybrid-vectors"; - version = "0.2.3"; - sha256 = "0g3z482sd0j930ja3g9cyc4xnjby03d4cq8x56crsl61arr81r1c"; + version = "0.2.4"; + sha256 = "02sxx9263b9z4q9xh8d2mhg3g6mihydhzn7rjvpi3gp4bld2hg9m"; revision = "1"; - editedCabalFile = "0w0kajygmrbwds5cmfkvk50x51msds61cia3kch3q8rfvdc0v9gl"; + editedCabalFile = "023f8i8110nm051wi372b9m21lpkxmdp0zp37fmimama9yv8rmxl"; libraryHaskellDepends = [ base deepseq primitive semigroups vector ]; @@ -160107,8 +163946,6 @@ self: { libraryHaskellDepends = [ base blaze-html deepseq text ]; description = "Display class for the HyperHaskell graphical Haskell interpreter"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "hyper-extra" = callPackage @@ -160125,6 +163962,7 @@ self: { description = "Display instances for the HyperHaskell graphical Haskell interpreter"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "hyper-haskell-server" = callPackage @@ -160146,6 +163984,7 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "hyper-haskell-server"; + broken = true; }) {}; "hyperdrive" = callPackage @@ -160250,8 +164089,8 @@ self: { pname = "hyperloglog"; version = "0.4.6"; sha256 = "0zwg4dhgasa9sx7pbjjjb9kz2bnhb3r2daij2b572cszv65l91nv"; - revision = "3"; - editedCabalFile = "12gq3v5xpw8rn0hr7kqc4ji7byw675mnhjawlvmz6d2hr8hdrcmd"; + revision = "4"; + editedCabalFile = "0bw7bj4kq7nsmzsmiwngg32zhjfpcy1zmzpslp9h0i1m12hxh4c9"; libraryHaskellDepends = [ approximate base binary bits bytes bytestring cereal cereal-vector comonad cpu deepseq distributive hashable lens reflection @@ -160316,32 +164155,28 @@ self: { "hypertypes" = callPackage ({ mkDerivation, array, base, base-compat, binary, constraints , containers, criterion, deepseq, generic-constraints, generic-data - , lattices, lens, monad-st, mtl, pretty, QuickCheck - , show-combinators, template-haskell, text, th-abstraction - , transformers + , lattices, lens, monad-st, mtl, pretty, show-combinators, tasty + , tasty-hunit, template-haskell, text, th-abstraction, transformers }: mkDerivation { pname = "hypertypes"; - version = "0.1.0.2"; - sha256 = "1zh10884a2fvj06ndh4hz2vk97x4nibr57b30kgq731x4q50zgfs"; + version = "0.2.2"; + sha256 = "1xnhrrd114hbzg6nvlzz0kkhs8y3f62dzi2yllk5x52588ik7dlj"; libraryHaskellDepends = [ array base base-compat binary constraints containers deepseq generic-constraints generic-data lattices lens monad-st mtl pretty - QuickCheck show-combinators template-haskell th-abstraction - transformers + show-combinators template-haskell th-abstraction transformers ]; testHaskellDepends = [ base constraints containers generic-constraints generic-data - lattices lens monad-st mtl pretty text transformers + lattices lens monad-st mtl pretty tasty tasty-hunit text ]; benchmarkHaskellDepends = [ base constraints containers criterion generic-constraints - generic-data lattices lens monad-st mtl pretty transformers + generic-data lattices lens monad-st mtl pretty ]; description = "Typed ASTs"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "hyphenate" = callPackage @@ -160841,6 +164676,8 @@ self: { pname = "iconv"; version = "0.4.1.3"; sha256 = "0m5m0ph5im443xcz60wm1zp98bnmf8l1b5gfllxwhjriwdl52hin"; + revision = "1"; + editedCabalFile = "001p7djangjm0d6fm3c7pfiw9w95a3dfipd3iaac42zk9bp6plsf"; libraryHaskellDepends = [ base bytestring ]; description = "String encoding conversion"; license = lib.licenses.bsd3; @@ -161349,15 +165186,13 @@ self: { ({ mkDerivation, base, ghc, ghc-tcplugin-api }: mkDerivation { pname = "if-instance"; - version = "0.3.1.0"; - sha256 = "112f1nz684wdsmbg5srizb4j9lizrfwgdzm1fpyb5vsbj3ib0fh9"; + version = "0.4.0.0"; + sha256 = "09q3l2fz2ym89cpah6gm3db47i2m7cwzz0wgz2c6sfycxfq6vzp8"; libraryHaskellDepends = [ base ghc ghc-tcplugin-api ]; testHaskellDepends = [ base ghc ]; doHaddock = false; description = "Branch on whether a constraint is satisfied"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "ifcxt" = callPackage @@ -161872,8 +165707,8 @@ self: { pname = "ilist"; version = "0.4.0.1"; sha256 = "016wa9n4glxcyvbifvfz2khk9i1i5wzfyl952vp1fhwpjrr8aj04"; - revision = "2"; - editedCabalFile = "0iq78rqv6w6vir85p921sawbvkn8lrlhfqd5yx4j2laljcmg2isr"; + revision = "3"; + editedCabalFile = "04c1cwli9bbh293ag8ak24lpdgqwpq19dbk2axf30byhygx1jq0j"; libraryHaskellDepends = [ base ]; testHaskellDepends = [ base hspec transformers ]; benchmarkHaskellDepends = [ @@ -161881,8 +165716,6 @@ self: { ]; description = "Optimised list functions for doing index-related things"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "illuminate" = callPackage @@ -162238,7 +166071,6 @@ self: { description = "Execute arbitrary actions for each item from RSS/Atom feeds"; license = lib.licenses.cc0; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "immortal_0_2_2_1" = callPackage @@ -162355,7 +166187,6 @@ self: { description = "Deep embedding of imperative programs with code generation"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "imperative-edsl-vhdl" = callPackage @@ -162373,7 +166204,6 @@ self: { description = "Deep embedding of VHDL programs with code generation"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "impl" = callPackage @@ -162797,7 +166627,6 @@ self: { ]; description = "A Prelude for Polysemy"; license = "BSD-2-Clause-Patent"; - hydraPlatforms = lib.platforms.none; }) {}; "incipit-base" = callPackage @@ -163326,6 +167155,8 @@ self: { pname = "indigo"; version = "0.6.0"; sha256 = "071jrmyywzkc9i2m0m68kvvwdlimcwscgxq1ik1x42dx3x6mws68"; + revision = "1"; + editedCabalFile = "0a5hzj5m3qnbv7f4385lb3f308gj6r4pr8r0dncqqc6bav4gfqaf"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -163627,23 +167458,22 @@ self: { "inflections" = callPackage ({ mkDerivation, base, containers, exceptions, hspec - , hspec-megaparsec, megaparsec, QuickCheck, text + , hspec-discover, hspec-megaparsec, megaparsec, QuickCheck, text , unordered-containers }: mkDerivation { pname = "inflections"; - version = "0.4.0.6"; - sha256 = "1054v250p86gb1kvbrp60fzwds8v8nsqap1970j7hgk5hjwq1xj1"; + version = "0.4.0.7"; + sha256 = "0gafsyv4k45ff61jym29vp02zyirml8j9pkp507ssr0zz5jasgjr"; libraryHaskellDepends = [ base exceptions megaparsec text unordered-containers ]; testHaskellDepends = [ base containers hspec hspec-megaparsec megaparsec QuickCheck text ]; + testToolDepends = [ hspec-discover ]; description = "Inflections library for Haskell"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "inflist" = callPackage @@ -164864,10 +168694,8 @@ self: { }: mkDerivation { pname = "intern"; - version = "0.9.4"; - sha256 = "00c74apc2ap1pjxmzk1c975zzqrc94p69l7v1fvfakv87mbrg8j0"; - revision = "3"; - editedCabalFile = "03a2z3vs7rk666qhpc5avrpga8pgz8giml743zw6i7470ikznrkg"; + version = "0.9.5"; + sha256 = "0jsylr86vlwn7pqzpkbja84br6i2r7d4a1vgqb3zcl92n306wzln"; libraryHaskellDepends = [ array base bytestring hashable text unordered-containers ]; @@ -165232,8 +169060,6 @@ self: { ]; description = "Intervals, and monoids thereof"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "interval-tree-clock" = callPackage @@ -165437,8 +169263,8 @@ self: { }: mkDerivation { pname = "invariant"; - version = "0.6.1"; - sha256 = "1w6ln343d72hx8q4i7h1ca7gfqyb79ghc3q2fxp9qkjmwsnr8wpv"; + version = "0.6.2"; + sha256 = "0271grdzgjfa86hvy50vrqh8k7n0l48i524k3bgyjmwa13l8ar63"; libraryHaskellDepends = [ array base bifunctors comonad containers contravariant ghc-prim profunctors StateVar stm tagged template-haskell th-abstraction @@ -165474,9 +169300,7 @@ self: { ]; description = "Project statistics and definition analysis"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "inventory"; - broken = true; }) {}; "invert" = callPackage @@ -165519,8 +169343,6 @@ self: { testHaskellDepends = [ base QuickCheck transformers ]; description = "bidirectional arrows, bijective functions, and invariant functors"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "invertible-grammar" = callPackage @@ -165565,7 +169387,6 @@ self: { ]; description = "invertible transformer instances for HXT Picklers"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "invertible-syntax" = callPackage @@ -165639,8 +169460,8 @@ self: { ({ mkDerivation, array, async, base, bytestring, mtl, stm, time }: mkDerivation { pname = "io-classes"; - version = "1.1.0.0"; - sha256 = "11mnmz3wvgp4vw3djlyyixxjzzqfk0qk4k3pfdywkbya2mb4n4mn"; + version = "1.2.0.0"; + sha256 = "136i6py474i1wv0fvav9qb9wrz3clljxixsd4z42qcrzpyry80nn"; libraryHaskellDepends = [ array async base bytestring mtl stm time ]; @@ -165654,8 +169475,8 @@ self: { pname = "io-classes-mtl"; version = "0.1.0.1"; sha256 = "0kqq14jgpkxaz106ahr20apizgdnxz2q0ih9kwgz0q4l1v106698"; - revision = "1"; - editedCabalFile = "0a5y6pch1pb7ml7cr22xl3gh6am7xcs87ad9yqamlpy4765g3ihh"; + revision = "2"; + editedCabalFile = "15z9s5gx4z9f9sg7fjgi83ri2hpk970sjjigwpdc7ask4f2j9ff4"; libraryHaskellDepends = [ array base io-classes mtl si-timers ]; description = "Experimental MTL instances for io-classes"; license = lib.licenses.asl20; @@ -165729,17 +169550,18 @@ self: { }) {}; "io-sim" = callPackage - ({ mkDerivation, array, base, containers, criterion, exceptions - , io-classes, nothunks, parallel, psqueues, QuickCheck, quiet - , si-timers, strict-stm, tasty, tasty-hunit, tasty-quickcheck, time + ({ mkDerivation, array, base, containers, criterion, deepseq + , exceptions, io-classes, nothunks, parallel, psqueues, QuickCheck + , quiet, si-timers, strict-stm, tasty, tasty-hunit + , tasty-quickcheck, time }: mkDerivation { pname = "io-sim"; - version = "1.1.0.0"; - sha256 = "0pb9b08mj6zm45r5ka67y3wjvk767bqha8gpyzvgld5p743pgn8i"; + version = "1.2.0.0"; + sha256 = "1h765ab2853k5q7pg0f9mp65925xs0j2sfm4c87qmiwgy53ac6gb"; libraryHaskellDepends = [ - base containers exceptions io-classes nothunks parallel psqueues - QuickCheck quiet si-timers strict-stm time + base containers deepseq exceptions io-classes nothunks parallel + psqueues QuickCheck quiet si-timers strict-stm time ]; testHaskellDepends = [ array base containers io-classes parallel QuickCheck si-timers @@ -167738,6 +171560,8 @@ self: { pname = "ixset-typed"; version = "0.5.1.0"; sha256 = "033rm2sik1qz4dmqlabjzwwqw38vj3hrwlkmhm554yvk1n3v9dq8"; + revision = "1"; + editedCabalFile = "16xmr7rlxjq89r2zsq88781r95ayf56bw36gial7hfjjcknqvgxk"; libraryHaskellDepends = [ base containers deepseq safecopy syb template-haskell ]; @@ -167746,8 +171570,6 @@ self: { ]; description = "Efficient relational queries on Haskell sets"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "ixset-typed-binary-instance" = callPackage @@ -167759,7 +171581,6 @@ self: { libraryHaskellDepends = [ base binary ixset-typed ]; description = "Binary instance for ixset-typed"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "ixset-typed-cassava" = callPackage @@ -167775,7 +171596,6 @@ self: { ]; description = "cassava encoding and decoding via ixset-typed"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "ixset-typed-conversions" = callPackage @@ -167792,7 +171612,6 @@ self: { ]; description = "Conversions from ixset-typed to other containers"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "ixset-typed-hashable-instance" = callPackage @@ -167804,7 +171623,6 @@ self: { libraryHaskellDepends = [ base hashable ixset-typed ]; description = "Hashable instance for ixset-typed"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "ixshader" = callPackage @@ -167861,7 +171679,6 @@ self: { description = "J in Haskell"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "j2hs" = callPackage @@ -168000,7 +171817,6 @@ self: { ]; description = "Jack, zonal, and Schur polynomials"; license = lib.licenses.gpl3Only; - hydraPlatforms = lib.platforms.none; }) {}; "jacobi-elliptic" = callPackage @@ -168314,6 +172130,7 @@ self: { description = "Bindings to the JNI and a high level interface generator"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "java-bridge-extras" = callPackage @@ -168429,7 +172246,6 @@ self: { executableHaskellDepends = [ base ghcjs-base-stub ]; description = "Extra javascript functions when using GHCJS"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "javascript-extras-test"; }) {}; @@ -168906,7 +172722,6 @@ self: { description = "A job queue library"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "jobs-ui" = callPackage @@ -170108,10 +173923,8 @@ self: { }: mkDerivation { pname = "json-query"; - version = "0.2.1.0"; - sha256 = "1cla0jwqdbiifl7h8xr61nh0p2d9df77ds8npllik1n4b4wi5v5p"; - revision = "3"; - editedCabalFile = "14w6nrjg764l422zc6vbxrbqy0b8s5yynr2bf0lv674qipq7026k"; + version = "0.2.2.0"; + sha256 = "1k0rxrki8qa6gx0kqrhn9k98pn8hz3xg4kxn045r920bacfgsvi2"; libraryHaskellDepends = [ array-chunks base bytebuild bytestring contiguous json-syntax primitive primitive-unlifted profunctors scientific-notation @@ -170155,8 +173968,6 @@ self: { ]; description = "Fully-featured JSON-RPC 2.0 library"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "json-rpc-client" = callPackage @@ -170259,7 +174070,6 @@ self: { description = "Types and type classes for defining JSON schemas"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "json-sop" = callPackage @@ -170271,6 +174081,8 @@ self: { pname = "json-sop"; version = "0.2.2"; sha256 = "17smxridqmbj1ic2b25kv1byr07lw6phqa1jx98a5yccflwhvmq4"; + revision = "1"; + editedCabalFile = "0k5cxbqf062f2slqq57vm4qr32kd3xa8kn9zmvl06iwwb2fd6zjf"; libraryHaskellDepends = [ aeson base generics-sop lens-sop tagged text time transformers unordered-containers vector @@ -170369,12 +174181,12 @@ self: { }: mkDerivation { pname = "json-syntax"; - version = "0.2.4.0"; - sha256 = "0mhlz6w7zzp97l675jxcwlwhz1r10d4m5mqmdmq12qyamsj8l7hg"; + version = "0.2.6.1"; + sha256 = "0zhmzpxcv4jybw669kaz93am46l4da3v0sa14avmvw7z8yd08mqm"; libraryHaskellDepends = [ array-builder array-chunks base bytebuild byteslice bytesmith bytestring contiguous natural-arithmetic primitive run-st - scientific-notation text-short word-compat zigzag + scientific-notation text text-short word-compat zigzag ]; testHaskellDepends = [ aeson array-chunks base bytebuild byteslice bytestring containers @@ -170827,6 +174639,7 @@ self: { description = "JSON-RPC 2.0 server over a Conduit."; license = lib.licenses.gpl3Only; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "jsonrpc-tinyclient" = callPackage @@ -171118,9 +174931,7 @@ self: { ]; description = "SVG to G-Code converter"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "juicy-gcode"; - broken = true; }) {}; "jukebox" = callPackage @@ -171708,8 +175519,8 @@ self: { pname = "kansas-comet"; version = "0.4.1"; sha256 = "1j54rsqna8xrw1si8i74v0c9k4jjv8a2q001aa8sx4rxb7d1qbzy"; - revision = "6"; - editedCabalFile = "1zmxwppdm0mpc0sh8h35vrp259wig4k11gx1zx6s1089mncrp12f"; + revision = "7"; + editedCabalFile = "15i4wiqpxhmx80n5wavwgyvwlv8sn6z8xiq3h61rksjlacjq05jw"; enableSeparateDataOutput = true; libraryHaskellDepends = [ aeson base containers data-default-class scotty stm text time @@ -172965,7 +176776,6 @@ self: { license = lib.licenses.bsd3; platforms = [ "x86_64-linux" ]; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "keiretsu" = callPackage @@ -173089,8 +176899,8 @@ self: { }: mkDerivation { pname = "keter"; - version = "2.1.1"; - sha256 = "1wsg1jd8gllbkxw6czh02c03s6lbfmw05giq2d4jp6vckpq3yqla"; + version = "2.1.2"; + sha256 = "1ig64jc9xk4cy1s5ip38nvdp1jsnp122gkrlnah8rh6pw56qcdfc"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -173115,6 +176925,18 @@ self: { mainProgram = "keter"; }) {}; + "keuringsdienst" = callPackage + ({ mkDerivation, aeson, base, containers, HTF, text }: + mkDerivation { + pname = "keuringsdienst"; + version = "0.1.0.5"; + sha256 = "111f7895mqrvmdnpm7n4h7q1z5vyb6mdgy33abvrib9x0rsjyqbi"; + libraryHaskellDepends = [ aeson base containers text ]; + testHaskellDepends = [ aeson base containers HTF text ]; + description = "Data validation in Haskell made easy"; + license = lib.licenses.gpl3Only; + }) {}; + "kevin" = callPackage ({ mkDerivation, attoparsec, base, bytestring, containers , cprng-aes, damnpacket, data-default, exceptions, HTTP, lens, mtl @@ -173226,8 +177048,8 @@ self: { pname = "keycode"; version = "0.2.2"; sha256 = "046k8d1h5wwadf5z4pppjkc3g7v2zxlzb06s1xgixc42y5y41yan"; - revision = "9"; - editedCabalFile = "17k52bhfyx2vpj6wpsmfjw9gbxwhc1zi1jq005lfa7hgc4ly5iw2"; + revision = "10"; + editedCabalFile = "1k2vh3pyxvhfxcx8ra16dl6jrgc5hz0wc4hn9w20z347v1hr51nq"; libraryHaskellDepends = [ base containers ghc-prim template-haskell ]; @@ -173261,8 +177083,6 @@ self: { ]; description = "An abstract Handle for accessing collections in stores like Redis"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "keyed-vals-hspec-tests" = callPackage @@ -173279,7 +177099,6 @@ self: { ]; description = "Validate a keyed-vals Handle"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "keyed-vals-mem" = callPackage @@ -173296,7 +177115,6 @@ self: { testHaskellDepends = [ base hspec keyed-vals-hspec-tests ]; description = "Implements a keyed-vals Handle using in-process memory"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "keyed-vals-redis" = callPackage @@ -173318,7 +177136,6 @@ self: { ]; description = "Access Redis using a keyed-vals Handle"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "keylayouts" = callPackage @@ -173668,8 +177485,6 @@ self: { ]; description = "Generic programming in GHC style for arbitrary kinds and GADTs"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "kind-generics-th" = callPackage @@ -173678,8 +177493,8 @@ self: { }: mkDerivation { pname = "kind-generics-th"; - version = "0.2.3.2"; - sha256 = "1k7byznlp3xnxmgw8dh5bgdjf3ygxki76xbq7m6w33bcd0gp98l4"; + version = "0.2.3.3"; + sha256 = "1jilhnjqgcnaip9i8n6mff0zs91m4b8aciy1a0pi9jvqz2jdaxrz"; libraryHaskellDepends = [ base fcf-family ghc-prim kind-generics template-haskell th-abstraction @@ -173690,13 +177505,13 @@ self: { }) {}; "kind-integer" = callPackage - ({ mkDerivation, base, singletons }: + ({ mkDerivation, base, singletons, singletons-base }: mkDerivation { pname = "kind-integer"; - version = "0.5"; - sha256 = "08xc905lhpxyimr6g4lk88z6w03bs7wqhamgggchvn63j9yk8pln"; - libraryHaskellDepends = [ base singletons ]; - testHaskellDepends = [ base ]; + version = "0.6.0"; + sha256 = "0kb7ys5ig24h1yrbalwyi14mb53g3djl61m1f412w3440yxk7kyb"; + libraryHaskellDepends = [ base singletons singletons-base ]; + testHaskellDepends = [ base singletons singletons-base ]; description = "Type-level integers. Like KnownNat, but for integers."; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; @@ -173704,13 +177519,17 @@ self: { }) {}; "kind-rational" = callPackage - ({ mkDerivation, base, kind-integer, singletons }: + ({ mkDerivation, base, kind-integer, singletons, singletons-base }: mkDerivation { pname = "kind-rational"; - version = "0.4"; - sha256 = "070jks3hwn59f9bm0y0fllyzx0qbps4i5bh96rnjnq3x8xlf3ad1"; - libraryHaskellDepends = [ base kind-integer singletons ]; - testHaskellDepends = [ base kind-integer singletons ]; + version = "0.5.0"; + sha256 = "17b13iyx8wpmfw07zi3rg5hc2d2bbfpw0qzgzdd0rz92krlj8wbx"; + libraryHaskellDepends = [ + base kind-integer singletons singletons-base + ]; + testHaskellDepends = [ + base kind-integer singletons singletons-base + ]; description = "Type-level rationals. Like KnownNat, but for rationals."; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; @@ -174810,7 +178629,6 @@ self: { testHaskellDepends = [ base hspec servant servant-foreign text ]; description = "Generate Ruby clients from Servant APIs"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "lacroix" = callPackage @@ -174843,7 +178661,6 @@ self: { description = "Solve Lagrange multiplier problems"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "laika" = callPackage @@ -175322,6 +179139,7 @@ self: { license = lib.licenses.gpl2Plus; hydraPlatforms = lib.platforms.none; mainProgram = "telegram-lambdabot"; + broken = true; }) {}; "lambdabot-trusted" = callPackage @@ -175775,7 +179593,6 @@ self: { description = "A strange and unnecessary selective test-running library"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "landlock" = callPackage @@ -177295,7 +181112,6 @@ self: { ]; description = "Numerical Linear Algebra using LAPACK"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; maintainers = [ lib.maintainers.thielema ]; }) {}; @@ -177389,7 +181205,6 @@ self: { ]; description = "Conversion of objects between 'lapack' and 'hmatrix'"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; maintainers = [ lib.maintainers.thielema ]; }) {}; @@ -177918,8 +181733,6 @@ self: { ]; description = "Hedgehog support for lawful-classes"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "lawful-classes-quickcheck" = callPackage @@ -177998,6 +181811,26 @@ self: { license = lib.licenses.bsd3; }) {}; + "layered-graph-drawing" = callPackage + ({ mkDerivation, aeson, base, containers, data-ordlist + , intmap-graph, text, vector, vector-algorithms + }: + mkDerivation { + pname = "layered-graph-drawing"; + version = "0.1.0.0"; + sha256 = "01fivjy6nf2zvcc20djyklq71pcl7mp9167ijc8vjxn75vq5scls"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + aeson base containers data-ordlist intmap-graph text vector + vector-algorithms + ]; + executableHaskellDepends = [ base containers intmap-graph text ]; + description = "Layered Graph Drawing after Sugiyama"; + license = lib.licenses.bsd3; + mainProgram = "graph-drawing-exe"; + }) {}; + "layered-state" = callPackage ({ mkDerivation, base, constraints, criterion, data-default , deepseq, either, exceptions, kan-extensions, lens, lens-utils @@ -178218,6 +182051,7 @@ self: { description = "Identifiers for not-yet-computed values"; license = lib.licenses.gpl3Only; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "lazy-hash-cache" = callPackage @@ -179662,28 +183496,28 @@ self: { }) {}; "lentil" = callPackage - ({ mkDerivation, ansi-wl-pprint, base, csv, deepseq, directory - , dlist, filemanip, filepath, hspec, hspec-discover, megaparsec - , mtl, natural-sort, optparse-applicative, regex-tdfa, semigroups - , terminal-progress-bar, text + ({ mkDerivation, base, csv, deepseq, directory, dlist, filemanip + , filepath, hspec, hspec-discover, megaparsec, mtl, natural-sort + , optparse-applicative, prettyprinter, prettyprinter-ansi-terminal + , regex-tdfa, semigroups, terminal-progress-bar, text }: mkDerivation { pname = "lentil"; - version = "1.5.5.4"; - sha256 = "04srkha0nv4k7gxma3q09p9zph6z6v0blpvqivn46g071zdf76cj"; - revision = "1"; - editedCabalFile = "1hnx82b18hys661im4gdv19nr5ngdhip23lrb2m61qqng473g83r"; + version = "1.5.6.0"; + sha256 = "0sjhhvrw3xbisg8mi1g67yj5r43wzyhqav61wm0ynb1wakc7das1"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ - ansi-wl-pprint base csv deepseq directory dlist filemanip filepath - megaparsec mtl natural-sort optparse-applicative regex-tdfa - semigroups terminal-progress-bar text + base csv deepseq directory dlist filemanip filepath megaparsec mtl + natural-sort optparse-applicative prettyprinter + prettyprinter-ansi-terminal regex-tdfa semigroups + terminal-progress-bar text ]; testHaskellDepends = [ - ansi-wl-pprint base csv deepseq directory dlist filemanip filepath - hspec megaparsec mtl natural-sort optparse-applicative regex-tdfa - semigroups terminal-progress-bar text + base csv deepseq directory dlist filemanip filepath hspec + megaparsec mtl natural-sort optparse-applicative prettyprinter + prettyprinter-ansi-terminal regex-tdfa semigroups + terminal-progress-bar text ]; testToolDepends = [ hspec-discover ]; description = "frugal issue tracker"; @@ -180099,22 +183933,6 @@ self: { ({ mkDerivation, base, deepseq, hashable }: mkDerivation { pname = "libBF"; - version = "0.6.5.1"; - sha256 = "1l5qpdzzld6drbnh2lax5dw5whqbadr93lkps85m81xlsa5vvrin"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ base deepseq hashable ]; - executableHaskellDepends = [ base ]; - testHaskellDepends = [ base ]; - description = "A binding to the libBF library"; - license = lib.licenses.mit; - mainProgram = "bf-test"; - }) {}; - - "libBF_0_6_6" = callPackage - ({ mkDerivation, base, deepseq, hashable }: - mkDerivation { - pname = "libBF"; version = "0.6.6"; sha256 = "1wjfcpvcp749mipyj7j9s8qwj68kvhn1516l43gnq2hhfy9bpsvs"; isLibrary = true; @@ -180124,7 +183942,6 @@ self: { testHaskellDepends = [ base ]; description = "A binding to the libBF library"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; mainProgram = "bf-test"; }) {}; @@ -180176,8 +183993,6 @@ self: { ]; description = "Haskell interface to libarchive"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {inherit (pkgs) libarchive;}; "libarchive-conduit" = callPackage @@ -181014,8 +184829,6 @@ self: { libraryToolDepends = [ c2hs ]; description = "FFI bindings to libssh2 SSH2 client library (http://libssh2.org/)"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {inherit (pkgs) libssh2;}; "libssh2-conduit" = callPackage @@ -181033,7 +184846,6 @@ self: { ]; description = "Conduit wrappers for libssh2 FFI bindings (see libssh2 package)"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "libstackexchange" = callPackage @@ -181082,8 +184894,8 @@ self: { }: mkDerivation { pname = "libsystemd-journal"; - version = "1.4.5"; - sha256 = "01lgfysjclmjhfd1vl36r6xb7zl5i1pzzivzi1qwnih01laa5ix8"; + version = "1.4.5.1"; + sha256 = "1d2pm38nq8bk55lva1y1p5789qdkcmmc1z376lnjs4f0jgb6pnhn"; libraryHaskellDepends = [ base bytestring hashable hsyslog pipes pipes-safe semigroups text transformers uniplate unix-bytestring unordered-containers uuid @@ -181417,8 +185229,8 @@ self: { pname = "lift-generics"; version = "0.2.1"; sha256 = "1qkzq8hcb6j15cslv577bmhjcxmljzsrryysdgd7r99kr3q445b4"; - revision = "4"; - editedCabalFile = "07nf6d1fb0vc3fyldrgysmbc67hd94npp5f2hclrr3rhklfm7k91"; + revision = "5"; + editedCabalFile = "142b5q8vcl583h23syr2i2i3ngcdxpfvds08h9cb0qqp789c5ys3"; libraryHaskellDepends = [ base generic-deriving ghc-prim template-haskell th-compat ]; @@ -182018,8 +185830,8 @@ self: { pname = "linear"; version = "1.22"; sha256 = "1ddr0c54kbi9bw7d62i6h3jiss3q0qmrdpkvckajz6qf5lwkxr6g"; - revision = "1"; - editedCabalFile = "1p94bq3pwqvj4qd1lp0w84k514xd9vib76gw0d16q1xh27c2sz4h"; + revision = "2"; + editedCabalFile = "0ksiy3rkswrwdlz0fsq26dmc4xvw0fm8y7ixdpvgdfpkjr255c8y"; libraryHaskellDepends = [ adjunctions base base-orphans binary bytes cereal containers deepseq distributive ghc-prim hashable indexed-traversable lens @@ -182125,7 +185937,6 @@ self: { ]; description = "Compute resistance of linear electrical circuits"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; maintainers = [ lib.maintainers.thielema ]; }) {}; @@ -182347,7 +186158,6 @@ self: { ]; description = "Native, complete-ish, matrix-free linear algebra"; license = lib.licenses.gpl3Only; - hydraPlatforms = lib.platforms.none; }) {}; "linearscan" = callPackage @@ -182637,7 +186447,6 @@ self: { description = "Lightweight library for building HTTP API"; license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "linnet-aeson" = callPackage @@ -183029,6 +186838,7 @@ self: { license = lib.licenses.lgpl3Only; hydraPlatforms = lib.platforms.none; mainProgram = "lio-simple"; + broken = true; }) {}; "lion" = callPackage @@ -183827,7 +187637,6 @@ self: { description = "Append only key-list database"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "lit" = callPackage @@ -183849,7 +187658,6 @@ self: { license = "GPL"; hydraPlatforms = lib.platforms.none; mainProgram = "lit"; - broken = true; }) {}; "literals" = callPackage @@ -184217,7 +188025,6 @@ self: { description = "Utility functions for the llvm interface"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "llvm-ffi" = callPackage @@ -185101,8 +188908,6 @@ self: { ]; description = "Structured logging solution (base package)"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "log-domain" = callPackage @@ -185113,8 +188918,8 @@ self: { pname = "log-domain"; version = "0.13.2"; sha256 = "0i4fx9k8cwjvmj0pgfnbici1b68zmif1jmmqxplpjqy32ksnyifa"; - revision = "2"; - editedCabalFile = "1hddf1q0gvpx7ic0qynjl9nk9g37kjh352yfk5axi36k49zfpw8d"; + revision = "3"; + editedCabalFile = "0sw686ym4m03glrgyka4m6amvv1iai6cd9f043jnr607phkdjd0k"; libraryHaskellDepends = [ base binary bytes cereal comonad deepseq distributive hashable semigroupoids semigroups vector @@ -185176,7 +188981,6 @@ self: { testHaskellDepends = [ aeson base effectful-core log-base text ]; description = "Adaptation of the log library for the effectful ecosystem"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "log-elasticsearch" = callPackage @@ -185197,7 +189001,6 @@ self: { ]; description = "Structured logging solution (Elasticsearch back end)"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "log-postgres" = callPackage @@ -186116,8 +189919,8 @@ self: { }: mkDerivation { pname = "looksee"; - version = "0.5.0"; - sha256 = "0da272255k0lj7y5vmi8y3zx015rhwsac7isvmywzhmgf7b6g3nv"; + version = "0.5.1"; + sha256 = "1cw0bfj9hkzv1vzcl0lp5wa2dyq96pf34jjzmqrvp1ak2mc7si7m"; libraryHaskellDepends = [ base bifunctors containers errata mmorph mtl recursion-schemes scientific text vector @@ -186126,10 +189929,8 @@ self: { base bifunctors containers errata mmorph mtl recursion-schemes scientific tasty tasty-hunit text vector ]; - description = "parser with looksee"; + description = "A simple text parser with decent errors"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "lookup-tables" = callPackage @@ -186354,8 +190155,10 @@ self: { }: mkDerivation { pname = "lorentz"; - version = "0.15.2"; - sha256 = "1jnh4prjkjbiy3qhwn0iz4immhhqrdhbnqagyiqlinbrpb3nzm8x"; + version = "0.16.0"; + sha256 = "1xwwjafpc3l16rrxvxnpap6j8ba7kdj5y76n0kkh15zz7qlwq8xd"; + revision = "1"; + editedCabalFile = "01mcsyg4wvv1i0a6m7c4vi2ad6wiy55m1hl3n0pbscbazzhklji6"; libraryHaskellDepends = [ aeson-pretty base-noprelude bimap bytestring constraints containers cryptonite data-default first-class-families lens morley @@ -186641,6 +190444,32 @@ self: { broken = true; }) {}; + "lsh" = callPackage + ({ mkDerivation, base, containers, directory, filepath + , optparse-applicative, time + }: + mkDerivation { + pname = "lsh"; + version = "0.1.0.0"; + sha256 = "16dw5637gbsxwv21wynic1cfc0rq11q8mrbslyy959hxxl0x6x18"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + base containers directory filepath optparse-applicative time + ]; + executableHaskellDepends = [ + base containers directory filepath optparse-applicative time + ]; + testHaskellDepends = [ + base containers directory filepath optparse-applicative time + ]; + description = "A simple ls clone with modern, colored formatting"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + mainProgram = "lsh-exe"; + broken = true; + }) {}; + "lsp_1_4_0_0" = callPackage ({ mkDerivation, aeson, async, attoparsec, base, bytestring , containers, data-default, exceptions, filepath, hashable @@ -186678,35 +190507,6 @@ self: { ({ mkDerivation, aeson, async, attoparsec, base, bytestring , co-log-core, containers, data-default, directory, exceptions , filepath, hashable, hspec, hspec-discover, lens, lsp-types, mtl - , prettyprinter, random, sorted-list, stm, temporary, text - , text-rope, transformers, unliftio-core, unordered-containers - , uuid - }: - mkDerivation { - pname = "lsp"; - version = "1.6.0.0"; - sha256 = "0w04n299d8yh545jggh93wm6nxpp5jwz8hr7qynbxslcdrv06s49"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ - aeson async attoparsec base bytestring co-log-core containers - data-default directory exceptions filepath hashable lens lsp-types - mtl prettyprinter random sorted-list stm temporary text text-rope - transformers unliftio-core unordered-containers uuid - ]; - testHaskellDepends = [ - base containers hspec sorted-list text text-rope - unordered-containers - ]; - testToolDepends = [ hspec-discover ]; - description = "Haskell library for the Microsoft Language Server Protocol"; - license = lib.licenses.mit; - }) {}; - - "lsp_2_1_0_0" = callPackage - ({ mkDerivation, aeson, async, attoparsec, base, bytestring - , co-log-core, containers, data-default, directory, exceptions - , filepath, hashable, hspec, hspec-discover, lens, lsp-types, mtl , prettyprinter, random, row-types, sorted-list, stm, temporary , text, text-rope, transformers, unliftio-core , unordered-containers, uuid @@ -186730,7 +190530,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Haskell library for the Microsoft Language Server Protocol"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "lsp-client" = callPackage @@ -186756,6 +190555,8 @@ self: { ]; description = "Haskell library for Language Server Protocol clients"; license = lib.licenses.asl20; + hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "lsp-test" = callPackage @@ -186763,35 +190564,6 @@ self: { , bytestring, co-log-core, conduit, conduit-parse, containers , data-default, Diff, directory, exceptions, extra, filepath, Glob , hspec, lens, lsp, lsp-types, mtl, parser-combinators, process - , some, text, time, transformers, unix, unliftio - , unordered-containers - }: - mkDerivation { - pname = "lsp-test"; - version = "0.14.1.0"; - sha256 = "0db4mpzn05k3isk1a3rdpqr7if9j0kr3bxdri9739q9a8azf4fp1"; - libraryHaskellDepends = [ - aeson aeson-pretty ansi-terminal async base bytestring co-log-core - conduit conduit-parse containers data-default Diff directory - exceptions filepath Glob lens lsp lsp-types mtl parser-combinators - process some text time transformers unix unordered-containers - ]; - testHaskellDepends = [ - aeson base co-log-core data-default directory filepath hspec lens - lsp mtl parser-combinators process text unliftio - unordered-containers - ]; - testToolDepends = [ lsp ]; - benchmarkHaskellDepends = [ base extra lsp process ]; - description = "Functional test framework for LSP servers"; - license = lib.licenses.bsd3; - }) {}; - - "lsp-test_0_15_0_1" = callPackage - ({ mkDerivation, aeson, aeson-pretty, ansi-terminal, async, base - , bytestring, co-log-core, conduit, conduit-parse, containers - , data-default, Diff, directory, exceptions, extra, filepath, Glob - , hspec, lens, lsp, lsp-types, mtl, parser-combinators, process , row-types, some, text, time, transformers, unix, unliftio }: mkDerivation { @@ -186812,7 +190584,6 @@ self: { benchmarkHaskellDepends = [ base extra lsp process ]; description = "Functional test framework for LSP servers"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "lsp-types_1_4_0_1" = callPackage @@ -186841,31 +190612,6 @@ self: { "lsp-types" = callPackage ({ mkDerivation, aeson, base, binary, containers, data-default - , deepseq, Diff, dlist, exceptions, filepath, hashable, hspec - , hspec-discover, lens, mod, mtl, network-uri, QuickCheck - , quickcheck-instances, safe, scientific, some, template-haskell - , text, tuple, unordered-containers - }: - mkDerivation { - pname = "lsp-types"; - version = "1.6.0.0"; - sha256 = "00lqq5lw7pi8qrnjlibsvhldp747kdc9zkr6rg3bbkbz7kxw8p9q"; - libraryHaskellDepends = [ - aeson base binary containers data-default deepseq Diff dlist - exceptions filepath hashable lens mod mtl network-uri safe - scientific some template-haskell text unordered-containers - ]; - testHaskellDepends = [ - aeson base filepath hspec lens network-uri QuickCheck - quickcheck-instances text tuple - ]; - testToolDepends = [ hspec-discover ]; - description = "Haskell library for the Microsoft Language Server Protocol, data types"; - license = lib.licenses.mit; - }) {}; - - "lsp-types_2_0_1_0" = callPackage - ({ mkDerivation, aeson, base, binary, containers, data-default , deepseq, Diff, directory, dlist, exceptions, file-embed, filepath , hashable, hspec, hspec-discover, lens, mod, mtl, network-uri , prettyprinter, QuickCheck, quickcheck-instances, regex, row-types @@ -186873,8 +190619,8 @@ self: { }: mkDerivation { pname = "lsp-types"; - version = "2.0.1.0"; - sha256 = "1q7zc7jpyf44x10fk4wccq7k8sqq2fkqrx75v2rk1ahlklanqh2p"; + version = "2.0.1.1"; + sha256 = "0g5z28iy4ar96x5fmj1v8g60wz3drp39393161f2hjyp3kq8nfh0"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -186893,7 +190639,6 @@ self: { doHaddock = false; description = "Haskell library for the Microsoft Language Server Protocol, data types"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; mainProgram = "generator"; }) {}; @@ -187474,12 +191219,12 @@ self: { }: mkDerivation { pname = "lumberjack"; - version = "1.0.2.0"; - sha256 = "1yr1l1i5snmbc7h7aykc15mkynw5jcyzx9569hs4svcd92x0lf04"; + version = "1.0.3.0"; + sha256 = "05a4gvc47448crb0yn0fwi3y9bwdqlmnch839hljwpyamqvqwi8q"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ - base contravariant exceptions mtl prettyprinter + base contravariant exceptions prettyprinter prettyprinter-ansi-terminal text time ]; executableHaskellDepends = [ @@ -187740,21 +191485,21 @@ self: { }) {}; "lz4-bytes" = callPackage - ({ mkDerivation, base, byteslice, primitive, run-st, tasty - , tasty-quickcheck + ({ mkDerivation, base, byte-order, byteslice, primitive, run-st + , tasty, tasty-quickcheck }: mkDerivation { pname = "lz4-bytes"; - version = "0.1.0.2"; - sha256 = "1h0rfc273jszv3c83izkr7v8x7zqikbayf20yhahj2fl129ar362"; - libraryHaskellDepends = [ base byteslice primitive run-st ]; + version = "0.1.1.0"; + sha256 = "1h445dkw9cnzj01bm1hq5bvy48ybnwrd1ak2q7676ybvzl8qzffa"; + libraryHaskellDepends = [ + base byte-order byteslice primitive run-st + ]; testHaskellDepends = [ base byteslice primitive tasty tasty-quickcheck ]; description = "Bindings to LZ4"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "lz4-conduit" = callPackage @@ -188617,7 +192362,6 @@ self: { ]; description = "Compute solutions for Magico puzzle"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "magico"; maintainers = [ lib.maintainers.thielema ]; }) {}; @@ -188633,8 +192377,6 @@ self: { testHaskellDepends = [ base ghc-prim ]; description = "magma is an algebraic structure"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "magmas" = callPackage @@ -189542,7 +193284,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "mangopay-passphrase"; - broken = true; }) {}; "mangrove" = callPackage @@ -189613,6 +193354,7 @@ self: { description = "Coordinate-free hypersurfaces"; license = lib.licenses.gpl3Only; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "manifolds-core" = callPackage @@ -190378,7 +194120,6 @@ self: { description = "Compatibility of 'massiv' with 'persist'"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "massiv-scheduler" = callPackage @@ -191596,14 +195337,14 @@ self: { pname = "md5"; version = "0.1.0.0"; sha256 = "0h0m6wwis1p2dl689wllywp338yxyykghfnznsq6jfb9vdfavzs4"; + revision = "1"; + editedCabalFile = "1rz2gjn049yjcans2xw6npkh30vzp7qdv6ar4pzjhpjjhrl8aqzh"; libraryHaskellDepends = [ base bytebuild byteslice primitive ]; testHaskellDepends = [ base bytebuild byteslice natural-arithmetic primitive ]; description = "MD5 Hash"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "mdapi" = callPackage @@ -191711,8 +195452,8 @@ self: { }: mkDerivation { pname = "mealy"; - version = "0.4.2"; - sha256 = "11b4q93sfkjvcg2jpwxp68mvfhk167z08jx0hn0ia682sgvzh4p3"; + version = "0.4.3"; + sha256 = "1p5dn0phbvz5jfkhfifppdzz3jphcmifcqmrv9nxklw4cfcbgl6w"; libraryHaskellDepends = [ adjunctions base containers mwc-probability numhask numhask-array primitive profunctors tdigest text vector vector-algorithms @@ -192225,8 +195966,6 @@ self: { ]; description = "An Elf parser"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "mellon-core" = callPackage @@ -192543,6 +196282,7 @@ self: { license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; mainProgram = "memis"; + broken = true; }) {}; "memo-ptr" = callPackage @@ -193573,8 +197313,8 @@ self: { pname = "microaeson"; version = "0.1.0.1"; sha256 = "0rx5gm7apazc0sm65v687ab5106ximka9khizxq1lbckd2x0cq3q"; - revision = "5"; - editedCabalFile = "0ri4hmai3g1xn8vmmvvfbvvbgm0wjiwwjbp3ympidrkpnz9b9rq6"; + revision = "6"; + editedCabalFile = "0m32nnlldj2q261p6hwya9f9jqv6w33ws8wkizar4p2c1mv7lf2k"; libraryHaskellDepends = [ array base bytestring containers deepseq fail text ]; @@ -193722,6 +197462,27 @@ self: { license = lib.licenses.mit; }) {}; + "microlens-aeson_2_5_1" = callPackage + ({ mkDerivation, aeson, attoparsec, base, bytestring, hashable + , microlens, scientific, tasty, tasty-hunit, text, vector + }: + mkDerivation { + pname = "microlens-aeson"; + version = "2.5.1"; + sha256 = "02p5qk1d7rn6h7vfvj0rzi05swd6fp04mhri60nysm6dv135qxgp"; + libraryHaskellDepends = [ + aeson attoparsec base bytestring hashable microlens scientific text + vector + ]; + testHaskellDepends = [ + aeson base bytestring hashable microlens tasty tasty-hunit text + vector + ]; + description = "Law-abiding lenses for Aeson, using microlens"; + license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; + }) {}; + "microlens-contra" = callPackage ({ mkDerivation, base, microlens }: mkDerivation { @@ -194116,6 +197877,23 @@ self: { broken = true; }) {}; + "mig" = callPackage + ({ mkDerivation, aeson, base, blaze-html, blaze-markup, bytestring + , case-insensitive, containers, exceptions, http-api-data + , http-types, mtl, text, wai, warp + }: + mkDerivation { + pname = "mig"; + version = "0.1.0.3"; + sha256 = "1xkiq1ca2rxxzn8a8y0scc6w78lwqlxfdskjay38i1ghlq7brb5s"; + libraryHaskellDepends = [ + aeson base blaze-html blaze-markup bytestring case-insensitive + containers exceptions http-api-data http-types mtl text wai warp + ]; + description = "Build lightweight and composable servers"; + license = lib.licenses.bsd3; + }) {}; + "mighttpd" = callPackage ({ mkDerivation, base, bytestring, c10k, directory, filepath , haskell98, hdaemonize, hslogger, network, parsec, time, unix @@ -194188,8 +197966,6 @@ self: { ]; description = "The Metropolis algorithm"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "migrant-core" = callPackage @@ -194657,7 +198433,6 @@ self: { description = "A SDL2-based graphics library, batteries-included"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "minilight-lua" = callPackage @@ -195152,7 +198927,6 @@ self: { description = "AWS Library"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "miso" = callPackage @@ -195377,16 +199151,16 @@ self: { }) {}; "mixed-types-num" = callPackage - ({ mkDerivation, base, collect-errors, hspec, hspec-smallcheck, mtl + ({ mkDerivation, base, collect-errors, hspec, hspec-smallcheck , QuickCheck, smallcheck, template-haskell }: mkDerivation { pname = "mixed-types-num"; - version = "0.5.11"; - sha256 = "15r1lj39fkddlqfda41cp76xr8ck4fkannszxi32j7a8lkjr2f21"; + version = "0.5.12"; + sha256 = "0kyzfh8p7v4b1mv4lqgwjf161lqwf2a1xkxy148x85fjc3c5x6mm"; libraryHaskellDepends = [ - base collect-errors hspec hspec-smallcheck mtl QuickCheck - smallcheck template-haskell + base collect-errors hspec hspec-smallcheck QuickCheck smallcheck + template-haskell ]; testHaskellDepends = [ base collect-errors hspec hspec-smallcheck QuickCheck smallcheck @@ -195774,6 +199548,7 @@ self: { license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; mainProgram = "mmsyn6ukra"; + broken = true; }) {}; "mmsyn7h" = callPackage @@ -195820,7 +199595,6 @@ self: { license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; mainProgram = "mmsyn7l"; - broken = true; }) {}; "mmsyn7s" = callPackage @@ -195877,7 +199651,6 @@ self: { description = "A simple reduced basic interface to some SoX functionality or to produce a voice that can be used by mmsyn7h-array, dobutokO2-array and other similar packages"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "mmsyn7ukr-common" = callPackage @@ -195944,19 +199717,19 @@ self: { "mmzk-typeid" = callPackage ({ mkDerivation, aeson, array, base, binary, bytestring, containers - , entropy, hashable, hspec, text, time, uuid-types + , entropy, hashable, hspec, random, text, time, uuid, uuid-types }: mkDerivation { pname = "mmzk-typeid"; - version = "0.3.1.0"; - sha256 = "08w1q8nrkb8rywzc3mkfjmwik4l4zw96vigjlr4znfz4ad40642y"; + version = "0.4.0.0"; + sha256 = "1311xy49fs6psciks8sii2k9agqr6pnvykvvxqjlmwp9gkys6rjc"; libraryHaskellDepends = [ - aeson array base binary bytestring entropy hashable text time - uuid-types + aeson array base binary bytestring entropy hashable random text + time uuid uuid-types ]; testHaskellDepends = [ aeson array base binary bytestring containers entropy hashable - hspec text time uuid-types + hspec random text time uuid uuid-types ]; description = "A TypeID implementation for Haskell"; license = lib.licenses.mit; @@ -196835,17 +200608,17 @@ self: { }) {}; "monad-dijkstra" = callPackage - ({ mkDerivation, base, containers, free, hlint, mtl, psqueues - , tasty, tasty-hspec, transformers + ({ mkDerivation, base, containers, free, hlint, hspec, mtl + , psqueues, tasty, tasty-hspec, transformers }: mkDerivation { pname = "monad-dijkstra"; - version = "0.1.1.3"; - sha256 = "13zb3k0rfqpzz3ngmkqhc4zfa6p8nzj9hcsnfilkn8179pwp0qkc"; + version = "0.1.1.4"; + sha256 = "1rj6gj8ipl7frk43ss1ki906fwp7qp2q1knjvk8d3n1ivgs5hmal"; libraryHaskellDepends = [ base containers free mtl psqueues transformers ]; - testHaskellDepends = [ base hlint tasty tasty-hspec ]; + testHaskellDepends = [ base hlint hspec tasty tasty-hspec ]; description = "A monad transformer for weighted graph searches"; license = lib.licenses.bsd3; }) {}; @@ -197500,6 +201273,26 @@ self: { license = lib.licenses.bsd3; }) {}; + "monad-peel_0_3" = callPackage + ({ mkDerivation, base, extensible-exceptions, HUnit, test-framework + , test-framework-hunit, transformers + }: + mkDerivation { + pname = "monad-peel"; + version = "0.3"; + sha256 = "1vk0g2ppksy9g2qcw5vccvszsmz5xsn7ajvvm9v9bwd4h17pvvby"; + libraryHaskellDepends = [ + base extensible-exceptions transformers + ]; + testHaskellDepends = [ + base extensible-exceptions HUnit test-framework + test-framework-hunit transformers + ]; + description = "Lift control operations like exception catching through monad transformers"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + "monad-persist" = callPackage ({ mkDerivation, base, exceptions, hspec, monad-control , monad-logger, mtl, persistent, persistent-sqlite @@ -197781,8 +201574,6 @@ self: { libraryHaskellDepends = [ base effectful-core monad-time time ]; description = "Adaptation of the monad-time library for the effectful ecosystem"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "monad-timing" = callPackage @@ -197884,8 +201675,8 @@ self: { }: mkDerivation { pname = "monad-validate"; - version = "1.2.0.1"; - sha256 = "1xhpqdslsjxqz6wv4qcvz0bnkzdq3f5z481bjhpi2n3wlyf9asyq"; + version = "1.3.0.0"; + sha256 = "04sz0g493319ddhhmk0maaxa0lvllvb2c2qdrc9j99hx53a2g4p7"; libraryHaskellDepends = [ base exceptions monad-control mtl transformers transformers-base ]; @@ -198041,8 +201832,6 @@ self: { ]; description = "GHC plugin to desugar ! into do-notation"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "monadic-recursion-schemes" = callPackage @@ -198059,8 +201848,6 @@ self: { testHaskellDepends = [ base ]; description = "Recursion Schemes for Monadic version"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "monadiccp" = callPackage @@ -198204,6 +201991,23 @@ self: { license = lib.licenses.bsd2; }) {}; + "monadology_0_2" = callPackage + ({ mkDerivation, base, constraints, invariant, tasty, tasty-hunit + , text, transformers, type-rig, witness + }: + mkDerivation { + pname = "monadology"; + version = "0.2"; + sha256 = "0ad15iz8na5dm34p8gcy2jz9h6ayanfarzi4maiy263949vhm9pv"; + libraryHaskellDepends = [ + base constraints invariant transformers type-rig witness + ]; + testHaskellDepends = [ base tasty tasty-hunit text transformers ]; + description = "The best ideas in monad-related classes and types"; + license = lib.licenses.bsd2; + hydraPlatforms = lib.platforms.none; + }) {}; + "monadplus" = callPackage ({ mkDerivation, base }: mkDerivation { @@ -198215,8 +202019,6 @@ self: { libraryHaskellDepends = [ base ]; description = "Haskell98 partial maps and filters over MonadPlus"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "monads-fd" = callPackage @@ -198650,8 +202452,6 @@ self: { ]; description = "Monoids for calculation of statistics of sample"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "monoid-subclasses" = callPackage @@ -198710,8 +202510,8 @@ self: { }: mkDerivation { pname = "monoidal-functors"; - version = "0.2.2.0"; - sha256 = "1hc15igwwa177r1dkzv0h2zzjn1yf3s2zyl5vy6j71zzyzn3nwgb"; + version = "0.2.3.0"; + sha256 = "1818r1bip1bmazqi1fhvajp7gg4rp48rd2mjdib662gcq04lm3gw"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -198756,6 +202556,7 @@ self: { description = "Monoidal map type"; license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "monoidplus" = callPackage @@ -198852,32 +202653,30 @@ self: { license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; mainProgram = "monomer-flatpak-example"; - broken = true; }) {}; "monomer-hagrid" = callPackage ({ mkDerivation, base, bytestring, containers, data-default - , data-default-class, hspec, hspec-discover, ilist, lens, monomer - , mtl, stm, text + , data-default-class, hspec, hspec-discover, lens, monomer, mtl + , stm, text }: mkDerivation { pname = "monomer-hagrid"; - version = "0.3.0.1"; - sha256 = "1yqh5ixvhpsflqjy3snliy5653awy4awrsw0p39yyqxar72hzwrj"; + version = "0.3.1.0"; + sha256 = "12ym5n1gxyx6aavyikj3hi3biyz3jqsph2x6fsryc60qmhz667wm"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ - base containers data-default-class ilist lens monomer text + base containers data-default-class lens monomer text ]; testHaskellDepends = [ base bytestring containers data-default data-default-class hspec - ilist lens monomer mtl stm text + lens monomer mtl stm text ]; testToolDepends = [ hspec-discover ]; description = "A datagrid widget for the Monomer library"; license = lib.licenses.mit; badPlatforms = lib.platforms.darwin; - hydraPlatforms = lib.platforms.none; }) {}; "monomorphic" = callPackage @@ -199090,7 +202889,6 @@ self: { description = "Invocation helpers for the ReaderT-record-of-functions style"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "moonshine" = callPackage @@ -199184,15 +202982,17 @@ self: { , haskeline, hex-text, hsblst, lens, megaparsec, memory , MonadRandom, morley-prelude, mtl, named, optparse-applicative , pairing, parser-combinators, prettyprinter, scientific - , semigroups, show-type, singletons, singletons-base, some, syb + , semigroups, singletons, singletons-base, some, syb , template-haskell, text, text-manipulate, th-lift-instances , th-reify-many, time, timerep, type-errors, uncaught-exception , unordered-containers, vector, vinyl, with-utf8 }: mkDerivation { pname = "morley"; - version = "1.19.2"; - sha256 = "04b7ldvqm4nxmzzbqykf72a7nnjlqhjbrhqsczz27nn84bjxmjql"; + version = "1.20.0"; + sha256 = "1m3182fyyy2wys47098ziafph4kiy0c9niss63zz5mn22079a6ca"; + revision = "1"; + editedCabalFile = "059wv6phz8zf3g3v6rq48337s50s4y8yx9bwzjan12qq5rxbh5zl"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -199202,11 +203002,10 @@ self: { elliptic-curve first-class-families galois-field generic-deriving gitrev haskeline hex-text hsblst lens megaparsec memory MonadRandom morley-prelude mtl named optparse-applicative pairing - parser-combinators prettyprinter scientific semigroups show-type - singletons singletons-base some syb template-haskell text - text-manipulate th-lift-instances th-reify-many time timerep - type-errors uncaught-exception unordered-containers vector vinyl - with-utf8 + parser-combinators prettyprinter scientific semigroups singletons + singletons-base some syb template-haskell text text-manipulate + th-lift-instances th-reify-many time timerep type-errors + uncaught-exception unordered-containers vector vinyl with-utf8 ]; executableHaskellDepends = [ base-noprelude morley-prelude optparse-applicative @@ -199230,8 +203029,10 @@ self: { }: mkDerivation { pname = "morley-client"; - version = "0.3.2"; - sha256 = "0wallg3ryj9mq9z2qz7fxijh4phhjvi1rblmkjpqcxg3naypznn3"; + version = "0.4.0"; + sha256 = "1r6xgwh7pm6ymjz1r27d78v03srw9wc5qfhcfqlwn6xd153nb2lr"; + revision = "1"; + editedCabalFile = "0rbcm0qix3ckyyikd36bdxfhnmxmpslxh30p6k36n9vmfh154ydl"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -199264,8 +203065,10 @@ self: { }: mkDerivation { pname = "morley-prelude"; - version = "0.5.3"; - sha256 = "104gffmi6knhzby3s2b8h6mwns5i5lm48915i0zc3839f1yg6dx0"; + version = "0.6.0"; + sha256 = "1a2md32q46xpqi0h6kjpydzy52i6790y6msqwr44mfbjkkm01d98"; + revision = "1"; + editedCabalFile = "0blakwag5bj57jfavj35czppz2czm9bc2g5bqwb08r615glq9ibr"; libraryHaskellDepends = [ base-noprelude bytestring Cabal int-cast lens OddWord prettyprinter template-haskell text time universum @@ -199286,6 +203089,8 @@ self: { pname = "morley-upgradeable"; version = "0.3"; sha256 = "1rl36a686n8dv3bnvnfcr1xiqb8c3diadk5m6wr6axj4h7w0a8cc"; + revision = "1"; + editedCabalFile = "1hnm4l92qr7vj5kyn6x9syqf2qd9ql0y4sgx2y1r71kahw1wb3gx"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -200482,8 +204287,8 @@ self: { }: mkDerivation { pname = "ms-auth"; - version = "0.3.0.0"; - sha256 = "0grvzd4mlz8fa1gyjil8jnjzdymq1iiz3qpmsrk7mavgbmrfndny"; + version = "0.4.0.0"; + sha256 = "1040l7gh039kcwiiqam2rqav3k6lfnhb0iw5s9ssfln0v3d9q8s0"; libraryHaskellDepends = [ aeson base bytestring containers directory hoauth2 http-client http-types jwt scientific scotty text time transformers unliftio @@ -200497,18 +204302,18 @@ self: { "ms-azure-api" = callPackage ({ mkDerivation, aeson, base, bytestring, conduit, containers - , exceptions, hoauth2, http-client-tls, http-types, modern-uri, req - , scientific, text, time, transformers, unliftio, xeno, xmlbf - , xmlbf-xeno + , exceptions, hoauth2, http-client, http-client-tls, http-types + , modern-uri, req, scientific, text, time, transformers, unliftio + , xeno, xmlbf, xmlbf-xeno }: mkDerivation { pname = "ms-azure-api"; - version = "0.4.0.0"; - sha256 = "0kda9lw77by7cdin7zk5rmv3n5a76dam0z6jjsnn94k7wm4h7mlg"; + version = "0.6.0.1"; + sha256 = "0ssl0qnkdcm3gp8bk8hvvb7cp79hwzb5fws7gadivzz1d99nwvgp"; libraryHaskellDepends = [ aeson base bytestring conduit containers exceptions hoauth2 - http-client-tls http-types modern-uri req scientific text time - transformers unliftio xeno xmlbf xmlbf-xeno + http-client http-client-tls http-types modern-uri req scientific + text time transformers unliftio xeno xmlbf xmlbf-xeno ]; description = "Microsoft Azure API"; license = lib.licenses.bsd3; @@ -200840,8 +204645,6 @@ self: { ]; description = "SQL Server client library implemented in Haskell"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "mstate" = callPackage @@ -200901,7 +204704,6 @@ self: { description = "Library to communicate with Mt.Gox"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "mtl_2_3_1" = callPackage @@ -202220,6 +206022,7 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "example"; + broken = true; }) {}; "multivector" = callPackage @@ -203294,7 +207097,6 @@ self: { ]; description = "Binding to mybitcoin.com's Shopping Cart Interface."; license = lib.licenses.publicDomain; - hydraPlatforms = lib.platforms.none; }) {}; "myers-diff" = callPackage @@ -203371,7 +207173,6 @@ self: { description = "Sessions and continuations for Snap web apps"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "mysnapsession-example" = callPackage @@ -203430,27 +207231,40 @@ self: { }) {}; "mysql-haskell" = callPackage - ({ mkDerivation, base, binary, binary-ieee754, binary-parsers - , blaze-textual, bytestring, bytestring-lexing, cryptonite - , io-streams, memory, monad-loops, network, scientific, tasty - , tasty-hunit, tcp-streams, text, time, tls, vector, wire-streams - , word24 + ({ mkDerivation, attoparsec, base, binary, blaze-textual + , bytestring, bytestring-lexing, case-insensitive, containers + , criterion, crypton, crypton-x509, crypton-x509-store + , crypton-x509-system, data-default-class, deepseq, directory + , filepath, http-types, io-streams, memory, monad-loops, network + , pem, QuickCheck, quickcheck-instances, scanner, scientific, tasty + , tasty-hunit, tasty-quickcheck, text, time, tls + , unordered-containers, vector, word-compat }: mkDerivation { pname = "mysql-haskell"; - version = "0.8.4.3"; - sha256 = "1gjq8spl6s05kcckw78d1wi2k8blr25mbvicd1kwr653lj2d3w74"; + version = "1.1.2"; + sha256 = "10mscgpml293kpjj9f6cap55pqxjcbp7hspigmdpm806ir6119ga"; libraryHaskellDepends = [ - base binary binary-ieee754 binary-parsers blaze-textual bytestring - bytestring-lexing cryptonite io-streams memory monad-loops network - scientific tcp-streams text time tls vector wire-streams word24 + base binary blaze-textual bytestring bytestring-lexing crypton + crypton-x509 crypton-x509-store crypton-x509-system + data-default-class deepseq io-streams memory monad-loops network + pem scientific text time tls vector word-compat ]; testHaskellDepends = [ - base bytestring io-streams tasty tasty-hunit text time vector + attoparsec base binary bytestring bytestring-lexing containers + deepseq directory filepath io-streams network QuickCheck + quickcheck-instances scientific tasty tasty-hunit tasty-quickcheck + text time unordered-containers vector + ]; + benchmarkHaskellDepends = [ + attoparsec base binary bytestring case-insensitive criterion + deepseq directory filepath http-types scanner scientific text + unordered-containers vector ]; description = "pure haskell MySQL driver"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "mysql-haskell-nem" = callPackage @@ -203486,6 +207300,43 @@ self: { hydraPlatforms = lib.platforms.none; }) {}; + "mysql-pure" = callPackage + ({ mkDerivation, attoparsec, base, binary, binary-ieee754 + , blaze-textual, bytestring, bytestring-lexing, case-insensitive + , containers, criterion, crypton, crypton-x509, crypton-x509-store + , crypton-x509-system, data-default-class, deepseq, directory + , filepath, http-types, io-streams, memory, monad-loops, network + , pem, QuickCheck, quickcheck-instances, scanner, scientific, tasty + , tasty-hunit, tasty-quickcheck, text, time, tls + , unordered-containers, vector, word-compat + }: + mkDerivation { + pname = "mysql-pure"; + version = "1.1.0"; + sha256 = "098icwvp36j7r782i6bnh7r6vbb5rvmr4hrhav0yl1wzzyxq09q7"; + libraryHaskellDepends = [ + base binary binary-ieee754 blaze-textual bytestring + bytestring-lexing crypton crypton-x509 crypton-x509-store + crypton-x509-system data-default-class deepseq io-streams memory + monad-loops network pem scientific text time tls vector word-compat + ]; + testHaskellDepends = [ + attoparsec base binary bytestring bytestring-lexing containers + deepseq directory filepath io-streams network QuickCheck + quickcheck-instances scientific tasty tasty-hunit tasty-quickcheck + text time unordered-containers vector + ]; + benchmarkHaskellDepends = [ + attoparsec base binary bytestring case-insensitive criterion + deepseq directory filepath http-types scanner scientific text + unordered-containers vector + ]; + description = "pure haskell MySQL driver"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + broken = true; + }) {}; + "mysql-simple" = callPackage ({ mkDerivation, attoparsec, base, base16-bytestring, blaze-builder , bytestring, containers, hspec, mysql, old-locale, pcre-light @@ -203609,7 +207460,6 @@ self: { license = lib.licenses.isc; hydraPlatforms = lib.platforms.none; mainProgram = "mywork"; - broken = true; }) {}; "myxine-client" = callPackage @@ -204037,7 +207887,6 @@ self: { ]; description = "A parameterized named text type and associated functionality"; license = lib.licenses.isc; - hydraPlatforms = lib.platforms.none; }) {}; "namelist" = callPackage @@ -204339,7 +208188,6 @@ self: { license = lib.licenses.gpl3Only; hydraPlatforms = lib.platforms.none; mainProgram = "nanq"; - broken = true; }) {}; "naperian" = callPackage @@ -204932,6 +208780,25 @@ self: { broken = true; }) {}; + "nekos-best" = callPackage + ({ mkDerivation, aeson, base, bytestring, Cabal, containers + , http-client, http-client-tls, http-types, random + }: + mkDerivation { + pname = "nekos-best"; + version = "0.2.0.0"; + sha256 = "08wl9yci8hrgsk5274vwr7izdfdvf34rm2iy217nijdd1g8gck4x"; + setupHaskellDepends = [ base Cabal ]; + libraryHaskellDepends = [ + aeson base bytestring containers http-client http-client-tls + http-types random + ]; + description = "Unofficial nekos.best API wrapper"; + license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; + broken = true; + }) {}; + "nemesis" = callPackage ({ mkDerivation, base, containers, directory, dlist, Glob, lens , mtl, process, time @@ -205238,6 +209105,41 @@ self: { license = lib.licenses.bsd3; }) {}; + "net-mqtt_0_8_5_0" = callPackage + ({ mkDerivation, async, attoparsec, attoparsec-binary, base, binary + , bytestring, checkers, conduit, conduit-extra, containers + , crypton-connection, deepseq, HUnit, network-conduit-tls + , network-uri, optparse-applicative, QuickCheck, stm, tasty + , tasty-hunit, tasty-quickcheck, text, websockets + }: + mkDerivation { + pname = "net-mqtt"; + version = "0.8.5.0"; + sha256 = "1k66ljcskk26kqf0dhrrh4f5fc23rlfdlisgsifqjyppfqfnxfkc"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + async attoparsec attoparsec-binary base binary bytestring conduit + conduit-extra containers crypton-connection deepseq + network-conduit-tls network-uri QuickCheck stm text websockets + ]; + executableHaskellDepends = [ + async attoparsec attoparsec-binary base binary bytestring conduit + conduit-extra containers crypton-connection deepseq + network-conduit-tls network-uri optparse-applicative QuickCheck stm + text websockets + ]; + testHaskellDepends = [ + async attoparsec attoparsec-binary base binary bytestring checkers + conduit conduit-extra containers crypton-connection deepseq HUnit + network-conduit-tls network-uri QuickCheck stm tasty tasty-hunit + tasty-quickcheck text websockets + ]; + description = "An MQTT Protocol Implementation"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + "net-mqtt-lens" = callPackage ({ mkDerivation, base, HUnit, lens, net-mqtt, tasty, tasty-hunit , tasty-quickcheck @@ -205300,7 +209202,6 @@ self: { description = "A graph database middleware to maintain a time-varying graph"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "net-spider-cli" = callPackage @@ -205627,8 +209528,8 @@ self: { pname = "netrc"; version = "0.2.0.0"; sha256 = "11iax3ick0im397jyyjkny7lax9bgrlgk90a25dp2jsglkphfpls"; - revision = "11"; - editedCabalFile = "1n9wdkb8vp2ja4myb5cxlk2chl51dv4wihp6sag1aapix8w8k90p"; + revision = "12"; + editedCabalFile = "1xk4q5jmnhynyajylvzsdfhnhd64hk9fmym7z1prg1v2z8764bmp"; libraryHaskellDepends = [ base bytestring deepseq parsec ]; testHaskellDepends = [ base bytestring tasty tasty-golden tasty-quickcheck @@ -206059,8 +209960,8 @@ self: { pname = "network-bsd"; version = "2.8.1.0"; sha256 = "0kid0811lv4x761fd5gv6lsc8p5j2bn41rfd366pjb642p562jfr"; - revision = "4"; - editedCabalFile = "1gd9a8j7fwg0jz0s6il5fk9sl0hm19ja1w56ix51wa0qi2h5x56d"; + revision = "5"; + editedCabalFile = "00j8p4z1ymzh99lgy8lld0slc850xr6wfafj97bb1crvn0xgfzg2"; libraryHaskellDepends = [ base deepseq network ]; description = "POSIX network database (<netdb.h>) API"; license = lib.licenses.bsd3; @@ -206413,8 +210314,6 @@ self: { ]; description = "WebSocket backend for MessagePack RPC"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "network-metrics" = callPackage @@ -206715,8 +210614,6 @@ self: { ]; description = "Simple interface to TLS secured WebSockets"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "network-socket-options" = callPackage @@ -206842,8 +210739,6 @@ self: { ]; description = "In-memory instantiation of Network.Transport"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "network-transport-tcp" = callPackage @@ -206934,6 +210829,23 @@ self: { license = lib.licenses.bsd3; }) {}; + "network-unexceptional" = callPackage + ({ mkDerivation, base, byteslice, bytestring, error-codes, network + , posix-api, primitive, primitive-addr + }: + mkDerivation { + pname = "network-unexceptional"; + version = "0.1.1.0"; + sha256 = "17dmifcv7cwg875yk02r0r5npjk9zw1c03fmpk4l1qa3x7ziyj2x"; + libraryHaskellDepends = [ + base byteslice bytestring error-codes network posix-api primitive + primitive-addr + ]; + description = "Network functions that do not throw exceptions"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + "network-uri" = callPackage ({ mkDerivation, base, criterion, deepseq, HUnit, parsec , QuickCheck, tasty, tasty-hunit, tasty-quickcheck @@ -207606,8 +211518,8 @@ self: { ({ mkDerivation, base, Cabal, directory, filepath }: mkDerivation { pname = "ngx-export-distribution"; - version = "0.3.2.4"; - sha256 = "1zmlpxl3g90wdqjzgzhhawvv3qhr9akf595fca1mnfd2fpxg6928"; + version = "0.4.0.0"; + sha256 = "027av6pzd3w6c1kvfps1vf7jzvdd2hx159f7chykgy6n1zmybgxy"; libraryHaskellDepends = [ base Cabal directory filepath ]; description = "Build custom libraries for Nginx haskell module"; license = lib.licenses.bsd3; @@ -209773,7 +213685,6 @@ self: { description = "A Prelude inspired by the Elm programming language"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "nri-redis" = callPackage @@ -210315,7 +214226,6 @@ self: { ]; description = "Wrapper of numeric-optimization package for using with backprop package"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "numeric-prelude" = callPackage @@ -210447,6 +214357,18 @@ self: { license = lib.licenses.bsd3; }) {}; + "numhask_0_11_0_2" = callPackage + ({ mkDerivation, base, QuickCheck }: + mkDerivation { + pname = "numhask"; + version = "0.11.0.2"; + sha256 = "1km9lnkiswgf5xiy4wzcsgpnirjxbcrvj2vgr8rjj1hwfzrnwksw"; + libraryHaskellDepends = [ base QuickCheck ]; + description = "A numeric class hierarchy"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + "numhask-array" = callPackage ({ mkDerivation, adjunctions, base, distributive, numhask , QuickCheck, vector @@ -210463,6 +214385,21 @@ self: { license = lib.licenses.bsd3; }) {}; + "numhask-array_0_11_0_1" = callPackage + ({ mkDerivation, adjunctions, base, distributive, numhask, vector + }: + mkDerivation { + pname = "numhask-array"; + version = "0.11.0.1"; + sha256 = "1z9n5ls08b3329kh4hidcm0p6kx3672svkqaci6nlq2zxj6szgda"; + libraryHaskellDepends = [ + adjunctions base distributive numhask vector + ]; + description = "Multi-dimensional arrays"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + "numhask-free" = callPackage ({ mkDerivation, attoparsec, base, containers, doctest, free , numhask, text @@ -210513,7 +214450,6 @@ self: { description = "See readme.md"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "numhask-prelude" = callPackage @@ -210553,18 +214489,16 @@ self: { "numhask-space" = callPackage ({ mkDerivation, adjunctions, base, containers, distributive - , numhask, QuickCheck, random, semigroupoids, tdigest, text, time - , vector + , numhask, random, semigroupoids, tdigest, text, time, vector }: mkDerivation { pname = "numhask-space"; - version = "0.10.0.1"; - sha256 = "04y849c5ghji734k98jbmf6dc4pmwx4ny73r493rwjcbdy31bscm"; + version = "0.11.0.1"; + sha256 = "19j8zlf8hmfzdk68j1di9mdw4fhqizpirnpn1wg5kbff0xbavjpr"; libraryHaskellDepends = [ adjunctions base containers distributive numhask random semigroupoids tdigest text time vector ]; - testHaskellDepends = [ base QuickCheck ]; description = "Numerical spaces"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; @@ -210790,9 +214724,7 @@ self: { executableHaskellDepends = [ base nvim-hs ]; description = "Neovim plugin that runs ghcid to update the quickfix list"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; mainProgram = "nvim-hs-ghcid"; - broken = true; }) {}; "nvvm" = callPackage @@ -210801,8 +214733,8 @@ self: { }: mkDerivation { pname = "nvvm"; - version = "0.10.0.0"; - sha256 = "188zf4hlqgjj5xgsfvrkynhq8pc29qfkaz6rp61ij3adc30410al"; + version = "0.10.0.1"; + sha256 = "1njp0zn54i9ljr9x1751a96bzm5yf6m9ka3klgrrpzm3vz7jy2if"; setupHaskellDepends = [ base Cabal cuda directory filepath template-haskell ]; @@ -210851,7 +214783,6 @@ self: { testToolDepends = [ tasty-discover ]; description = "Flexible production-scale string interpolation library"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "nyan-interpolation-core" = callPackage @@ -210873,8 +214804,6 @@ self: { testToolDepends = [ tasty-discover ]; description = "Customize your nyan interpolator!"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "nyan-interpolation-simple" = callPackage @@ -210886,7 +214815,6 @@ self: { libraryHaskellDepends = [ base nyan-interpolation-core text ]; description = "Simplified lightweight interpolation"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; }) {}; "nylas" = callPackage @@ -210954,7 +214882,6 @@ self: { license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; mainProgram = "app"; - broken = true; }) {}; "o-clock" = callPackage @@ -211145,7 +215072,6 @@ self: { description = "Communicate to OBD interfaces over ELM327"; license = lib.licenses.gpl3Only; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "obdd" = callPackage @@ -212097,7 +216023,6 @@ self: { ]; description = "Concurrency utilities"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "om-http" = callPackage @@ -212118,6 +216043,7 @@ self: { description = "Http utilities"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "om-http-logging" = callPackage @@ -212206,6 +216132,7 @@ self: { description = "Opinionated logging utilities"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "om-plugin-imports" = callPackage @@ -212220,9 +216147,7 @@ self: { executableHaskellDepends = [ base containers ghc safe ]; description = "Plugin-based import warnings"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; mainProgram = "om-import-warnings-test"; - broken = true; }) {}; "om-show" = callPackage @@ -212234,8 +216159,6 @@ self: { libraryHaskellDepends = [ aeson base text ]; description = "Utilities for showing string-like things"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "om-socket" = callPackage @@ -212261,6 +216184,7 @@ self: { description = "Socket utilities"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "om-time" = callPackage @@ -212274,8 +216198,6 @@ self: { ]; description = "Misc. time utilites"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "omaketex" = callPackage @@ -212566,6 +216488,7 @@ self: { description = "See readme.md"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "online-csv" = callPackage @@ -212733,8 +216656,8 @@ self: { pname = "opaleye"; version = "0.10.0.0"; sha256 = "0x181722a8ml9a6nbcj5v9q8npjkc22qrahqkfrfrh69hb0zpqp4"; - revision = "1"; - editedCabalFile = "1a2rzhmm85dmip4rjrbhagwhsrdg9wdsm8a1fp4dpjknjavpjn96"; + revision = "2"; + editedCabalFile = "1vi9rr5zdk9mjpmgk27wcrdddv7rzmh94s30nc20987iqf3sc6ck"; libraryHaskellDepends = [ aeson base base16-bytestring bytestring case-insensitive contravariant postgresql-simple pretty product-profunctors @@ -212987,7 +216910,6 @@ self: { description = "Open type representations and dynamic types"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "open-union" = callPackage @@ -213284,7 +217206,6 @@ self: { description = "Haskell binding to OpenCV-3.x"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {inherit (pkgs) opencv3;}; "opencv-extra" = callPackage @@ -213519,6 +217440,7 @@ self: { description = "Implementation of the OpenPGP message format"; license = "unknown"; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "openpgp-Crypto" = callPackage @@ -214202,9 +218124,7 @@ self: { ]; description = "Access data at OpenWeatherMap"; license = lib.licenses.publicDomain; - hydraPlatforms = lib.platforms.none; mainProgram = "openweathermap"; - broken = true; }) {}; "operate-do" = callPackage @@ -214301,6 +218221,7 @@ self: { license = lib.licenses.gpl3Only; hydraPlatforms = lib.platforms.none; mainProgram = "oplang"; + broken = true; }) {}; "opml" = callPackage @@ -214796,16 +218717,14 @@ self: { maintainers = [ lib.maintainers.Gabriella439 ]; }) {}; - "optparse-generic_1_5_0" = callPackage + "optparse-generic_1_5_1" = callPackage ({ mkDerivation, base, bytestring, Only, optparse-applicative, text , time, transformers, transformers-compat, void }: mkDerivation { pname = "optparse-generic"; - version = "1.5.0"; - sha256 = "0ydh59naf8qjbgidisvd9z8sqw16x7604ryyqhjmfrlf468barm5"; - revision = "1"; - editedCabalFile = "1mrq3j9ip7kcq1q0lbsfvmpjvdpfa5xhdnbxh72x4l4k8g7n7q8x"; + version = "1.5.1"; + sha256 = "01naichbyxwssdf55r5qzd79zfvgd1i239fp3srkz5w45p90znyr"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -215564,8 +219483,8 @@ self: { }: mkDerivation { pname = "orthotope"; - version = "0.1.4.0"; - sha256 = "1i5v9rg16igz7bw290anj98vwkv89y1crp2gc5340sbw1d48y7vb"; + version = "0.1.6.0"; + sha256 = "0qc4bnqvpwr7nws5id8960mwhsb3sww1dp5a4j0076l6v452zl3k"; libraryHaskellDepends = [ base deepseq dlist pretty QuickCheck vector ]; @@ -215922,7 +219841,6 @@ self: { description = "A purely functional E-Graph library"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "overhang" = callPackage @@ -215950,7 +219868,6 @@ self: { description = "Finite overloading"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "overloaded" = callPackage @@ -216052,15 +219969,16 @@ self: { "pa-field-parser" = callPackage ({ mkDerivation, aeson, aeson-better-errors, attoparsec, base , case-insensitive, containers, pa-error-tree, pa-prelude - , scientific, semigroupoids, text + , scientific, semigroupoids, template-haskell, text, time }: mkDerivation { pname = "pa-field-parser"; - version = "0.1.0.1"; - sha256 = "07yp19w7lbjdi2hxrsi15pf77lc4rkkl7axawbk96scbi5lh7zg7"; + version = "0.2.0.1"; + sha256 = "1dkyi4gzsp2d0hfl8plmmirz6hlgy6ncd8nvxb34ax9nxi880c6v"; libraryHaskellDepends = [ aeson aeson-better-errors attoparsec base case-insensitive - containers pa-error-tree pa-prelude scientific semigroupoids text + containers pa-error-tree pa-prelude scientific semigroupoids + template-haskell text time ]; description = "“Vertical” parsing of values"; license = lib.licenses.bsd3; @@ -216649,7 +220567,6 @@ self: { description = "Client library for PagerDuty Integration and REST APIs"; license = "unknown"; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "pagerduty-hs" = callPackage @@ -216910,7 +220827,7 @@ self: { ]; }) {}; - "pandoc_3_1_6" = callPackage + "pandoc_3_1_6_1" = callPackage ({ mkDerivation, aeson, aeson-pretty, array, attoparsec, base , base64, binary, blaze-html, blaze-markup, bytestring , case-insensitive, citeproc, commonmark, commonmark-extensions @@ -216929,8 +220846,8 @@ self: { }: mkDerivation { pname = "pandoc"; - version = "3.1.6"; - sha256 = "0d67n1gzx3bxvjgb5ql5h2fb1m6vk7v7c1sr795jvk67hkx340rv"; + version = "3.1.6.1"; + sha256 = "0lihc1bgis8dmmbd06i2chk0c5wwj3hp6mr4nqxcc2mp3kwh7hma"; configureFlags = [ "-f-trypandoc" ]; enableSeparateDataOutput = true; libraryHaskellDepends = [ @@ -217388,8 +221305,8 @@ self: { }: mkDerivation { pname = "pandoc-lua-engine"; - version = "0.2.1"; - sha256 = "15vcfzl02pvly5wdrj16sw9jxj7lq5r68ri1xj3ii1mmzp9vamp5"; + version = "0.2.1.1"; + sha256 = "0f0zd54qkrx7m6h2xll3ln788w939gwbz1ak25c4jvnya12sm23f"; libraryHaskellDepends = [ aeson base bytestring citeproc containers data-default doclayout doctemplates exceptions hslua hslua-module-doclayout @@ -217593,8 +221510,8 @@ self: { }: mkDerivation { pname = "pandoc-server"; - version = "0.1.0.1"; - sha256 = "18vz5fmgp3xlb053as958l3w8frxh4zwzqxycyhy68zs9bpimcpr"; + version = "0.1.0.2"; + sha256 = "0cd7dmg9hsm8j9jrh181331rwqlng1hkvpf8ksfh394j99dkjj0h"; libraryHaskellDepends = [ aeson base base64 bytestring containers data-default doctemplates pandoc pandoc-types servant-server skylighting text @@ -217712,8 +221629,8 @@ self: { }: mkDerivation { pname = "pandoc-types"; - version = "1.23.0.1"; - sha256 = "0ilxjlibxqj6h627wak7k17r69743hzwgl2qgr2wigk3j9a3fmji"; + version = "1.23.1"; + sha256 = "1hd18l1c5yh7x24gsligkbraadq12hn7mim16xyjnicdsa1s03xd"; libraryHaskellDepends = [ aeson base bytestring containers deepseq ghc-prim QuickCheck syb text transformers @@ -217821,7 +221738,6 @@ self: { description = "Merge environment variables and command line options generically"; license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "pang-a-lambda" = callPackage @@ -218625,7 +222541,6 @@ self: { description = "ParDual class for Parallel <-> Sequential"; license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "par-traverse" = callPackage @@ -218749,7 +222664,6 @@ self: { testHaskellDepends = [ base data-diverse hspec transformers ]; description = "Parameterized/indexed monoids and monads using only a single parameter type variable"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "parameterized-data" = callPackage @@ -218774,10 +222688,8 @@ self: { }: mkDerivation { pname = "parameterized-utils"; - version = "2.1.6.0"; - sha256 = "118inzvvr72bfr1pzgxglrpd2fsz0kn9hk791imygl0fv1258rb6"; - revision = "1"; - editedCabalFile = "126p5f4craqwlzqpj0rbrnrl83ykvkb8w6lz3sg4m9d91sqixfrh"; + version = "2.1.7.0"; + sha256 = "0hf41iw4ik0xlnkw7mkp97nawzw4fn1q7yb712xkgckz2yilmg6d"; libraryHaskellDepends = [ base base-orphans constraints containers deepseq ghc-prim hashable hashtables indexed-traversable lens mtl profunctors @@ -218790,8 +222702,6 @@ self: { ]; description = "Classes and data structures for working with data-kind indexed types"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "paramtree" = callPackage @@ -219179,8 +223089,6 @@ self: { ]; description = "Parsec API encoded as a deeply-embedded DSL, for debugging and analysis"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "parsec-numbers" = callPackage @@ -219642,6 +223550,7 @@ self: { description = "A fast parser combinator library backed by Typed Template Haskell"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "parsley-garnish" = callPackage @@ -219697,7 +223606,6 @@ self: { description = "Parsing factorized"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "partial" = callPackage @@ -220465,7 +224373,6 @@ self: { description = "A toy pathfinding library"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "pathological-bytestrings" = callPackage @@ -220561,8 +224468,6 @@ self: { ]; description = "Sentry SDK"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "patronscraper" = callPackage @@ -220757,7 +224662,6 @@ self: { description = "A client to connect to PayPal's REST API (v1)"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "pb" = callPackage @@ -221105,8 +225009,6 @@ self: { ]; description = "Regular expressions via the PCRE2 C library (included)"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "pdc" = callPackage @@ -221816,28 +225718,26 @@ self: { }) {}; "perceptual-hash" = callPackage - ({ mkDerivation, base, bytestring, containers, cpphs, criterion - , deepseq, filepath, hip, hspec, JuicyPixels, optparse-applicative - , par-traverse, primitive, stm, vector, vector-algorithms, webp + ({ mkDerivation, avif, base, bytestring, containers, cpphs + , criterion, deepseq, filepath, hip, hspec, JuicyPixels + , optparse-applicative, par-traverse, primitive, stm, vector + , vector-algorithms, webp }: mkDerivation { pname = "perceptual-hash"; - version = "0.1.4.5"; - sha256 = "1qh7crpb78pd7kn7g8sh5h8wr911ldz30p23m9lqfk0cyxd1pxvy"; + version = "0.1.4.6"; + sha256 = "0mbp4lwqwbhhpsbwi2cxrzr7pq2dg4x0sf9rc32fi6svbbcg1hb8"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; libraryHaskellDepends = [ - base bytestring hip JuicyPixels primitive vector vector-algorithms - webp + avif base bytestring hip JuicyPixels primitive vector + vector-algorithms webp ]; - libraryToolDepends = [ cpphs ]; executableHaskellDepends = [ base containers filepath optparse-applicative par-traverse stm ]; - executableToolDepends = [ cpphs ]; testHaskellDepends = [ base hspec ]; - testToolDepends = [ cpphs ]; benchmarkHaskellDepends = [ base criterion deepseq filepath ]; benchmarkToolDepends = [ cpphs ]; description = "Find duplicate images"; @@ -221882,8 +225782,8 @@ self: { }: mkDerivation { pname = "peregrin"; - version = "0.4.0"; - sha256 = "1i9zc3cq5pl3zffm5n5ijnvcp22cx945n0sfr5xxdm91drg42b4d"; + version = "0.4.2"; + sha256 = "1xnzkv6kwpgpa5cpz7rs4p2myw96j7z5aw1dqdk14gs7f1wbdhwb"; libraryHaskellDepends = [ base bytestring postgresql-simple text ]; testHaskellDepends = [ base hspec pg-harness-client postgresql-simple resource-pool text @@ -221902,8 +225802,8 @@ self: { }: mkDerivation { pname = "perf"; - version = "0.10.3"; - sha256 = "0kk880j93wz9jfzlniw5isgznypcqbdsimv2lvwvcq7l02iz4564"; + version = "0.12.0.0"; + sha256 = "15l4cx2b2wjinhhgsv646frxl69hvyh9dkqn24719xg8acmqyyyx"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -221913,11 +225813,11 @@ self: { executableHaskellDepends = [ base containers deepseq formatn gauge mtl optparse-applicative text ]; + benchmarkHaskellDepends = [ base ]; description = "Low-level run time measurement"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "perf-explore"; - broken = true; }) {}; "perf-analysis" = callPackage @@ -222691,8 +226591,8 @@ self: { pname = "persistent-mongoDB"; version = "2.13.0.1"; sha256 = "1ck74kpzkz623c43qb8r1cjq8chi2p721vx95zrpciz8jm496235"; - revision = "3"; - editedCabalFile = "163c5q6fwnp96y952qq5ya26z64lr6abblmpxfqxcsalfcgx3rad"; + revision = "4"; + editedCabalFile = "01zfwp8jyr65sc3mijv1a8x0zs4csmr71nn6ksr9d18p3lpd9zz8"; libraryHaskellDepends = [ aeson base bson bytestring cereal conduit http-api-data mongoDB network path-pieces persistent resource-pool resourcet text time @@ -222800,6 +226700,40 @@ self: { mainProgram = "persistent-mysql-haskell-example"; }) {}; + "persistent-mysql-pure" = callPackage + ({ mkDerivation, aeson, base, bytestring, conduit, containers + , fast-logger, hspec, http-api-data, HUnit, io-streams + , monad-logger, mysql-haskell, network, path-pieces, persistent + , persistent-qq, persistent-test, QuickCheck, quickcheck-instances + , resource-pool, resourcet, text, time, tls, transformers + , unliftio-core + }: + mkDerivation { + pname = "persistent-mysql-pure"; + version = "1.0.1"; + sha256 = "1kw51ijhwd5iv8kaz16yxrmfmdfakng3qdvd9yhj3dvjjz07d9g7"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + aeson base bytestring conduit containers io-streams monad-logger + mysql-haskell network persistent resource-pool resourcet text time + tls transformers unliftio-core + ]; + executableHaskellDepends = [ + base monad-logger persistent transformers + ]; + testHaskellDepends = [ + aeson base bytestring containers fast-logger hspec http-api-data + HUnit monad-logger mysql-haskell path-pieces persistent + persistent-qq persistent-test QuickCheck quickcheck-instances + resourcet text time transformers unliftio-core + ]; + description = "A pure haskell backend for the persistent library using MySQL database server"; + license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; + mainProgram = "persistent-mysql-pure-example"; + }) {}; + "persistent-odbc" = callPackage ({ mkDerivation, aeson, base, bytestring, conduit, containers , convertible, HDBC, HDBC-odbc, monad-logger, persistent @@ -223219,7 +227153,6 @@ self: { description = "Backend for persistent library using Zookeeper"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "persona" = callPackage @@ -223741,7 +227674,6 @@ self: { ]; description = "FAT filesystem sort utility"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "phizzle" = callPackage @@ -223771,12 +227703,12 @@ self: { ]; description = "A shared by different general implementations of the PhLADiPreLiO functionality"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "phladiprelio-general-simple" = callPackage - ({ mkDerivation, base, cli-arguments, directory, halfsplit - , phladiprelio-general-shared, phonetic-languages-constraints-array + ({ mkDerivation, async, base, cli-arguments, directory, halfsplit + , phladiprelio-general-shared, phladiprelio-tests + , phonetic-languages-constraints-array , phonetic-languages-permutations-array , phonetic-languages-phonetics-basics , phonetic-languages-simplified-base, rev-scientific @@ -223784,10 +227716,11 @@ self: { }: mkDerivation { pname = "phladiprelio-general-simple"; - version = "0.6.2.0"; - sha256 = "01l0cc82c3ndx0fwsslj74nqs4ippa6mw86lvbkb3mvcvn85ncj8"; + version = "0.8.0.0"; + sha256 = "0bq82h7y2qd5kx3gig579v9n6znvyfz1bzkjjkcbp9rpywkk0gy8"; libraryHaskellDepends = [ - base cli-arguments directory halfsplit phladiprelio-general-shared + async base cli-arguments directory halfsplit + phladiprelio-general-shared phladiprelio-tests phonetic-languages-constraints-array phonetic-languages-permutations-array phonetic-languages-phonetics-basics @@ -223796,7 +227729,6 @@ self: { ]; description = "A generalized functionality of PhLADiPreLiO for different languages that uses hash algorithms"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "phladiprelio-rhythmicity-shared" = callPackage @@ -223810,6 +227742,17 @@ self: { license = lib.licenses.mit; }) {}; + "phladiprelio-tests" = callPackage + ({ mkDerivation, base }: + mkDerivation { + pname = "phladiprelio-tests"; + version = "0.1.0.0"; + sha256 = "1pzqa1bav4qrdxif0rl4vggm1wirv4zf2hycmq9mva97m6swjqcl"; + libraryHaskellDepends = [ base ]; + description = "Common for Ukrainian and general data for test mode"; + license = lib.licenses.mit; + }) {}; + "phladiprelio-ukrainian-shared" = callPackage ({ mkDerivation, base, directory, mmsyn2-array , ukrainian-phonetics-basic-array @@ -223823,12 +227766,11 @@ self: { ]; description = "A shared by different Ukrainian implementations of the PhLADiPreLiO functionality"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "phladiprelio-ukrainian-simple" = callPackage - ({ mkDerivation, base, cli-arguments, directory, halfsplit - , phladiprelio-ukrainian-shared + ({ mkDerivation, async, base, cli-arguments, directory, halfsplit + , phladiprelio-tests, phladiprelio-ukrainian-shared , phonetic-languages-constraints-array , phonetic-languages-permutations-array , phonetic-languages-simplified-base @@ -223837,12 +227779,12 @@ self: { }: mkDerivation { pname = "phladiprelio-ukrainian-simple"; - version = "0.8.1.0"; - sha256 = "1alqcxbfirffaxcfp3hykh3vwpf4yr1kj7maipgj7p7az45arqy5"; + version = "0.10.0.0"; + sha256 = "01xxrhfcfcw9s0h2zyn3vbwvbidklygm5z46cbax2ks81qqd01mi"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ - base cli-arguments directory halfsplit + async base cli-arguments directory halfsplit phladiprelio-tests phladiprelio-ukrainian-shared phonetic-languages-constraints-array phonetic-languages-permutations-array phonetic-languages-simplified-base @@ -223850,16 +227792,15 @@ self: { rhythmic-sequences ukrainian-phonetics-basic-array ]; executableHaskellDepends = [ - base cli-arguments directory halfsplit + async base cli-arguments directory halfsplit phladiprelio-tests phladiprelio-ukrainian-shared phonetic-languages-constraints-array phonetic-languages-permutations-array phonetic-languages-simplified-base phonetic-languages-ukrainian-array rev-scientific rhythmic-sequences ukrainian-phonetics-basic-array ]; - description = "A PhLADiPreLiO implementation for Ukrainian that uses hashes"; + description = "A PhLADiPreLiO implementation for Ukrainian that uses hashes and asynchronous concurrency"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; mainProgram = "phladiprelioUkr"; }) {}; @@ -224032,8 +227973,6 @@ self: { libraryHaskellDepends = [ base subG ]; description = "Constraints to filter the needed permutations"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "phonetic-languages-examples" = callPackage @@ -224079,8 +228018,6 @@ self: { libraryHaskellDepends = [ base filters-basic mmsyn2-array ]; description = "Allows to change the structure of the function output"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "phonetic-languages-general" = callPackage @@ -224121,8 +228058,6 @@ self: { libraryHaskellDepends = [ base subG ]; description = "Permutations and universal set related functions for the phonetic-languages series"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "phonetic-languages-phonetics-basics" = callPackage @@ -224143,9 +228078,7 @@ self: { ]; description = "A library for working with generalized phonetic languages usage"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; mainProgram = "pldPL"; - broken = true; }) {}; "phonetic-languages-plus" = callPackage @@ -224166,9 +228099,7 @@ self: { ]; description = "Some common shared between different packages functions"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; mainProgram = "distributionTextG"; - broken = true; }) {}; "phonetic-languages-properties" = callPackage @@ -224214,7 +228145,6 @@ self: { ]; description = "A basics of the phonetic-languages functionality that can be groupped"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "phonetic-languages-simplified-common" = callPackage @@ -224333,6 +228263,7 @@ self: { description = "Helps to create texts with the given phonetic properties (e. g. poetic)."; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "phonetic-languages-simplified-generalized-examples-common" = callPackage @@ -224349,7 +228280,6 @@ self: { ]; description = "Some common code for phonetic languages generalized functionality"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "phonetic-languages-simplified-generalized-properties-array" = callPackage @@ -224369,7 +228299,6 @@ self: { ]; description = "Some 'properties' of the phonetic languages approach text"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "phonetic-languages-simplified-lists-examples" = callPackage @@ -224429,6 +228358,7 @@ self: { description = "Some properties of the data related to rhythmicity"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "phonetic-languages-simplified-properties-array-common" = callPackage @@ -224532,9 +228462,7 @@ self: { executableHaskellDepends = [ base mmsyn2-array mmsyn5 ]; description = "Prepares Ukrainian text to be used as a phonetic language text"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; mainProgram = "unconcatUkr"; - broken = true; }) {}; "phonetic-languages-vector" = callPackage @@ -224643,7 +228571,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "phybin"; - broken = true; }) {}; "physics" = callPackage @@ -225122,6 +229049,31 @@ self: { license = lib.licenses.bsd3; }) {}; + "pinch_0_5_0_0" = callPackage + ({ mkDerivation, array, async, base, bytestring, cereal, containers + , deepseq, ghc-prim, hashable, hspec, hspec-discover, network + , network-run, QuickCheck, semigroups, text, unordered-containers + , vector + }: + mkDerivation { + pname = "pinch"; + version = "0.5.0.0"; + sha256 = "186b7576f5h49j83r3r8ljk8kb98x1as0hdsrjflhps158qh3xdp"; + libraryHaskellDepends = [ + array base bytestring cereal containers deepseq ghc-prim hashable + network semigroups text unordered-containers vector + ]; + libraryToolDepends = [ hspec-discover ]; + testHaskellDepends = [ + async base bytestring cereal containers hspec network network-run + QuickCheck semigroups text unordered-containers vector + ]; + testToolDepends = [ hspec-discover ]; + description = "An alternative implementation of Thrift for Haskell"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + "pinch-gen" = callPackage ({ mkDerivation, base, bytestring, directory, filepath , language-thrift, megaparsec, mtl, optparse-applicative @@ -225186,7 +229138,6 @@ self: { description = "Functional 2D Game Framework"; license = lib.licenses.zlib; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "ping" = callPackage @@ -225197,6 +229148,8 @@ self: { pname = "ping"; version = "0.1.0.5"; sha256 = "11zcdrji1m1b9rhi10fv4pr2cs488c13qb5nggi7abhkavzvxbzb"; + revision = "1"; + editedCabalFile = "1pa4zdvn5579vl6084ai3zf236rac5hd2lb5jn6hyfyd7ma566i5"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -225586,6 +229539,7 @@ self: { description = "Streaming compression/decompression via pipes"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {inherit (pkgs) bzip2;}; "pipes-cacophony" = callPackage @@ -227505,8 +231459,6 @@ self: { libraryHaskellDepends = [ aeson base text ]; description = "Contravariant logging library"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "plow-log-async" = callPackage @@ -227523,6 +231475,7 @@ self: { description = "Async IO tracer for plow-log"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "plucky" = callPackage @@ -228033,7 +231986,6 @@ self: { license = lib.licenses.mpl20; hydraPlatforms = lib.platforms.none; mainProgram = "poke-exe"; - broken = true; }) {}; "pokemon-go-protobuf-types" = callPackage @@ -228411,6 +232363,7 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "polyglot"; + broken = true; }) {}; "polymap" = callPackage @@ -228503,8 +232456,8 @@ self: { pname = "polyparse"; version = "1.13"; sha256 = "0yvhg718dlksiw3v27m2d8m1sn4r4f5s0p56zq3lynhy1sc74k0w"; - revision = "7"; - editedCabalFile = "197q2c1nb38yn6cbcnj9dn03anwqrwf94bh03mpldw1w2vapd4ay"; + revision = "8"; + editedCabalFile = "0gb5cdf46rh5vbxssj6zc3l85fmyg5b44hzjrls1p8rl1l1p3pda"; libraryHaskellDepends = [ base bytestring text ]; description = "A variety of alternative parser combinator libraries"; license = "LGPL"; @@ -228624,8 +232577,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "QuickCheck for Polysemy"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "polysemy-chronos" = callPackage @@ -228667,7 +232618,6 @@ self: { ]; description = "Polysemy effects for concurrency"; license = "BSD-2-Clause-Patent"; - hydraPlatforms = lib.platforms.none; }) {}; "polysemy-db" = callPackage @@ -228857,7 +232807,6 @@ self: { description = "Run a KVStore as a single json file in polysemy"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "polysemy-log" = callPackage @@ -228882,7 +232831,6 @@ self: { ]; description = "Polysemy effects for logging"; license = "BSD-2-Clause-Patent"; - hydraPlatforms = lib.platforms.none; }) {}; "polysemy-log-co" = callPackage @@ -228925,7 +232873,6 @@ self: { ]; description = "Di adapters for Polysemy.Log"; license = "BSD-2-Clause-Patent"; - hydraPlatforms = lib.platforms.none; }) {}; "polysemy-managed" = callPackage @@ -228982,7 +232929,6 @@ self: { description = "Logging functions for polysemy-methodology"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "polysemy-methodology-composite" = callPackage @@ -229017,8 +232963,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Mocking framework for polysemy effects"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "polysemy-optics" = callPackage @@ -229031,6 +232975,7 @@ self: { description = "Optics for Polysemy"; license = lib.licenses.bsd2; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "polysemy-path" = callPackage @@ -229045,7 +232990,6 @@ self: { description = "Polysemy versions of Path functions"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "polysemy-plugin" = callPackage @@ -229095,6 +233039,7 @@ self: { description = "Polysemy effects for system processes"; license = "BSD-2-Clause-Patent"; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "polysemy-readline" = callPackage @@ -229154,8 +233099,6 @@ self: { ]; description = "Polysemy error tracking"; license = "BSD-2-Clause-Patent"; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "polysemy-scoped-fs" = callPackage @@ -229276,7 +233219,6 @@ self: { description = "Experimental video processing DSL for polysemy"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "polysemy-vinyl" = callPackage @@ -229295,7 +233237,6 @@ self: { description = "Functions for mapping vinyl records in polysemy"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "polysemy-webserver" = callPackage @@ -229327,8 +233268,8 @@ self: { }: mkDerivation { pname = "polysemy-zoo"; - version = "0.8.1.0"; - sha256 = "0p8ljkpmcf0gf29b8dl1xwra189xfs5ba88fgmys2jcg2wz6yy1d"; + version = "0.8.2.0"; + sha256 = "0laadgqsf817kg3l8qv5g9pkpf36kwky7c2c21ixb03kx1x0rzfp"; libraryHaskellDepends = [ async base constraints containers contravariant exceptions ghc-compact ghc-prim mtl polysemy random reflection streaming text @@ -229342,8 +233283,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Experimental, user-contributed effects and interpreters for polysemy"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "polyseq" = callPackage @@ -229366,6 +233305,7 @@ self: { license = lib.licenses.publicDomain; hydraPlatforms = lib.platforms.none; mainProgram = "polyseq.cgi"; + broken = true; }) {}; "polysoup" = callPackage @@ -230303,8 +234243,8 @@ self: { }: mkDerivation { pname = "posix-api"; - version = "0.6.0.1"; - sha256 = "0c39ghbnimsl4m9gn8lsr09ii0xn4ahqbid74jiig1cw931y0xap"; + version = "0.6.1.0"; + sha256 = "0dplxy3zv9n239c8w7ja95qv2f343sz4n83ji42f0f8v00ryghys"; libraryHaskellDepends = [ base byte-order byteslice primitive primitive-addr primitive-offset primitive-unlifted run-st text-short @@ -230737,6 +234677,21 @@ self: { license = lib.licenses.bsd3; }) {inherit (pkgs) postgresql;}; + "postgresql-libpq_0_10_0_0" = callPackage + ({ mkDerivation, base, bytestring, Cabal, postgresql, unix }: + mkDerivation { + pname = "postgresql-libpq"; + version = "0.10.0.0"; + sha256 = "0qnzbc1rws6kgy3g1y9n7c2mh190996jlwrp0j3hbsmgdy9aig2l"; + setupHaskellDepends = [ base Cabal ]; + libraryHaskellDepends = [ base bytestring unix ]; + librarySystemDepends = [ postgresql ]; + testHaskellDepends = [ base bytestring ]; + description = "low-level binding to libpq"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {inherit (pkgs) postgresql;}; + "postgresql-libpq-notify" = callPackage ({ mkDerivation, async, base, hspec, postgres-options , postgresql-libpq, stm, text, tmp-postgres @@ -230762,6 +234717,8 @@ self: { pname = "postgresql-lo-stream"; version = "0.1.1.1"; sha256 = "0m2s717qb42ywiqvdi18nybjadkivygfi2pbflip5rvphqfc8k8x"; + revision = "1"; + editedCabalFile = "0z1xbayvx20d6m89hch2zfsljy37cqqmb82g32lk1raq69h9pihc"; libraryHaskellDepends = [ base bytestring io-streams lifted-base monad-loops mtl postgresql-simple @@ -231047,6 +235004,35 @@ self: { maintainers = [ lib.maintainers.maralorn ]; }) {}; + "postgresql-simple_0_7_0_0" = callPackage + ({ mkDerivation, aeson, attoparsec, base, base16-bytestring + , bytestring, case-insensitive, containers, cryptohash-md5 + , filepath, hashable, HUnit, inspection-testing, Only + , postgresql-libpq, scientific, tasty, tasty-golden, tasty-hunit + , template-haskell, text, time-compat, transformers, uuid-types + , vector + }: + mkDerivation { + pname = "postgresql-simple"; + version = "0.7.0.0"; + sha256 = "0gm1wk2zq35h46v0l1qc0z63jv3gxgqd059j1mcmww80a6sipf4c"; + libraryHaskellDepends = [ + aeson attoparsec base bytestring case-insensitive containers + hashable Only postgresql-libpq scientific template-haskell text + time-compat transformers uuid-types vector + ]; + testHaskellDepends = [ + aeson base base16-bytestring bytestring case-insensitive containers + cryptohash-md5 filepath HUnit inspection-testing postgresql-libpq + tasty tasty-golden tasty-hunit text time-compat vector + ]; + benchmarkHaskellDepends = [ base vector ]; + description = "Mid-Level PostgreSQL client library"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + maintainers = [ lib.maintainers.maralorn ]; + }) {}; + "postgresql-simple-bind" = callPackage ({ mkDerivation, attoparsec, base, bytestring, data-default , exceptions, heredoc, hspec, postgresql-simple, template-haskell @@ -231244,8 +235230,8 @@ self: { pname = "postgresql-simple-url"; version = "0.2.1.0"; sha256 = "1jg9gvpidrfy2hqixwqsym1l1mnkafmxwq58jpbzdmrbvryga1qk"; - revision = "7"; - editedCabalFile = "1k5qpzxf4gp3smpriawdbwifyyf5dp2qfqm5wxk1gmbviqwm4f70"; + revision = "8"; + editedCabalFile = "13j3pfgwsnv4dmnqg36x134zm0mm9r76kg59dc3dmq4pzgpbbw1w"; libraryHaskellDepends = [ base network-uri postgresql-simple split ]; @@ -231989,6 +235975,24 @@ self: { license = lib.licenses.bsd3; }) {}; + "pqueue_1_5_0_0" = callPackage + ({ mkDerivation, base, deepseq, indexed-traversable, random, tasty + , tasty-bench, tasty-quickcheck + }: + mkDerivation { + pname = "pqueue"; + version = "1.5.0.0"; + sha256 = "00hzrhz3n55ahyv2h183l72jsl3q01p4ns0063p0vjaa5j6qpy0v"; + libraryHaskellDepends = [ base deepseq indexed-traversable ]; + testHaskellDepends = [ + base deepseq indexed-traversable tasty tasty-quickcheck + ]; + benchmarkHaskellDepends = [ base deepseq random tasty-bench ]; + description = "Reliable, persistent, fast priority queues"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + "pqueue-mtl" = callPackage ({ mkDerivation, base, containers, ghc-prim, MaybeT, mtl , stateful-mtl, uvector @@ -232077,8 +236081,6 @@ self: { testHaskellDepends = [ aeson base lens ]; description = "A first class record field library"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "preamble" = callPackage @@ -232369,6 +236371,7 @@ self: { description = "A library for building a prefork-style server quickly"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "pregame" = callPackage @@ -233040,10 +237043,8 @@ self: { }: mkDerivation { pname = "prettychart"; - version = "0.1.0"; - sha256 = "1vpw8jwsjyryz1sx1g79hc95sxjy8q5i4yig5f9xc58qy2cg6z1k"; - revision = "1"; - editedCabalFile = "0laddpy0xjdzdsd7iqc2b0h01dixs903yiwy3145pd0c251dxb4z"; + version = "0.1.1.0"; + sha256 = "1cdxdin7k4rswph61h625lkf57669xiid67c09a7libqdq6yya98"; libraryHaskellDepends = [ async base box bytestring chart-svg containers flatparse formatn lucid mealy numhask-space optics-core profunctors text time web-rep @@ -233539,6 +237540,8 @@ self: { pname = "primitive-containers"; version = "0.5.1"; sha256 = "057x0l6zyhffim37v8q63ancwg8jl2sfn8hmrwy3kmn9cnh2zw94"; + revision = "1"; + editedCabalFile = "1xdn0kdd4qgchzpdvc5fsmphc4025z8pd67lw4yhaw6am9wyc7mm"; libraryHaskellDepends = [ base contiguous deepseq hashable primitive primitive-sort primitive-unlifted @@ -233709,6 +237712,8 @@ self: { pname = "primitive-sort"; version = "0.1.2.0"; sha256 = "0nalkfycg9fpvwi8h2a4k31wmmn1ny16b43kj75gxcpmbc4x6azz"; + revision = "1"; + editedCabalFile = "0c0r6db3x5jngqgzylm5s3d5vy9ncnl0642wrdg92cnqzfrqbvgw"; libraryHaskellDepends = [ base contiguous ghc-prim primitive ]; testHaskellDepends = [ base containers doctest HUnit primitive QuickCheck smallcheck tasty @@ -234595,8 +238600,8 @@ self: { pname = "product-profunctors"; version = "0.11.1.1"; sha256 = "1nhwpfjz4iz30h8q7d40hlibqqymvmcf6wmbl6h3212d54hqdgiz"; - revision = "1"; - editedCabalFile = "12qgjm4r6k28vm1756grhgh16g4qv0s2nri8ysqi7jkvbjdm1jn4"; + revision = "2"; + editedCabalFile = "0v595d8b2lv89nxyhgmzyp90i1h9vzlhbp6ry9gf99n7rdp525fw"; libraryHaskellDepends = [ base bifunctors contravariant profunctors tagged template-haskell th-abstraction @@ -235011,7 +239016,6 @@ self: { description = "Relational Algebra Engine"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "project-template" = callPackage @@ -235203,16 +239207,14 @@ self: { }: mkDerivation { pname = "prometheus"; - version = "2.2.3"; - sha256 = "1ggav326fpkrvg39a5rxg2ysg6mb16zzdv4yw0753fyk61v2g4pz"; + version = "2.2.4"; + sha256 = "15ykkpiq1vw4ii9x31jrfryl073kd90dp0p05c3avgqczp3985zp"; libraryHaskellDepends = [ atomic-primops base bytestring containers http-client http-client-tls http-types network-uri text transformers wai warp ]; description = "Prometheus Haskell Client"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "prometheus-client" = callPackage @@ -235224,8 +239226,8 @@ self: { }: mkDerivation { pname = "prometheus-client"; - version = "1.1.0"; - sha256 = "1f9csz40asdkmmh6kp8sc8gkbxvkrvv8v2byxn4jp67lg7s3g9bx"; + version = "1.1.1"; + sha256 = "1j248vy67j0wqfbh5wgqxa4l99ynsf9r2651wghqc7b5973rww3a"; libraryHaskellDepends = [ atomic-primops base bytestring clock containers data-sketches deepseq exceptions mtl primitive stm text transformers @@ -235304,8 +239306,6 @@ self: { ]; description = "Export metrics from /proc for the current process"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "prometheus-wai-middleware" = callPackage @@ -235328,6 +239328,7 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "prometheus-wai-middleware-example"; + broken = true; }) {}; "promise" = callPackage @@ -235407,6 +239408,7 @@ self: { license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; mainProgram = "proof-assistant-bot"; + broken = true; }) {}; "proof-combinators" = callPackage @@ -235568,8 +239570,6 @@ self: { testHaskellDepends = [ base tasty tasty-hunit tasty-quickcheck ]; description = "Proquints: Identifiers that are Readable, Spellable, and Pronounceable"; license = "EUPL-1.2"; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "prosidy" = callPackage @@ -235784,7 +239784,6 @@ self: { description = "Utilities functions to proto-lens"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "proto-lens-descriptors" = callPackage @@ -235977,6 +239976,7 @@ self: { description = "A low-level implementation of the Protocol Buffers (version 3) wire format"; license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "protobuf" = callPackage @@ -236425,7 +240425,6 @@ self: { description = "Language support for the PureScript programming language"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "pseudo-boolean" = callPackage @@ -236894,6 +240893,7 @@ self: { description = "A library for Google/SixApart pubsub hub interaction"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "puffytools" = callPackage @@ -237526,7 +241526,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "purs-tsd-gen"; - broken = true; }) {}; "pursuit-client" = callPackage @@ -238524,8 +242523,6 @@ self: { libraryHaskellDepends = [ base ghc-prim ]; description = "quantity semaphores"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "qt" = callPackage @@ -239103,8 +243100,8 @@ self: { }: mkDerivation { pname = "quic"; - version = "0.1.2"; - sha256 = "04ag4lvrlylr1qks6mvhp1pbbz66yy44s2c0z6wz49nc30x8qq8n"; + version = "0.1.3"; + sha256 = "0n6l559q5y31jwr8bba3jav7ghsg76y3w0rbi7lz9jsrh0i4l7bh"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -239341,8 +243338,6 @@ self: { doHaddock = false; description = "Testing group class instances with QuickCheck"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "quickcheck-groups_0_0_1_0" = callPackage @@ -239366,7 +243361,6 @@ self: { description = "Testing group class instances with QuickCheck"; license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "quickcheck-higherorder" = callPackage @@ -239441,7 +243435,6 @@ self: { description = "Library for lockstep-style testing with 'quickcheck-dynamic'"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "quickcheck-monoid-subclasses" = callPackage @@ -239467,8 +243460,6 @@ self: { doHaddock = false; description = "Testing monoid subclass instances with QuickCheck"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "quickcheck-monoid-subclasses_0_3_0_0" = callPackage @@ -239495,7 +243486,6 @@ self: { description = "Testing monoid subclass instances with QuickCheck"; license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "quickcheck-poly" = callPackage @@ -240490,9 +244480,7 @@ self: { ]; description = "Can be used to calculate the durations of the approximations of the Ukrainian phonemes"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; mainProgram = "pldUkr"; - broken = true; }) {}; "r3x-haskell-sdk" = callPackage @@ -240690,8 +244678,6 @@ self: { ]; description = "Radix tree data structive over short byte-strings"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "radixtree" = callPackage @@ -240998,6 +244984,8 @@ self: { pname = "ralist"; version = "0.4.0.0"; sha256 = "1axn2mh1jiz5d39ygf0hg7a0bkywnld4j8jjkflycks1yr7mxha1"; + revision = "1"; + editedCabalFile = "10i40p8xp4zm50lq3g0k6crsqg4rrwq12wkjpqqin9dsppw5085n"; libraryHaskellDepends = [ base deepseq indexed-traversable transformers ]; @@ -241605,8 +245593,6 @@ self: { ]; description = "Efficient sets for semi-contiguous data"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "rank-product" = callPackage @@ -242254,6 +246240,8 @@ self: { pname = "rawfilepath"; version = "1.0.1"; sha256 = "016bdivq8b3visv56jriw39ld5yg6c75x1qy69sm7am27i9728g3"; + revision = "1"; + editedCabalFile = "00s2ri39y7amravnlbkbrx41chwbiryw1k8s0svim6ay43r4b0yp"; libraryHaskellDepends = [ base bytestring unix ]; testHaskellDepends = [ base bytestring ]; description = "Use RawFilePath instead of FilePath"; @@ -242451,8 +246439,8 @@ self: { }: mkDerivation { pname = "rcu"; - version = "0.2.6"; - sha256 = "14kg45ycx5wa3k9xn7glp4kdy8xz119m4gs91114qx0rkbix2f5h"; + version = "0.2.7"; + sha256 = "0iw1w2jch8a6ap2nrkis740vbi5sjgk7hdbv9d4m08j4c402q3c9"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -242717,7 +246705,6 @@ self: { description = "Programmatically edit MIDI events via ALSA and reactive-banana"; license = lib.licenses.bsd3; badPlatforms = lib.platforms.darwin; - hydraPlatforms = lib.platforms.none; maintainers = [ lib.maintainers.thielema ]; }) {}; @@ -242783,8 +246770,6 @@ self: { ]; description = "Extend reactive-banana to multiple events per time point"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "reactive-banana-gi-gtk" = callPackage @@ -242943,7 +246928,6 @@ self: { ]; description = "Process MIDI events via reactive-banana and JACK"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; maintainers = [ lib.maintainers.thielema ]; }) {}; @@ -242966,7 +246950,6 @@ self: { ]; description = "Process MIDI events via reactive-banana"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; maintainers = [ lib.maintainers.thielema ]; }) {}; @@ -243804,8 +247787,6 @@ self: { ]; description = "Recover run-time type information from the GHC heap"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "recursion" = callPackage @@ -243827,8 +247808,8 @@ self: { pname = "recursion-schemes"; version = "5.2.2.4"; sha256 = "0hyvqh8kp2pw4kwvisyz9msjy41y218f9l6fpsrbla4s1b4in58c"; - revision = "2"; - editedCabalFile = "1617jz77d4f2hf54jfz30zbf18rcgl6h0nzn3rcl0gjxjavf5aq6"; + revision = "3"; + editedCabalFile = "06bffrsh1gcp65gyv0837bd3gv5d5h2879nadv29irc52fyvzwxl"; libraryHaskellDepends = [ base base-orphans comonad containers data-fix free template-haskell th-abstraction transformers @@ -244006,7 +247987,6 @@ self: { ]; description = "hide secret text on the terminal"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; mainProgram = "redact"; }) {}; @@ -244094,8 +248074,6 @@ self: { ]; description = "Specify valid redis globs"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "redis-hs" = callPackage @@ -244840,6 +248818,8 @@ self: { pname = "reflex-dom-core"; version = "0.8.0.0"; sha256 = "17zj8a7wmbf4019gb6m9bb4nybbqmbc11qydc8l9h9p3kavhbd0j"; + revision = "1"; + editedCabalFile = "0ysr37d3paqjgbri8xk98w1a98pdq8ak1p1ddn2dayvk1w2s8q9c"; libraryHaskellDepends = [ aeson base bifunctors bimap blaze-builder bytestring case-insensitive commutative-semigroups constraints containers @@ -245044,8 +249024,6 @@ self: { ]; description = "Reflex FRP interface for watching files"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "reflex-gadt-api" = callPackage @@ -245057,6 +249035,8 @@ self: { pname = "reflex-gadt-api"; version = "0.2.2.1"; sha256 = "042dad8gilpzn1ng4ck5mmca9q257vi9317xxr0in0sp30sn1g11"; + revision = "1"; + editedCabalFile = "0923xxxqn93szs8ai45xazp2xs011xq68368y5q2mjiww74dp0xx"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -245081,8 +249061,8 @@ self: { }: mkDerivation { pname = "reflex-ghci"; - version = "0.2.0.0"; - sha256 = "1j8hb81b8889dsqg5x2p52fizzfp61bxicd3m4vyx6ay9hjgq917"; + version = "0.2.0.1"; + sha256 = "0pdlba8rqdlgzg3n4vf0brkdczw2146myzzr0855j3b5c0fkszfp"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -245213,7 +249193,6 @@ self: { license = lib.licenses.gpl3Plus; platforms = lib.platforms.linux; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "reflex-localize" = callPackage @@ -245313,10 +249292,8 @@ self: { }: mkDerivation { pname = "reflex-process"; - version = "0.3.2.0"; - sha256 = "1ijlp762ckyxqpjkax692zmzk1b0ziafbiid4351lvk6n4sy5n56"; - revision = "1"; - editedCabalFile = "1akmqvsvdip4vlsl170yg6l3rndgbcq8m5wlsl889dr7z9wis6rm"; + version = "0.3.2.1"; + sha256 = "039zzj9f4fli8kbrdgjd27fjkj6f0h74b4r6pfbzz3y9njgw4vz1"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -245601,7 +249578,6 @@ self: { description = "Tools for maintaining a database"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "reg-alloc" = callPackage @@ -245914,8 +249890,8 @@ self: { pname = "regex-pcre"; version = "0.95.0.0"; sha256 = "0nn76q4bsjnxim0j0d01jifmh36as9jdpcvm001a851vvq86zb8n"; - revision = "4"; - editedCabalFile = "07fqy6pj7zz2vqbj8y4s5npc7vk9ggzayiy31hy4ss91lys74d1j"; + revision = "5"; + editedCabalFile = "0hc9833rwxq8g1x5bq0q4qwjp6w2qgs3fp7jpnlf6b7w5xvr72im"; libraryHaskellDepends = [ array base bytestring containers regex-base ]; @@ -246047,10 +250023,8 @@ self: { }: mkDerivation { pname = "regex-tdfa"; - version = "1.3.2.1"; - sha256 = "15c2gc7c0y2xv9sm586jvys2kx1dc18lzfvjzad5mm2d4yszi2sw"; - revision = "1"; - editedCabalFile = "1005mqjhq2blz8kqxmk84xajyqd85n91j9nraw6jrwfv11vxfvxa"; + version = "1.3.2.2"; + sha256 = "1dvmplkhma32y68v4vvpbwmjbg3hzd7qhsyq6pim1fs68b2xaglk"; libraryHaskellDepends = [ array base bytestring containers mtl parsec regex-base text ]; @@ -247148,6 +251122,8 @@ self: { pname = "relude"; version = "1.2.0.0"; sha256 = "0wqj5ipsm3wwl401q5c5w6q6q07qd825y2d10j3q9gqsvyrpgqfb"; + revision = "1"; + editedCabalFile = "1vbmqnahjkvv6wla19vd8pl2k8i0di54c1q37ahx02i4zmnwv6zr"; libraryHaskellDepends = [ base bytestring containers deepseq ghc-prim hashable mtl stm text transformers unordered-containers @@ -247514,7 +251490,6 @@ self: { description = "(deprecated)"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "repa-convert" = callPackage @@ -247544,7 +251519,6 @@ self: { description = "Support for image reading and writing of Repa arrays using in-place FFI calls"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {inherit (pkgs) libdevil;}; "repa-eval" = callPackage @@ -247579,7 +251553,6 @@ self: { description = "Examples using the Repa array library"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "repa-fftw" = callPackage @@ -247648,7 +251621,6 @@ self: { description = "HMatrix operations for Repa"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "repa-plugin" = callPackage @@ -247915,7 +251887,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "rbb"; - broken = true; }) {}; "repr" = callPackage @@ -248436,7 +252407,6 @@ self: { executableHaskellDepends = [ base comfort-array lapack ]; description = "Compute total resistance of a cube of resistors"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "resistor-cube"; maintainers = [ lib.maintainers.thielema ]; }) {}; @@ -248733,7 +252703,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "example"; - broken = true; }) {}; "rest-client" = callPackage @@ -249073,8 +253042,8 @@ self: { }: mkDerivation { pname = "ret"; - version = "0.2.2.0"; - sha256 = "1vab7xp0qfks3dramprphv02h09v5nnm9vpmih5yll9i3bqka4ji"; + version = "0.5.0.0"; + sha256 = "0204vwjw13j82fjqsw1qklp7n6rqlvxkhb1jgg8n6ya0a469ahm9"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ @@ -249899,10 +253868,10 @@ self: { ({ mkDerivation, base }: mkDerivation { pname = "rhythmic-sequences"; - version = "0.3.0.0"; - sha256 = "1fcx3brx5kf6x9islrcrdlyqlmh5cw5cv392g8xd30k2n041v49n"; + version = "0.4.0.0"; + sha256 = "095x356x585f3vszgfwrffvdd47c2gpqvmypssx9g727vz38sf0i"; libraryHaskellDepends = [ base ]; - description = "Library to deal with rhythmicity of short sequences"; + description = "Improved library to deal with rhythmicity of short sequences"; license = lib.licenses.mit; }) {}; @@ -250238,7 +254207,6 @@ self: { description = "Quick metrics to grow your app strong"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "ridley-extras" = callPackage @@ -251055,8 +255023,6 @@ self: { ]; description = "Implementation of the ROC (Taiwan) National ID standard"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "rock" = callPackage @@ -251623,8 +255589,6 @@ self: { ]; description = "Various trie implementations in Haskell"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "rose-trie" = callPackage @@ -251846,7 +255810,6 @@ self: { description = "Correctly-rounded arbitrary-precision floating-point arithmetic"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {inherit (pkgs) gmp; inherit (pkgs) mpfr;}; "rounded-hw" = callPackage @@ -253033,9 +256996,7 @@ self: { ]; description = "An experimental proof assistant for synthetic ∞-categories"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "rzk"; - broken = true; }) {}; "s-cargot" = callPackage @@ -253063,8 +257024,6 @@ self: { testHaskellDepends = [ base HUnit parsec s-cargot text ]; description = "Enables let-binding and let-expansion for s-cargot defined S-expressions"; license = lib.licenses.isc; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "s-expression" = callPackage @@ -254447,41 +258406,41 @@ self: { }) {}; "sandwich-webdriver" = callPackage - ({ mkDerivation, aeson, base, containers, data-default, directory - , exceptions, filepath, http-client, http-client-tls, http-conduit - , lifted-base, microlens, microlens-aeson, monad-control - , monad-logger, mtl, network, process, random, regex-compat, retry - , safe, safe-exceptions, sandwich, string-interpolate, temporary - , text, time, transformers, unix, unliftio, unordered-containers - , vector, webdriver + ({ mkDerivation, aeson, base, bytestring, containers, data-default + , directory, exceptions, filepath, http-client, http-client-tls + , http-conduit, lifted-base, microlens, microlens-aeson + , monad-control, monad-logger, mtl, network, process, random + , regex-compat, retry, safe, safe-exceptions, sandwich + , string-interpolate, temporary, text, time, transformers, unix + , unliftio, unordered-containers, vector, webdriver }: mkDerivation { pname = "sandwich-webdriver"; - version = "0.2.2.0"; - sha256 = "05wc57xm9f88nlkyna4j4q7j4w4iwa7f6diqb98mw5p9pgfknf3r"; + version = "0.2.3.0"; + sha256 = "1220apnh5kj1y41b129rvawcj9yp3lgdlbwysr11wmah6ya9awy9"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ - aeson base containers data-default directory exceptions filepath - http-client http-client-tls http-conduit lifted-base microlens - microlens-aeson monad-control monad-logger mtl network process - random regex-compat retry safe safe-exceptions sandwich + aeson base bytestring containers data-default directory exceptions + filepath http-client http-client-tls http-conduit lifted-base + microlens microlens-aeson monad-control monad-logger mtl network + process random regex-compat retry safe safe-exceptions sandwich string-interpolate temporary text time transformers unix unordered-containers vector webdriver ]; executableHaskellDepends = [ - aeson base containers data-default directory exceptions filepath - http-client http-client-tls http-conduit lifted-base microlens - microlens-aeson monad-control monad-logger mtl network process - random regex-compat retry safe safe-exceptions sandwich + aeson base bytestring containers data-default directory exceptions + filepath http-client http-client-tls http-conduit lifted-base + microlens microlens-aeson monad-control monad-logger mtl network + process random regex-compat retry safe safe-exceptions sandwich string-interpolate temporary text time transformers unix unordered-containers vector webdriver ]; testHaskellDepends = [ - aeson base containers data-default directory exceptions filepath - http-client http-client-tls http-conduit lifted-base microlens - microlens-aeson monad-control monad-logger mtl network process - random regex-compat retry safe safe-exceptions sandwich + aeson base bytestring containers data-default directory exceptions + filepath http-client http-client-tls http-conduit lifted-base + microlens microlens-aeson monad-control monad-logger mtl network + process random regex-compat retry safe safe-exceptions sandwich string-interpolate temporary text time transformers unix unliftio unordered-containers vector webdriver ]; @@ -254569,7 +258528,6 @@ self: { description = "A staged lexer generator"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "sasl" = callPackage @@ -254727,8 +258685,8 @@ self: { }: mkDerivation { pname = "saturn"; - version = "0.3.1.0"; - sha256 = "1n316hshlxnpkl7ivrgkkn4070b4ia48k6p9s4n5551rg2gkvbg1"; + version = "1.0.0.2"; + sha256 = "0n0670s0sgp00lb758vn5gmw7h84csq1sqaha6k3lckczfs72si1"; libraryHaskellDepends = [ base containers hspec parsec QuickCheck text time ]; @@ -254736,8 +258694,6 @@ self: { doHaddock = false; description = "Handle POSIX cron schedules"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "satyros" = callPackage @@ -254899,7 +258855,7 @@ self: { license = lib.licenses.mit; }) {}; - "sbp_4_17_0" = callPackage + "sbp_5_0_0" = callPackage ({ mkDerivation, aeson, aeson-pretty, array, base , base64-bytestring, basic-prelude, binary, binary-conduit , bytestring, cmdargs, conduit, conduit-extra, data-binary-ieee754 @@ -254908,8 +258864,8 @@ self: { }: mkDerivation { pname = "sbp"; - version = "4.17.0"; - sha256 = "030qyqd5z0l7nd8q6qz0yr908szpagsy3p0l7jy7gzcx5dkcbmsx"; + version = "5.0.0"; + sha256 = "13wdxgiby4axb02x2n32hlija2k5aw6z2fcn1g5795bz1gyv81k2"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -255082,7 +259038,6 @@ self: { description = "A protocol buffer model for the Starcraft II bot API"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {protoc = null;}; "sc2-support" = callPackage @@ -255482,6 +259437,7 @@ self: { description = "A Haskell library for writing SCGI programs"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "schedevr" = callPackage @@ -256107,8 +260063,8 @@ self: { pname = "scotty"; version = "0.12.1"; sha256 = "0jnv2k37yv7hbm21w356ml4b14avlwh8yyzwwwfrwpbn6zzk6ahy"; - revision = "1"; - editedCabalFile = "04l4vr53can02jyl6yfxis61al03f4i1p5l2vmdwd8qb3g9026xs"; + revision = "2"; + editedCabalFile = "06hzpbd3adq9wjc5dsz6ikmy6gx60hhlzra58qrc91vi4v76xh0m"; libraryHaskellDepends = [ aeson base base-compat-batteries blaze-builder bytestring case-insensitive data-default-class exceptions http-types @@ -256282,7 +260238,6 @@ self: { description = "HTTP-request's query parameters parser abstraction for \"scotty\""; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "scotty-path-normalizer" = callPackage @@ -256700,7 +260655,6 @@ self: { ]; description = "Multidimensional integration over simplices"; license = lib.licenses.gpl3Only; - hydraPlatforms = lib.platforms.none; }) {}; "scuttlebutt-types" = callPackage @@ -257220,8 +261174,7 @@ self: { description = "A software defined radio library"; license = lib.licenses.bsd3; platforms = lib.platforms.x86_64; - hydraPlatforms = lib.platforms.none; - broken = true; + badPlatforms = lib.platforms.darwin; }) {}; "seacat" = callPackage @@ -257473,22 +261426,22 @@ self: { license = lib.licenses.mit; }) {inherit (pkgs) secp256k1;}; - "secp256k1-haskell_0_7_0" = callPackage - ({ mkDerivation, base, base16, bytestring, cereal, deepseq, entropy + "secp256k1-haskell_1_0_0" = callPackage + ({ mkDerivation, base, base16, bytestring, deepseq, entropy , hashable, hspec, hspec-discover, HUnit, monad-par, mtl , QuickCheck, secp256k1, string-conversions, unliftio-core }: mkDerivation { pname = "secp256k1-haskell"; - version = "0.7.0"; - sha256 = "02q6czma7lm9xqbxbck87imssjsnhlb6wabj11qikgshxcisddwv"; + version = "1.0.0"; + sha256 = "0pg6kb9xd0ihxc2bfjisbhln85rfww71yy3kx7shh0qyi02q81s7"; libraryHaskellDepends = [ - base base16 bytestring cereal deepseq entropy hashable QuickCheck + base base16 bytestring deepseq entropy hashable QuickCheck string-conversions unliftio-core ]; libraryPkgconfigDepends = [ secp256k1 ]; testHaskellDepends = [ - base base16 bytestring cereal deepseq entropy hashable hspec HUnit + base base16 bytestring deepseq entropy hashable hspec HUnit monad-par mtl QuickCheck string-conversions unliftio-core ]; testToolDepends = [ hspec-discover ]; @@ -257734,7 +261687,6 @@ self: { description = "PostgreSQL backend for the Selda database EDSL"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "selda-sqlite" = callPackage @@ -257860,7 +261812,6 @@ self: { executableHaskellDepends = [ base ]; description = "A Haskell library to make self-extracting executables"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "self-bundle"; }) {}; @@ -258021,7 +261972,6 @@ self: { description = "Extra functions for working with Semialigns"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "semialign-indexed" = callPackage @@ -259079,8 +263029,8 @@ self: { pname = "servant"; version = "0.20"; sha256 = "09vmz4jy6968hq8bf2b43bzpca8h8sps1h2xqf9y6wcarxbws1pi"; - revision = "2"; - editedCabalFile = "1jwdj2n53gd29n75ylla61jidsw2wy8ddy03jhgw2ghzwnhkdpzi"; + revision = "3"; + editedCabalFile = "01d2dddk6yrck2fl38fisracrw3wnf26ldvy0m06fd7ilyw4fifb"; libraryHaskellDepends = [ aeson attoparsec base base-compat bifunctors bytestring case-insensitive constraints deepseq http-api-data http-media @@ -259306,7 +263256,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "example"; - broken = true; }) {}; "servant-auth-server" = callPackage @@ -259654,6 +263603,7 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "greet-cli"; + broken = true; }) {}; "servant-client" = callPackage @@ -260119,8 +264069,6 @@ self: { ]; description = "Automatically derive Elm functions to query servant webservices"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "servant-errors" = callPackage @@ -260264,8 +264212,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Helpers for generating clients for servant APIs in any programming language"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "servant-foreign_0_16" = callPackage @@ -260286,7 +264232,6 @@ self: { description = "Helpers for generating clients for servant APIs in any programming language"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "servant-gdp" = callPackage @@ -260436,8 +264381,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Servant authentication with HMAC"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "servant-htmx" = callPackage @@ -260554,7 +264497,6 @@ self: { description = "Generate HTTP2 clients from Servant API descriptions"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "servant-iCalendar" = callPackage @@ -260618,7 +264560,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Automatically derive javascript functions to query servant webservices"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "servant-jsonrpc" = callPackage @@ -260811,7 +264752,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "mock-app"; - broken = true; }) {}; "servant-multipart" = callPackage @@ -260837,7 +264777,6 @@ self: { ]; description = "multipart/form-data (e.g file upload) support for servant"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "servant-multipart-api" = callPackage @@ -260880,7 +264819,6 @@ self: { ]; description = "multipart/form-data (e.g file upload) support for servant"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "servant-named" = callPackage @@ -261034,7 +264972,6 @@ self: { ]; description = "Provide responses to OPTIONS requests for Servant applications"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "servant-pagination" = callPackage @@ -261143,6 +265080,7 @@ self: { description = "Utilities for using servant in a polysemy stack"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "servant-pool" = callPackage @@ -261198,7 +265136,6 @@ self: { description = "Servant Content-Type for proto-lens protobuf modules"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "servant-purescript" = callPackage @@ -261525,6 +265462,7 @@ self: { description = "Generate a Ruby client from a Servant API with Net::HTTP"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "servant-scotty" = callPackage @@ -261587,9 +265525,7 @@ self: { executableHaskellDepends = [ base ]; description = "Automatically generate Servant API modules"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; mainProgram = "servant-serf"; - broken = true; }) {}; "servant-serialization" = callPackage @@ -261675,8 +265611,8 @@ self: { pname = "servant-server"; version = "0.20"; sha256 = "1gp8pslk2sspi5vzrl1nimndpif7jhgzlffi2mzf1ap1bdwgxchk"; - revision = "1"; - editedCabalFile = "0x7z23b3m22afczlnmajcmmcyq9dxvhlv71si0nniz9vzc45l2yb"; + revision = "2"; + editedCabalFile = "0x05ngrrgq4jqv5sfwsf35aziipvz64xajzh4a1b5cmh53q7kc8v"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -261973,7 +265909,6 @@ self: { executableHaskellDepends = [ base purescript-bridge ]; description = "When REST is not enough ..."; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "subscriber-psGenerator"; }) {}; @@ -262193,8 +266128,6 @@ self: { ]; description = "Typed error wrapper for Servant"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "servant-typescript" = callPackage @@ -262222,7 +266155,6 @@ self: { ]; description = "TypeScript client generation for Servant"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "servant-typescript-exe"; }) {}; @@ -262406,8 +266338,6 @@ self: { ]; description = "Servant support for the XML Content-Type"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "servant-xstatic" = callPackage @@ -262573,6 +266503,7 @@ self: { description = "Deploying Haskell code onto AWS Lambda using Serverless"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "serversession" = callPackage @@ -262685,6 +266616,7 @@ self: { ]; description = "Snap bindings for serversession"; license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; }) {}; "serversession-frontend-wai" = callPackage @@ -262808,7 +266740,6 @@ self: { description = "Snaplet for the ses-html package"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "sessions" = callPackage @@ -263253,8 +267184,8 @@ self: { }: mkDerivation { pname = "sexpresso"; - version = "1.2.3.0"; - sha256 = "0nbwyv0zxcgd2jsng0qn84mnc3x9dc31cq43cacj3mijiss2s57p"; + version = "1.2.4.0"; + sha256 = "1lpr6kl79kcfxxmnr7hkr2rxk51y599dj4303f1dw7i0vlbm7rfb"; libraryHaskellDepends = [ base bifunctors containers megaparsec recursion-schemes text ]; @@ -263456,19 +267387,22 @@ self: { "sha1" = callPackage ({ mkDerivation, base, bytebuild, byteslice, natural-arithmetic - , primitive, small-bytearray-builder + , primitive, run-st }: mkDerivation { pname = "sha1"; - version = "0.1.0.2"; - sha256 = "14jy1g6pm4vnq7rhg4z1yazazk9vfav7nn8saxkddxaflaax4llf"; - libraryHaskellDepends = [ base bytebuild byteslice primitive ]; + version = "0.1.1.0"; + sha256 = "0qb3y13671lld2zkgnr720xacy8fmvv5g86ayhmi0xkx36ldkv7g"; + revision = "1"; + editedCabalFile = "16zxk8qdxysfsjxlicm4xjamnxg9mysdgwrfwc4qa67anqkqgxdv"; + libraryHaskellDepends = [ + base bytebuild byteslice primitive run-st + ]; testHaskellDepends = [ - base byteslice natural-arithmetic primitive small-bytearray-builder + base bytebuild byteslice natural-arithmetic primitive ]; description = "SHA-1 Hash"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "shade" = callPackage @@ -263890,6 +267824,7 @@ self: { description = "Shake File Pack Rule"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "shake-path" = callPackage @@ -263955,7 +267890,6 @@ self: { ]; description = "Experimental extensions to shake-plus"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "shakebook" = callPackage @@ -264513,8 +268447,8 @@ self: { }: mkDerivation { pname = "shellify"; - version = "0.10.0.1"; - sha256 = "0wih7jl3za8cm62wk8zplyc94356ccrck1kri814z4pk7dav50lv"; + version = "0.10.0.3"; + sha256 = "0kgyhyvjsryda03b93nvi07q47mcyqz8rfy0awgr8nbi0gv0d8jd"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -264525,10 +268459,8 @@ self: { testHaskellDepends = [ base hspec hspec-core raw-strings-qq text ]; description = "A tool for generating shell.nix files"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; mainProgram = "shellify"; maintainers = [ lib.maintainers.danielrolls ]; - broken = true; }) {}; "shellish" = callPackage @@ -264591,6 +268523,8 @@ self: { pname = "shellmet"; version = "0.0.4.1"; sha256 = "0jd05bazny7y25jnminal5wv30kxg6pzchswxpw5yac027qjagd0"; + revision = "1"; + editedCabalFile = "1ivpa3nrbp8qjam99m6dblhakc8gml1hhhigsmb708ndsyqfqa2i"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ base process text ]; @@ -264599,9 +268533,7 @@ self: { testHaskellDepends = [ base doctest Glob ]; description = "Out of the shell solution for scripting in Haskell"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; mainProgram = "readme"; - broken = true; }) {}; "shellout" = callPackage @@ -264951,7 +268883,6 @@ self: { description = "Length-indexed vectors using SmallArray#"; license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "short-vec-lens" = callPackage @@ -265303,14 +269234,16 @@ self: { }) {}; "si-timers" = callPackage - ({ mkDerivation, base, io-classes, mtl, QuickCheck, stm, tasty - , tasty-quickcheck, time + ({ mkDerivation, base, deepseq, io-classes, mtl, nothunks + , QuickCheck, stm, tasty, tasty-quickcheck, time }: mkDerivation { pname = "si-timers"; - version = "1.1.0.0"; - sha256 = "1xcha073j5vylddcx1hrkkwfaajw75j9dvy9haip8crli72535r1"; - libraryHaskellDepends = [ base io-classes mtl stm time ]; + version = "1.2.0.0"; + sha256 = "0abxynhg51bazj1dvd4pxpr6wzfd7gganymlnfj4dxday6y3cnc4"; + libraryHaskellDepends = [ + base deepseq io-classes mtl nothunks stm time + ]; testHaskellDepends = [ base QuickCheck tasty tasty-quickcheck ]; description = "timers using SI units (seconds)"; license = lib.licenses.asl20; @@ -265513,7 +269446,6 @@ self: { description = "Deterministic serialisation and signatures with proto-lens support"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "signable-haskell-protoc" = callPackage @@ -265535,7 +269467,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "signable-haskell-protoc"; - broken = true; }) {}; "signal" = callPackage @@ -265612,8 +269543,6 @@ self: { libraryHaskellDepends = [ base containers ]; description = "Multisets with negative membership"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "significant-figures" = callPackage @@ -265635,9 +269564,7 @@ self: { ]; description = "Calculate expressions involving significant figures"; license = lib.licenses.gpl3Plus; - hydraPlatforms = lib.platforms.none; mainProgram = "significant-figures-cli"; - broken = true; }) {}; "signify-hs" = callPackage @@ -265661,7 +269588,6 @@ self: { ]; description = "A Haskell clone of OpenBSD signify"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "signify-hs"; }) {}; @@ -265798,7 +269724,6 @@ self: { ]; description = "A minimalist web framework for the WAI server interface"; license = lib.licenses.lgpl3Only; - hydraPlatforms = lib.platforms.none; mainProgram = "smpl"; }) {}; @@ -266091,8 +270016,8 @@ self: { }: mkDerivation { pname = "simple-expr"; - version = "0.1.0.2"; - sha256 = "1blr20svc7w5gdjpzlldgwjpy452n4bgvz3sbbrpgrqlcj1vln5b"; + version = "0.1.1.0"; + sha256 = "0qbmwnl9wgngpx94j9h906mrk9iyclrl0w6wy3p7za7dygcb333i"; libraryHaskellDepends = [ base data-fix graphite graphviz hashable numhask text unicode-show ]; @@ -266266,7 +270191,6 @@ self: { description = "Logging effect to plug into the simple-effects framework"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "simple-ltl" = callPackage @@ -266469,8 +270393,8 @@ self: { ({ mkDerivation, base, exceptions, extra, haskeline, time }: mkDerivation { pname = "simple-prompt"; - version = "0.2.0.1"; - sha256 = "1bmq1x7raibnlqkjay3gnvsqiiggv2n911vk02z1glly90b5yg21"; + version = "0.2.1"; + sha256 = "0p2xss8l8jzp0dm6ql9sswlsm8mcfxra20dnbnql3bz9v2a2wdhc"; libraryHaskellDepends = [ base exceptions extra haskeline time ]; description = "Simple commandline text prompt functions"; license = lib.licenses.bsd3; @@ -266552,7 +270476,6 @@ self: { ]; description = "Cookie-based session management for the Simple web framework"; license = lib.licenses.lgpl3Only; - hydraPlatforms = lib.platforms.none; }) {}; "simple-sessions" = callPackage @@ -266674,8 +270597,6 @@ self: { ]; description = "A basic template language for the Simple web framework"; license = lib.licenses.lgpl3Only; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "simple-text-format" = callPackage @@ -267974,8 +271895,6 @@ self: { ]; description = "A very quick-and-dirty WebSocket server"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "skip-list" = callPackage @@ -268004,6 +271923,28 @@ self: { license = lib.licenses.mit; }) {}; + "skopedate" = callPackage + ({ mkDerivation, aeson, base, bytestring, extra, http-query + , simple-cmd, simple-cmd-args, time, typed-process + }: + mkDerivation { + pname = "skopedate"; + version = "0.1"; + sha256 = "0czpx3n0g8d3rlv5iir7800l3b0vsr80gjd4pvyvvp7j2a4ra4lj"; + isLibrary = false; + isExecutable = true; + executableHaskellDepends = [ + aeson base bytestring extra http-query simple-cmd simple-cmd-args + time typed-process + ]; + testHaskellDepends = [ base simple-cmd ]; + description = "Check dates of container images"; + license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; + mainProgram = "skopedate"; + broken = true; + }) {}; + "skulk" = callPackage ({ mkDerivation, base, hspec, QuickCheck }: mkDerivation { @@ -268049,32 +271990,6 @@ self: { }: mkDerivation { pname = "skylighting"; - version = "0.13.4"; - sha256 = "09v4da57ijzcaxhibrshw8fdxd0wq8adw44w9wh1rpn2l698gv4m"; - configureFlags = [ "-fexecutable" ]; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ - base binary containers skylighting-core skylighting-format-ansi - skylighting-format-blaze-html skylighting-format-context - skylighting-format-latex - ]; - executableHaskellDepends = [ - base blaze-html bytestring containers pretty-show text - ]; - description = "syntax highlighting library"; - license = lib.licenses.gpl2Only; - mainProgram = "skylighting"; - }) {}; - - "skylighting_0_13_4_1" = callPackage - ({ mkDerivation, base, binary, blaze-html, bytestring, containers - , pretty-show, skylighting-core, skylighting-format-ansi - , skylighting-format-blaze-html, skylighting-format-context - , skylighting-format-latex, text - }: - mkDerivation { - pname = "skylighting"; version = "0.13.4.1"; sha256 = "091cjjv8y0y5pfz5fphyzs94nzslbz8j5i07ma6pfqd1bjrh9xzi"; configureFlags = [ "-fexecutable" ]; @@ -268090,7 +272005,6 @@ self: { ]; description = "syntax highlighting library"; license = lib.licenses.gpl2Only; - hydraPlatforms = lib.platforms.none; mainProgram = "skylighting"; }) {}; @@ -268103,36 +272017,6 @@ self: { }: mkDerivation { pname = "skylighting-core"; - version = "0.13.4"; - sha256 = "0n9v62fq7iwlz44hfz7zbsqplqkls8x7cb3fmm5xfw020adqjyyf"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ - aeson attoparsec base base64-bytestring binary bytestring - case-insensitive colour containers directory filepath mtl safe text - transformers utf8-string xml-conduit - ]; - testHaskellDepends = [ - aeson base bytestring containers Diff directory filepath - pretty-show QuickCheck tasty tasty-golden tasty-hunit - tasty-quickcheck text - ]; - benchmarkHaskellDepends = [ - base containers criterion filepath text - ]; - description = "syntax highlighting library"; - license = lib.licenses.bsd3; - }) {}; - - "skylighting-core_0_13_4_1" = callPackage - ({ mkDerivation, aeson, attoparsec, base, base64-bytestring, binary - , bytestring, case-insensitive, colour, containers, criterion, Diff - , directory, filepath, mtl, pretty-show, QuickCheck, safe, tasty - , tasty-golden, tasty-hunit, tasty-quickcheck, text, transformers - , utf8-string, xml-conduit - }: - mkDerivation { - pname = "skylighting-core"; version = "0.13.4.1"; sha256 = "1hz2r8qpkjf9m5fgpw39vqp3rq1cbkamxss65i40bqihbjzysm65"; isLibrary = true; @@ -268152,7 +272036,6 @@ self: { ]; description = "syntax highlighting library"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "skylighting-extensions" = callPackage @@ -268237,8 +272120,6 @@ self: { ]; description = "Lucid support for Skylighting"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "skylighting-modding" = callPackage @@ -268764,9 +272645,7 @@ self: { testHaskellDepends = [ base hspec text text-icu ]; description = "Clean URI slugs for Haskell"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "slugger"; - broken = true; }) {}; "slugify" = callPackage @@ -269095,7 +272974,6 @@ self: { ]; description = "Haskell Behavior Tree Library"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "smartword" = callPackage @@ -269288,11 +273166,11 @@ self: { pname = "smith"; version = "0.1.1.0"; sha256 = "0ylhzs0lc7fxp54s74slffkr8rnasy4pak4snyi5jnvma0wiz55g"; + revision = "1"; + editedCabalFile = "00l8f3az6h9vadnyn17kg6ng3p0pp9b17gxilrkriwwjlmjvl81w"; libraryHaskellDepends = [ base bytesmith primitive ]; description = "Parse arrays of tokens"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "smith-cli" = callPackage @@ -269437,8 +273315,6 @@ self: { libraryHaskellDepends = [ base bytestring ]; description = "Low-level functions for SMT-LIB-based interaction with SMT solvers"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "smtlib-backends-process" = callPackage @@ -269459,6 +273335,7 @@ self: { description = "An SMT-LIB backend running solvers as external processes"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "smtlib-backends-tests" = callPackage @@ -269470,7 +273347,6 @@ self: { libraryHaskellDepends = [ base smtlib-backends tasty tasty-hunit ]; description = "Testing SMT-LIB backends"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "smtlib-backends-z3" = callPackage @@ -269489,7 +273365,6 @@ self: { ]; description = "An SMT-LIB backend implemented using Z3's C API"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {inherit (pkgs) gomp; inherit (pkgs) z3;}; "smtlib2" = callPackage @@ -269731,8 +273606,6 @@ self: { ]; description = "Strict ByteString Parser Combinator"; license = lib.licenses.cc0; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snake" = callPackage @@ -269797,6 +273670,7 @@ self: { ]; description = "Top-level package for the Snap Web Framework"; license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; maintainers = [ lib.maintainers.maralorn ]; }) {}; @@ -269848,7 +273722,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "snap-auth-cli"; - broken = true; }) {}; "snap-blaze" = callPackage @@ -269970,7 +273843,6 @@ self: { description = "Collect errors in batches and dispatch them"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snap-extras" = callPackage @@ -270094,7 +273966,6 @@ self: { description = "Typesafe URLs for Snap applications"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snap-server" = callPackage @@ -270201,7 +274072,6 @@ self: { description = "A library for BDD-style testing with the Snap Web Framework"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snap-utils" = callPackage @@ -270220,7 +274090,6 @@ self: { description = "Snap Framework utilities"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snap-web-routes" = callPackage @@ -270237,7 +274106,6 @@ self: { description = "Type safe URLs for Snap"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-acid-state" = callPackage @@ -270252,7 +274120,6 @@ self: { description = "acid-state snaplet for Snap Framework"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-actionlog" = callPackage @@ -270296,7 +274163,6 @@ self: { description = "Snap framework snaplet for the AMQP library"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-auth-acid" = callPackage @@ -270318,7 +274184,6 @@ self: { description = "Provides an Acid-State backend for the Auth Snaplet"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-coffee" = callPackage @@ -270339,7 +274204,6 @@ self: { description = "CoffeeScript for Snap, auto-compilation and pre-compilation"; license = lib.licenses.gpl3Only; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-css-min" = callPackage @@ -270357,7 +274221,6 @@ self: { description = "A Snaplet for CSS minification"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-customauth" = callPackage @@ -270398,7 +274261,6 @@ self: { description = "DEPRECATED! You should use standard Snap >= 0.9 \"environments\" functionality. It provided ability to easly read configuration based on given app environment given at command line, envs are defined in app configuration file"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-fay" = callPackage @@ -270435,6 +274297,7 @@ self: { ]; description = "Serve javascript files compiled with GHCJS"; license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; }) {}; "snaplet-hasql" = callPackage @@ -270507,7 +274370,6 @@ self: { description = "Snap framework snaplet for the Logger API library"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-i18n" = callPackage @@ -270531,6 +274393,7 @@ self: { ]; description = "snaplet-i18n"; license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; mainProgram = "demo"; }) {}; @@ -270551,7 +274414,6 @@ self: { description = "Snap framework snaplet for the InfluxDB library"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-lss" = callPackage @@ -270586,7 +274448,6 @@ self: { description = "Snap framework snaplet for the Mandrill API library"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-mongoDB" = callPackage @@ -270622,7 +274483,6 @@ self: { description = "Minimalistic MongoDB Snaplet"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-mysql-simple" = callPackage @@ -270644,7 +274504,6 @@ self: { description = "mysql-simple snaplet for the Snap Framework"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-oauth" = callPackage @@ -270692,6 +274551,7 @@ self: { ]; description = "persistent snaplet for the Snap Framework"; license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; }) {}; "snaplet-postgresql-simple" = callPackage @@ -270717,7 +274577,6 @@ self: { description = "postgresql-simple snaplet for the Snap Framework"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-postmark" = callPackage @@ -270752,7 +274611,6 @@ self: { description = "Automatic (re)compilation of purescript projects"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-recaptcha" = callPackage @@ -270771,7 +274629,6 @@ self: { description = "A ReCAPTCHA verification snaplet with Heist integration and connection sharing"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-redis" = callPackage @@ -270792,7 +274649,6 @@ self: { description = "Redis support for Snap Framework"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-redson" = callPackage @@ -270867,7 +274723,6 @@ self: { description = "Sass integration for Snap with request- and pre-compilation"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-scoped-session" = callPackage @@ -270885,7 +274740,6 @@ self: { description = "Modularised session state for Snaplets, in a Snaplet"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-sedna" = callPackage @@ -270920,7 +274774,6 @@ self: { description = "Snaplet for the ses-html package"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-sqlite-simple" = callPackage @@ -270951,6 +274804,7 @@ self: { ]; description = "sqlite-simple snaplet for the Snap Framework"; license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; }) {}; "snaplet-sqlite-simple-jwt-auth" = callPackage @@ -270971,7 +274825,6 @@ self: { description = "Snaplet for JWT authentication with snaplet-sqlite-simple"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-stripe" = callPackage @@ -271026,7 +274879,6 @@ self: { description = "Typed session snaplets and continuation-based programming for the Snap web framework"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snaplet-wordpress" = callPackage @@ -271108,7 +274960,6 @@ self: { description = "An enumeratee that uses Google's snappy compression library"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "snappy-lazy" = callPackage @@ -271692,7 +275543,6 @@ self: { description = "High-level network sockets"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "sockets-and-pipes" = callPackage @@ -271714,8 +275564,6 @@ self: { doHaddock = false; description = "Support for the Sockets and Pipes book"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "socketson" = callPackage @@ -271999,6 +275847,7 @@ self: { license = "GPL"; hydraPlatforms = lib.platforms.none; mainProgram = "svdump"; + broken = true; }) {}; "sop-core" = callPackage @@ -272546,7 +276395,6 @@ self: { badPlatforms = lib.platforms.darwin; hydraPlatforms = lib.platforms.none; mainProgram = "spade"; - broken = true; }) {}; "spake2" = callPackage @@ -272848,7 +276696,6 @@ self: { ]; description = "Lightweight parsing library based on partial functions"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "spartacon" = callPackage @@ -272970,8 +276817,6 @@ self: { ]; description = "SPDX license expression language, Extras"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "spdx-license" = callPackage @@ -274071,6 +277916,7 @@ self: { description = "Guided derivation for Hasql statements"; license = "BSD-2-Clause-Patent"; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "sqids" = callPackage @@ -274261,8 +278107,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "A primitive yet easy to use sqlite library"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "sqlite-simple" = callPackage @@ -274618,7 +278462,6 @@ self: { description = "Dhall Encoder/Decoder for SRT"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "srt-formatting" = callPackage @@ -274922,20 +278765,23 @@ self: { "stable-heap" = callPackage ({ mkDerivation, base, criterion, fingertree, heaps, mwc-random - , pqueue, vector + , pqueue, QuickCheck, tasty, tasty-quickcheck, transformers, vector }: mkDerivation { pname = "stable-heap"; - version = "0.1.0.0"; - sha256 = "14wx42lmk2vd6v356q5cbd78y9xdnmkwcn6ddpnkyzq331hk23s1"; + version = "0.2.1.0"; + sha256 = "0053h9yn303h4b98div1lw21mxf0mizc6w268pvzi6hhvhr84hv3"; + revision = "2"; + editedCabalFile = "0ph54yx7ja2yg5jm0x0b6jrj83xbpg96cq6m577xab33gmwi0961"; libraryHaskellDepends = [ base ]; + testHaskellDepends = [ + base QuickCheck tasty tasty-quickcheck transformers + ]; benchmarkHaskellDepends = [ base criterion fingertree heaps mwc-random pqueue vector ]; description = "Purely functional stable heaps (fair priority queues)"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "stable-maps" = callPackage @@ -274961,23 +278807,17 @@ self: { testHaskellDepends = [ base ghc-prim ]; description = "algorithms around stable marriage"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "stable-memo" = callPackage ({ mkDerivation, base, ghc-prim, hashtables }: mkDerivation { pname = "stable-memo"; - version = "0.3.1"; - sha256 = "1rv578311cvn7ym08vxxi18dhic50w7ms6cjn77vh032b8fxr3gx"; - revision = "1"; - editedCabalFile = "1wlz6cpbvf8mi4c78dwwbdxsk2wax3y7q27hy78h83gl0cbnfiij"; + version = "0.4.0"; + sha256 = "1bknfpxvhcz6d3957rw26zcfhlp2gh9v6r779zynr9vib39xgwry"; libraryHaskellDepends = [ base ghc-prim hashtables ]; description = "Memoization based on argument identity"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "stable-tree" = callPackage @@ -275062,6 +278902,8 @@ self: { pname = "stack"; version = "2.11.1"; sha256 = "0qihckfj5p9xbq0d8xd9c7zy5jpdl2hrvcc0nq8x67k9a7vqwwx1"; + revision = "2"; + editedCabalFile = "11cjn404f7kmpmls01pq1j1xac838hv7x725fhvrz2w3fhphbbsp"; configureFlags = [ "-fdisable-git-info" "-fhide-dependency-versions" "-fsupported-build" @@ -275950,8 +279792,7 @@ self: { license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; mainProgram = "stackctl"; - broken = true; - }) {amazonka-sso = null;}; + }) {}; "stacked-dag" = callPackage ({ mkDerivation, base, containers, doctest, graphviz @@ -276057,6 +279898,7 @@ self: { license = lib.licenses.mpl20; hydraPlatforms = lib.platforms.none; mainProgram = "stan"; + broken = true; }) {}; "standalone-derive-topdown" = callPackage @@ -276411,8 +280253,6 @@ self: { libraryHaskellDepends = [ base double-conversion free mtl text ]; description = "DSL to generate HTML5 Canvas javascript"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "static-closure" = callPackage @@ -276596,7 +280436,6 @@ self: { description = "Functions for working with Dirichlet densities and mixtures on vectors"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "statistics-fusion" = callPackage @@ -276825,9 +280664,7 @@ self: { testToolDepends = [ hspec-discover ]; description = "What version is the package X in stackage lts-Y.ZZ?"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "staversion"; - broken = true; }) {}; "stb-image" = callPackage @@ -276927,17 +280764,14 @@ self: { }) {}; "stdcxx" = callPackage - ({ mkDerivation, base, fficxx, fficxx-runtime, template-haskell }: + ({ mkDerivation, base, fficxx-runtime, template-haskell }: mkDerivation { pname = "stdcxx"; - version = "0.7.0.0"; - sha256 = "0r2zm4y1rwpv5pwnlqc51hy746j6hnvhj44vagyxij6ja7xhqxsg"; - libraryHaskellDepends = [ - base fficxx fficxx-runtime template-haskell - ]; + version = "0.7.0.1"; + sha256 = "0830lv6vildyxnpkxgrxpn17k621wgisklw6c2mqiqvm6n9wjga7"; + libraryHaskellDepends = [ base fficxx-runtime template-haskell ]; description = "Binding to Standard Template Library C++"; license = lib.licenses.bsd2; - hydraPlatforms = lib.platforms.none; }) {}; "stdf" = callPackage @@ -277216,7 +281050,6 @@ self: { testHaskellDepends = [ base hspec mtl stm stm-queue ]; description = "A simplistic actor model based on STM"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "stm-channelize" = callPackage @@ -277379,8 +281212,6 @@ self: { testHaskellDepends = [ base hspec stm ]; description = "A library for constructing incremental computations"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "stm-io-hooks" = callPackage @@ -277455,8 +281286,6 @@ self: { ]; description = "An implementation of a real-time concurrent queue"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "stm-queue-extras" = callPackage @@ -277520,7 +281349,6 @@ self: { testHaskellDepends = [ async base QuickCheck random Unique ]; description = "STM wrapper around Control.Concurrent.Supply."; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "stm-tlist" = callPackage @@ -278400,7 +282228,6 @@ self: { description = "Concurrency support for the streaming ecosystem"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "streaming-conduit" = callPackage @@ -278568,8 +282395,6 @@ self: { ]; description = "Stream packets via libpcap"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "streaming-png" = callPackage @@ -278660,7 +282485,6 @@ self: { description = "Sorting streams"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "streaming-utils" = callPackage @@ -278671,8 +282495,8 @@ self: { }: mkDerivation { pname = "streaming-utils"; - version = "0.2.4.0"; - sha256 = "108z34mcnp6qcrqx1lblnw5p1qnynvrfrxik6n3ff71iqbg7lllh"; + version = "0.2.5.0"; + sha256 = "1zaq0vk4bzn27w56nxx56fij9cxk7pz5vf2xvglxkj6xip1w63fz"; libraryHaskellDepends = [ aeson attoparsec base bytestring http-client http-client-tls json-stream mtl network network-simple pipes resourcet streaming @@ -278865,8 +282689,6 @@ self: { ]; description = "Examples for Streamly"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "streamly-fsnotify" = callPackage @@ -278972,8 +282794,6 @@ self: { ]; description = "Use OS processes as stream transformation functions"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "streamly-statistics" = callPackage @@ -279302,8 +283122,8 @@ self: { }: mkDerivation { pname = "strict-list"; - version = "0.1.7.1"; - sha256 = "1bvzyfcjx6g5n2jm1hi0m3aw71xz842hz30giy8p33wjcbshs1c1"; + version = "0.1.7.2"; + sha256 = "1zzrhpgwv4cjjp601fklhv9i1hp4rpabjyylnjwbv757bv8dmlvx"; libraryHaskellDepends = [ base deepseq hashable semigroupoids ]; testHaskellDepends = [ rerebase tasty tasty-quickcheck ]; description = "Strict linked list"; @@ -279311,12 +283131,17 @@ self: { }) {}; "strict-mvar" = callPackage - ({ mkDerivation, base, io-classes }: + ({ mkDerivation, base, io-classes, io-sim, nothunks, QuickCheck + , tasty, tasty-quickcheck + }: mkDerivation { pname = "strict-mvar"; - version = "1.1.0.0"; - sha256 = "0wd6kdkady3pwsswyzx5w93fivsrsxvladh7ndip2qscfgpsd4fs"; + version = "1.2.0.0"; + sha256 = "0w324i9daxsvc4np9vc8bwija2p943sirbqxhjrf9bg144q3ldvd"; libraryHaskellDepends = [ base io-classes ]; + testHaskellDepends = [ + base io-sim nothunks QuickCheck tasty tasty-quickcheck + ]; description = "Strict MVars for IO and IOSim"; license = lib.licenses.asl20; }) {}; @@ -279338,8 +283163,8 @@ self: { ({ mkDerivation, array, base, io-classes, stm }: mkDerivation { pname = "strict-stm"; - version = "1.1.0.1"; - sha256 = "01lw137aarcwbr5vgrkjrfh1kp9bn7ql31xw9ivw9nwfdhjblz04"; + version = "1.2.0.0"; + sha256 = "1kxfnvgrvskgn8ip9gga0fwchnnlxydccf03cpbx524lqrmk4d0x"; libraryHaskellDepends = [ array base io-classes stm ]; description = "Strict STM interface polymorphic over stm implementation"; license = lib.licenses.asl20; @@ -279606,7 +283431,6 @@ self: { ]; description = "Is used in the phonetic languages approach (e. g. in the recursive mode)."; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "string-isos" = callPackage @@ -279828,8 +283652,6 @@ self: { libraryHaskellDepends = [ base bytestring text ]; description = "Functions for working with strings, including Text, ByteString, etc"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "stringsearch" = callPackage @@ -280265,10 +284087,8 @@ self: { }: mkDerivation { pname = "structs"; - version = "0.1.8"; - sha256 = "07y8mahvvi2d3iggzxgrh8dbhg8zrjcamnf0x73ymrwxh0qhyryx"; - revision = "1"; - editedCabalFile = "1k4k41322zvmqw0812kip09gm6dhk441w3q0m59z2b5mlvmqr5qq"; + version = "0.1.9"; + sha256 = "033vx729k9jn4w4hs3kp8nlnf0ylsqgg3q4cmb7zjfpgk4bk511w"; libraryHaskellDepends = [ base deepseq ghc-prim primitive template-haskell th-abstraction ]; @@ -280372,10 +284192,8 @@ self: { ]; description = "Structured editing Emacs mode for Haskell"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "structured-haskell-mode"; maintainers = [ lib.maintainers.peti ]; - broken = true; }) {}; "structured-mongoDB" = callPackage @@ -281213,8 +285031,6 @@ self: { testHaskellDepends = [ base ]; description = "An implementation of extensible products and sums"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "summoner" = callPackage @@ -281284,7 +285100,6 @@ self: { description = "A Haskell interface to SUMP-compatible logic analyzers"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "sundown" = callPackage @@ -281944,9 +285759,7 @@ self: { ]; description = "Svg Icons and more"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "svg-icons-exe"; - broken = true; }) {}; "svg-tree" = callPackage @@ -282305,7 +286118,9 @@ self: { ]; description = "2D resource gathering game with programmable robots"; license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; mainProgram = "swarm"; + broken = true; }) {}; "swearjure" = callPackage @@ -282525,8 +286340,8 @@ self: { ({ mkDerivation, base, containers, mtl, tasty, tasty-hunit }: mkDerivation { pname = "syb"; - version = "0.7.2.3"; - sha256 = "06nrr3x9zgk0ml7xckx04hr46lr15w3p8mrdrgcw8ix92spjvdyh"; + version = "0.7.2.4"; + sha256 = "0q0y5412766xz90lghs4sdna48hawk7csqb3708bjann4a41wz7c"; libraryHaskellDepends = [ base ]; testHaskellDepends = [ base containers mtl tasty tasty-hunit ]; description = "Scrap Your Boilerplate"; @@ -282576,7 +286391,6 @@ self: { description = "Scrap Your Boilerplate With Class Text instance"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "sydtest" = callPackage @@ -282589,8 +286403,8 @@ self: { }: mkDerivation { pname = "sydtest"; - version = "0.15.0.0"; - sha256 = "0yrijkdicdpw1b2h95xg61q5c95bz1i6f2m1s1pa07avzs6bmils"; + version = "0.15.1.0"; + sha256 = "0rviw43ks6rnl1m7wf8ffd70cnizz53svnsz2f9k69787xyqn6zb"; libraryHaskellDepends = [ async autodocodec autodocodec-yaml base bytestring containers dlist envparse filepath MonadRandom mtl optparse-applicative path path-io @@ -283503,9 +287317,7 @@ self: { testSystemDepends = [ gmp gmpxx symengine ]; description = "SymEngine symbolic mathematics engine for Haskell"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; mainProgram = "symengine-hs-exe"; - broken = true; }) {inherit (pkgs) gmp; inherit (pkgs) gmpxx; inherit (pkgs) symengine;}; @@ -284689,6 +288501,7 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "tableaux.cgi"; + broken = true; }) {}; "tables" = callPackage @@ -284824,8 +288637,8 @@ self: { }: mkDerivation { pname = "taffybar"; - version = "4.0.0"; - sha256 = "1rwir1jlqxby2gj4pxbhz9khn6pfgn4bn3wca6q8zyzn0vs3i2wg"; + version = "4.0.1"; + sha256 = "0lbviimazjc65j05hmncv2idd096yj7mgrk4r3q9vws2b8d9sww8"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; @@ -284925,6 +288738,20 @@ self: { license = lib.licenses.bsd3; }) {}; + "tagged_0_8_8" = callPackage + ({ mkDerivation, base, deepseq, template-haskell, transformers }: + mkDerivation { + pname = "tagged"; + version = "0.8.8"; + sha256 = "19x66y8zqh06mmkbbnpy0m5sk402zj6iqfj3d30h6qji6mwgm0x0"; + libraryHaskellDepends = [ + base deepseq template-haskell transformers + ]; + description = "Haskell 98 phantom types to avoid unsafely passing dummy arguments"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + "tagged-binary" = callPackage ({ mkDerivation, base, base-compat, binary, bytestring, pureMD5 }: mkDerivation { @@ -285311,6 +289138,133 @@ self: { broken = true; }) {}; + "tahoe-capabilities" = callPackage + ({ mkDerivation, base, text }: + mkDerivation { + pname = "tahoe-capabilities"; + version = "0.1.0.0"; + sha256 = "1adv12v0l2r13s9yr37zzvixwjpkla0vpvwlrz8sq0j0mkls36pm"; + libraryHaskellDepends = [ base text ]; + testHaskellDepends = [ base ]; + description = "Abstractions related to Tahoe-LAFS \"capabilities\""; + license = lib.licenses.lgpl3Plus; + hydraPlatforms = lib.platforms.none; + broken = true; + }) {}; + + "tahoe-chk" = callPackage + ({ mkDerivation, aeson, async, base, base32, base64-bytestring + , binary, bytestring, cereal, cipher-aes128, concurrency + , containers, crypto-api, cryptonite, directory, extra, fec + , filepath, hedgehog, megaparsec, memory, monad-loops + , network-byte-order, optparse-applicative, parser-combinators + , primitive, scientific, tagged, tasty, tasty-hedgehog, tasty-hunit + , temporary, text, tree-diff, utility-ht, vector, yaml + }: + mkDerivation { + pname = "tahoe-chk"; + version = "0.1.0.2"; + sha256 = "18gbk1zk11nq08vfxqjb9ayf1k1vhic21mdp7v4fwxjrqkvxlwpr"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + aeson async base base32 base64-bytestring binary bytestring cereal + cipher-aes128 concurrency containers crypto-api cryptonite + directory extra fec filepath megaparsec memory monad-loops + network-byte-order parser-combinators primitive tagged text + tree-diff utility-ht + ]; + executableHaskellDepends = [ + base base32 bytestring optparse-applicative text + ]; + testHaskellDepends = [ + aeson base base32 base64-bytestring binary bytestring cereal + cipher-aes128 containers crypto-api cryptonite directory fec + filepath hedgehog megaparsec scientific tagged tasty tasty-hedgehog + tasty-hunit temporary text tree-diff vector yaml + ]; + description = "The Tahoe-LAFS' Content-Hash-Key (CHK) cryptographic protocol"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + mainProgram = "tahoe-chk-encrypt"; + }) {}; + + "tahoe-great-black-swamp" = callPackage + ({ mkDerivation, aeson, async, base, base32, base32string + , base64-bytestring, binary, bytestring, cborg, cborg-json + , connection, containers, deriving-aeson, directory, filepath + , foldl, hspec, hspec-expectations, hspec-wai, http-api-data + , http-client, http-client-tls, http-media, http-types, megaparsec + , network-uri, optparse-applicative, primitive, QuickCheck + , quickcheck-instances, safe-exceptions, scientific, serialise + , servant, servant-client, servant-docs, servant-js, servant-server + , tahoe-chk, temporary, text, unordered-containers, utf8-string + , vector, wai, wai-extra, warp, warp-tls + }: + mkDerivation { + pname = "tahoe-great-black-swamp"; + version = "0.3.0.1"; + sha256 = "16n8jv5pb0z8x3dczpcqq29lmn24irinh81p9m75qad88i8p3r57"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + aeson async base base64-bytestring binary bytestring cborg + cborg-json containers deriving-aeson directory filepath foldl + http-api-data http-media http-types primitive safe-exceptions + scientific serialise servant-client servant-docs servant-server + text unordered-containers utf8-string vector wai warp warp-tls + ]; + executableHaskellDepends = [ + aeson async base base32 base64-bytestring binary bytestring cborg + connection containers deriving-aeson directory filepath foldl + http-client http-client-tls http-types megaparsec network-uri + optparse-applicative primitive safe-exceptions serialise servant + servant-client servant-js tahoe-chk text unordered-containers + vector warp + ]; + testHaskellDepends = [ + aeson async base base32string binary bytestring cborg containers + deriving-aeson directory filepath foldl hspec hspec-expectations + hspec-wai http-types primitive QuickCheck quickcheck-instances + safe-exceptions serialise servant temporary text + unordered-containers vector wai-extra + ]; + description = "An implementation of the \"Great Black Swamp\" LAFS protocol"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + + "tahoe-ssk" = callPackage + ({ mkDerivation, asn1-encoding, asn1-types, base, base32, binary + , bytestring, cereal, containers, cryptonite, hedgehog, megaparsec + , memory, tahoe-capabilities, tahoe-chk, tasty, tasty-hedgehog + , tasty-hunit, text, x509 + }: + mkDerivation { + pname = "tahoe-ssk"; + version = "0.2.1.0"; + sha256 = "1qkzkdqqyjh2qzkl03f3wg4xlpmb8n1hq95bmdqwx1212aap3qxx"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + asn1-encoding asn1-types base base32 binary bytestring cereal + containers cryptonite megaparsec memory tahoe-capabilities + tahoe-chk text x509 + ]; + executableHaskellDepends = [ + asn1-encoding asn1-types base base32 binary bytestring cryptonite + tahoe-capabilities text x509 + ]; + testHaskellDepends = [ + asn1-encoding asn1-types base base32 binary bytestring cryptonite + hedgehog megaparsec memory tahoe-capabilities tahoe-chk tasty + tasty-hedgehog tasty-hunit text x509 + ]; + description = "An implementation of the Tahoe-LAFS SSK cryptographic protocols"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + "tai" = callPackage ({ mkDerivation, base, clock, lens, mtl, parsers, time, trifecta , wreq @@ -285456,7 +289410,6 @@ self: { description = "create slide for presentation"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "takedouble" = callPackage @@ -285779,6 +289732,33 @@ self: { license = lib.licenses.mit; }) {}; + "tar-conduit_0_4_0" = callPackage + ({ mkDerivation, base, bytestring, conduit, conduit-combinators + , conduit-extra, containers, criterion, deepseq, directory + , filepath, hspec, mtl, QuickCheck, safe-exceptions, text, unix + , weigh + }: + mkDerivation { + pname = "tar-conduit"; + version = "0.4.0"; + sha256 = "0h0z6g1q57jy20p5m8y56dqkrfvpz46yhglsvg3n4mncr88jlrfj"; + libraryHaskellDepends = [ + base bytestring conduit conduit-combinators containers directory + filepath mtl safe-exceptions text unix + ]; + testHaskellDepends = [ + base bytestring conduit conduit-combinators conduit-extra + containers deepseq directory filepath hspec QuickCheck weigh + ]; + benchmarkHaskellDepends = [ + base bytestring conduit conduit-combinators containers criterion + deepseq directory filepath hspec + ]; + description = "Extract and create tar files using conduit for streaming"; + license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; + }) {}; + "tardis" = callPackage ({ mkDerivation, base, mmorph, mtl }: mkDerivation { @@ -285967,8 +289947,8 @@ self: { }: mkDerivation { pname = "taskwarrior"; - version = "0.6.0.5"; - sha256 = "1xyf6dd5npiypp6z7zs31vc9bsid4jm12lh4p415909vwfyp1c04"; + version = "0.6.0.6"; + sha256 = "12kxadpdw5k702j1p1bvgjy763gdhsy9wzx249apzqjgh0x766jc"; libraryHaskellDepends = [ aeson base bytestring containers process random text time uuid ]; @@ -286049,6 +290029,8 @@ self: { pname = "tasty-autocollect"; version = "0.4.1"; sha256 = "0b374x2m2rx1zzqb1i5wr36d6nxs1wx1cxyggjszlwxssz0vqzcj"; + revision = "1"; + editedCabalFile = "0vr3r4wq2kxzg4yk085xk95zz6a5m8q0j6nb7lqlp7s5ff3ch7h1"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -286145,7 +290127,6 @@ self: { ]; description = "Check multiple items during a tasty test"; license = lib.licenses.isc; - hydraPlatforms = lib.platforms.none; }) {}; "tasty-dejafu" = callPackage @@ -286279,8 +290260,6 @@ self: { testHaskellDepends = [ aeson base tasty tasty-hunit ]; description = "Grade your tasty-testsuite"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "tasty-groundhog-converters" = callPackage @@ -286320,6 +290299,23 @@ self: { license = lib.licenses.bsd3; }) {}; + "tasty-hedgehog_1_4_0_2" = callPackage + ({ mkDerivation, base, hedgehog, tagged, tasty + , tasty-expected-failure + }: + mkDerivation { + pname = "tasty-hedgehog"; + version = "1.4.0.2"; + sha256 = "0lki03z0p38x0dkqx5cqga30zy5m31gxn1saqylja9bi6bbq8d25"; + libraryHaskellDepends = [ base hedgehog tagged tasty ]; + testHaskellDepends = [ + base hedgehog tasty tasty-expected-failure + ]; + description = "Integration for tasty and hedgehog"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + "tasty-hedgehog-coverage" = callPackage ({ mkDerivation, base, containers, hedgehog, mtl, tagged, tasty , tasty-expected-failure, tasty-hedgehog, text, transformers @@ -286477,8 +290473,8 @@ self: { pname = "tasty-inspection-testing"; version = "0.2"; sha256 = "1gdwbg545gwfx2a26s7hkgz9l9mfb13i6v20j09hqrlbh1xsi3qz"; - revision = "1"; - editedCabalFile = "1539r8zxbpwf1ia48syslq2j5v6l8czigp4fjwfwdcsf8x5k9j6g"; + revision = "2"; + editedCabalFile = "1fqyjm63qvi26lwbd9h2rj3178z8pf4gx3pry95fwlgkqd2hwjp9"; libraryHaskellDepends = [ base ghc inspection-testing tasty template-haskell ]; @@ -286552,8 +290548,6 @@ self: { ]; description = "JSON reporter for the tasty testing framework"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "tasty-kat" = callPackage @@ -286680,8 +290674,6 @@ self: { description = "Bencmarking using instruction counting"; license = lib.licenses.bsd3; platforms = lib.platforms.linux; - hydraPlatforms = lib.platforms.none; - broken = true; }) {inherit (pkgs) papi;}; "tasty-prelude" = callPackage @@ -287043,6 +291035,7 @@ self: { description = "tcache using Amazon Web Services as default persistence mechanism"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "tccli" = callPackage @@ -287096,7 +291089,6 @@ self: { description = "Bindings to libtcod roguelike engine"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {inherit (pkgs) libtcod;}; "tconfig" = callPackage @@ -287194,7 +291186,6 @@ self: { description = "Test framework wrapper"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "tdigest" = callPackage @@ -287590,7 +291581,6 @@ self: { ]; description = "Easy to use library for building Telegram bots. Exports Telegram Bot API."; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "telegram-bot-simple" = callPackage @@ -287617,7 +291607,6 @@ self: { ]; description = "Easy to use library for building Telegram bots"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "telegram-raw-api" = callPackage @@ -288326,6 +292315,7 @@ self: { description = "TensorFlow bindings"; license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; + broken = true; }) {inherit (pkgs) libtensorflow;}; "tensorflow-core-ops" = callPackage @@ -288347,6 +292337,7 @@ self: { description = "Haskell wrappers for Core Tensorflow Ops"; license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "tensorflow-logging" = callPackage @@ -288376,6 +292367,7 @@ self: { description = "TensorBoard related functionality"; license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "tensorflow-mnist" = callPackage @@ -288460,6 +292452,7 @@ self: { description = "Friendly layer around TensorFlow bindings"; license = lib.licenses.asl20; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "tensorflow-proto" = callPackage @@ -288949,8 +292942,6 @@ self: { ]; description = "Tesla API client"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "test-fixture" = callPackage @@ -289906,8 +293897,6 @@ self: { ]; description = "Builder for Text and ByteString based on linear types"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "text-compression" = callPackage @@ -290002,8 +293991,6 @@ self: { ]; description = "A typeclass for user-facing output"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "text-format" = callPackage @@ -290558,10 +294545,8 @@ self: { }: mkDerivation { pname = "text-show"; - version = "3.10.3"; - sha256 = "0f59cr1bqy2kbhdxxz1a86lf6masyy67f1i8kj1815df6rpgnshy"; - revision = "1"; - editedCabalFile = "0ix7wgh7xcgxfdvfrphilb81zfpkb1swla2has2py24nxyn2dd46"; + version = "3.10.4"; + sha256 = "0kjpl9wbvn5zcpwjyrvdnkcp260rizff598cmqh4py8225fl5bmz"; libraryHaskellDepends = [ array base base-compat-batteries bifunctors bytestring bytestring-builder containers generic-deriving ghc-boot-th ghc-prim @@ -290591,10 +294576,8 @@ self: { }: mkDerivation { pname = "text-show-instances"; - version = "3.9.5"; - sha256 = "0i91yil7qlk0vv242prs178lvddzlzhh9d78lnmvyvalqrw7bib8"; - revision = "1"; - editedCabalFile = "06464d8ffxj5ag8ml6nriywwb05jk5z3kim13d0q3bz0m7s3hgz0"; + version = "3.9.6"; + sha256 = "1xmifjcx52jpa3qlmd5v11x429kn5qm64k2a7ai1lwpmy3mvpa90"; libraryHaskellDepends = [ aeson base base-compat bifunctors binary containers directory ghc-boot-th haskeline hpc old-locale old-time pretty random @@ -290998,6 +294981,21 @@ self: { hydraPlatforms = lib.platforms.none; }) {}; + "th-abstraction_0_6_0_0" = callPackage + ({ mkDerivation, base, containers, ghc-prim, template-haskell }: + mkDerivation { + pname = "th-abstraction"; + version = "0.6.0.0"; + sha256 = "0c0sqn9bz2rgrqdfkricbs2p6vn7is89qcpqw7hvc00c3z56xsk9"; + libraryHaskellDepends = [ + base containers ghc-prim template-haskell + ]; + testHaskellDepends = [ base containers template-haskell ]; + description = "Nicer interface for reified information about data types"; + license = lib.licenses.isc; + hydraPlatforms = lib.platforms.none; + }) {}; + "th-alpha" = callPackage ({ mkDerivation, base, containers, derive, mmorph, mtl, tasty , tasty-hunit, tasty-quickcheck, template-haskell, th-desugar @@ -291062,8 +295060,8 @@ self: { pname = "th-compat"; version = "0.1.4"; sha256 = "1f5ssi24mnhmmi91dl5ddg2jwci6akwlznqggf56nyxl9b0pmyfq"; - revision = "2"; - editedCabalFile = "0c0p2qy78cwhdfw0hn8g16l5xidikdk5314gam4258pk7q47rbp5"; + revision = "3"; + editedCabalFile = "1zrjfmbm9dm3mh3s4h6nb80ixwypkmc2dzgxim6b1jkjkj7inz9y"; libraryHaskellDepends = [ base template-haskell ]; testHaskellDepends = [ base base-compat hspec mtl template-haskell @@ -291212,8 +295210,8 @@ self: { pname = "th-expand-syns"; version = "0.4.11.0"; sha256 = "1l7pkc16vnjgiam31745av14j7ngnr5mqmgp77xwd3h7fg75kkca"; - revision = "1"; - editedCabalFile = "0kc4czr7bz5wl88fj11h02gn8fwr2azhw5z7ykil6lx7v1b42rg8"; + revision = "2"; + editedCabalFile = "08g28p57w0i61x2f2036aqxp4ilhchy7h3dbd0ncbq17ba6x9hfi"; libraryHaskellDepends = [ base containers syb template-haskell th-abstraction ]; @@ -291405,8 +295403,8 @@ self: { }: mkDerivation { pname = "th-lift"; - version = "0.8.3"; - sha256 = "0xbbii04c60l6v6fnd50lldhpsg1ba03j1ff9bmyzpp7z3sppm95"; + version = "0.8.4"; + sha256 = "06x6njyn6p6shwj5n1znq017vwalr867ziwbwzimz9jbilipgigr"; libraryHaskellDepends = [ base ghc-prim template-haskell th-abstraction ]; @@ -291455,8 +295453,8 @@ self: { pname = "th-orphans"; version = "0.13.14"; sha256 = "0z07qcbbsj2b3j9p1qr4jvlpa7qgjfjvymkjd6vbizka1wd2mnwx"; - revision = "1"; - editedCabalFile = "1lb3gi32gzy6ml8jxzyj6jp9gglgg2737aqc128gqz4g7wvbnasy"; + revision = "2"; + editedCabalFile = "1kjb7ik4js6bpsdb32sna8xcxamia02fhx1wcbg831mnf2qfpp6w"; libraryHaskellDepends = [ base mtl template-haskell th-compat th-lift th-reify-many ]; @@ -292059,8 +296057,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "A simplified implementation of Erlang/OTP like supervisor over thread"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "thread-utils-context" = callPackage @@ -292752,7 +296748,6 @@ self: { description = "Serial support for tidal"; license = lib.licenses.gpl3Only; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "tidal-vis" = callPackage @@ -293147,6 +297142,18 @@ self: { license = lib.licenses.mit; }) {}; + "time-manager_0_0_1" = callPackage + ({ mkDerivation, auto-update, base, unliftio }: + mkDerivation { + pname = "time-manager"; + version = "0.0.1"; + sha256 = "0nx03qrnvxd1r0mdy8qzr5hd0gfzjg67cqlg63nbhsdwz8ijaj87"; + libraryHaskellDepends = [ auto-update base unliftio ]; + description = "Scalable timer"; + license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; + }) {}; + "time-out" = callPackage ({ mkDerivation, base, data-default-class, exceptions , time-interval, time-units, transformers @@ -293982,7 +297989,6 @@ self: { description = "TinyMesh - communicating with auto-meshing sensor network"; license = lib.licenses.bsd2; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "tinyXml" = callPackage @@ -294082,8 +298088,8 @@ self: { }: mkDerivation { pname = "tinytools"; - version = "0.1.0.3"; - sha256 = "18ww0f86ifhy8770vflcip6vcfdaz8r8rgzss83w21qr0q4cl8bm"; + version = "0.1.0.4"; + sha256 = "0yzwvygjdg8g7w8hqk7x1myab9yl12945i6n7q93yr9w80s04d0a"; libraryHaskellDepends = [ aeson aeson-pretty base bimap binary bytestring constraints-extras containers data-default data-ordlist deepseq dependent-map @@ -294100,6 +298106,7 @@ self: { random-shuffle ref-tf reflex reflex-potatoes reflex-test-host relude semialign template-haskell text text-icu these vector vty ]; + description = "tinytools is a mono-space unicode diagram editor"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; }) {}; @@ -294115,10 +298122,8 @@ self: { }: mkDerivation { pname = "tinytools-vty"; - version = "0.1.0.3"; - sha256 = "17q484rfrwixp2y72x1pxcav2y6sz99la961yn8iwa1ipwljy1s6"; - revision = "1"; - editedCabalFile = "1mfyc4ilc68p8q4cpjq528387zg4bzzs6kzp12s4i3hmm7lxxch8"; + version = "0.1.0.4"; + sha256 = "1hcnwnq5imjqdcvlcri8dmc4lr08dg3nx6rklrv8a0rx34rd8naa"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -294146,7 +298151,7 @@ self: { reflex-test-host reflex-vty relude semialign template-haskell text these time tinytools vty ]; - description = "a terminal based unicode diagram editing tool"; + description = "tinytools-vty is a terminal based monospace unicode diagram editing tool"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; }) {}; @@ -294527,7 +298532,7 @@ self: { license = lib.licenses.bsd3; }) {}; - "tls_1_7_0" = callPackage + "tls_1_7_1" = callPackage ({ mkDerivation, asn1-encoding, asn1-types, async, base, bytestring , cereal, crypton, crypton-x509, crypton-x509-store , crypton-x509-validation, data-default-class, gauge, hourglass @@ -294536,8 +298541,8 @@ self: { }: mkDerivation { pname = "tls"; - version = "1.7.0"; - sha256 = "0y20ab42pa6ls799w8s6zda6r7q4bw25jghimzv68s6j0b4m0aiw"; + version = "1.7.1"; + sha256 = "0q277cp2dqp5cs7mmzqavi8sm0km7xbf2zgcqjp17491w7q5a687"; libraryHaskellDepends = [ asn1-encoding asn1-types async base bytestring cereal crypton crypton-x509 crypton-x509-store crypton-x509-validation @@ -294733,15 +298738,15 @@ self: { license = lib.licenses.bsd3; }) {}; - "tmp-proc_0_5_2_1" = callPackage + "tmp-proc_0_5_3_0" = callPackage ({ mkDerivation, async, base, bytestring, data-default, hspec , http-client, http-types, mtl, network, process, text, unliftio , wai, warp, warp-tls }: mkDerivation { pname = "tmp-proc"; - version = "0.5.2.1"; - sha256 = "0zhwvpy9dxxy550wr7wha6iqmrvcqpdgdlzx08sjljzvi1wiliji"; + version = "0.5.3.0"; + sha256 = "0wir2bmvpibx5ak1zfk3jjxalz5lznqfw48m4cybds02xpmxrsxa"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; @@ -294768,8 +298773,8 @@ self: { }: mkDerivation { pname = "tmp-proc-example"; - version = "0.5.1.2"; - sha256 = "1dfvb85n3ivikfm91i33g4l96rdndrhqxad18h3nfk9g05x9af1d"; + version = "0.5.3.1"; + sha256 = "10p93rck5z4jhsy77rg6v1i19125561px1mjigqs1v1ip288ygfa"; libraryHaskellDepends = [ aeson base bytestring exceptions hedis hspec hspec-tmp-proc http-client http-client-tls monad-logger mtl persistent @@ -294778,7 +298783,7 @@ self: { tmp-proc-postgres tmp-proc-redis transformers wai warp ]; testHaskellDepends = [ base hspec ]; - description = "Shows how to test a simple service using tmp-proc"; + description = "Test a simple service with backends running on docker using tmp-proc"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; broken = true; @@ -294803,6 +298808,26 @@ self: { license = lib.licenses.bsd3; }) {}; + "tmp-proc-postgres_0_5_3_1" = callPackage + ({ mkDerivation, base, bytestring, hspec, hspec-tmp-proc + , postgresql-simple, text, tmp-proc + }: + mkDerivation { + pname = "tmp-proc-postgres"; + version = "0.5.3.1"; + sha256 = "04kcqn2lg7ycf2hjv4gvq9y59w48dn5kijxs7cghiz8yq1qc75zf"; + libraryHaskellDepends = [ + base bytestring postgresql-simple text tmp-proc + ]; + testHaskellDepends = [ + base bytestring hspec hspec-tmp-proc postgresql-simple text + tmp-proc + ]; + description = "Launch a PostgreSQL database in docker using tmp-proc"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + "tmp-proc-rabbitmq" = callPackage ({ mkDerivation, amqp, base, bytestring, hspec, hspec-tmp-proc , text, tmp-proc @@ -294819,6 +298844,23 @@ self: { license = lib.licenses.bsd3; }) {}; + "tmp-proc-rabbitmq_0_5_3_1" = callPackage + ({ mkDerivation, amqp, base, bytestring, hspec, hspec-tmp-proc + , text, tmp-proc + }: + mkDerivation { + pname = "tmp-proc-rabbitmq"; + version = "0.5.3.1"; + sha256 = "0jkghypnlc9fsss8nqk7rbr42wkmdpgaa5v6bhfw6mwrcnz4i15h"; + libraryHaskellDepends = [ amqp base bytestring text tmp-proc ]; + testHaskellDepends = [ + amqp base bytestring hspec hspec-tmp-proc text tmp-proc + ]; + description = "Launch RabbitMQ in docker using tmp-proc"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + "tmp-proc-redis" = callPackage ({ mkDerivation, base, bytestring, hedis, hspec, hspec-tmp-proc , text, tmp-proc @@ -294835,22 +298877,41 @@ self: { license = lib.licenses.bsd3; }) {}; + "tmp-proc-redis_0_5_3_1" = callPackage + ({ mkDerivation, base, bytestring, hedis, hspec, hspec-tmp-proc + , text, tmp-proc + }: + mkDerivation { + pname = "tmp-proc-redis"; + version = "0.5.3.1"; + sha256 = "1pqmg6xqfbl71q5pnryrmqsf443qpsq89wk1kax6k68zmyn7ws4i"; + libraryHaskellDepends = [ base bytestring hedis text tmp-proc ]; + testHaskellDepends = [ + base bytestring hedis hspec hspec-tmp-proc text tmp-proc + ]; + description = "Launch Redis in docker using tmp-proc"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + "tmp-proc-zipkin" = callPackage ({ mkDerivation, base, bytestring, hspec, hspec-tmp-proc , http-client, text, tmp-proc, tracing }: mkDerivation { pname = "tmp-proc-zipkin"; - version = "0.5.1.4"; - sha256 = "19vwpgchhzc29ssvdidjim97957dnf8p21myq5fc3js7dq7lzgz3"; + version = "0.5.3.1"; + sha256 = "1wwy50987h6bx9fyn5k9jamsmzrx8l58nqbjynmwymwzj82kwlwc"; libraryHaskellDepends = [ base bytestring http-client text tmp-proc tracing ]; testHaskellDepends = [ base bytestring hspec hspec-tmp-proc text tmp-proc ]; - description = "Shows how to run redis as a tmp proc"; + description = "Launch ZipKin in docker using tmp-proc"; license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "tmpl" = callPackage @@ -295077,8 +299138,6 @@ self: { testHaskellDepends = [ base time ]; description = "Rate limiter using lazy bucket algorithm"; license = lib.licenses.gpl3Only; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "token-limiter" = callPackage @@ -295251,7 +299310,6 @@ self: { description = "TokTok C code style checker"; license = lib.licenses.gpl3Only; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "toktok" = callPackage @@ -295408,6 +299466,8 @@ self: { pname = "tomland"; version = "1.3.3.2"; sha256 = "152jqjv6n7n2hdysn903wfhpwh6vp8wmjiymzasazprasdcxpywm"; + revision = "1"; + editedCabalFile = "03gnkws6yggkjn4kh8m1aah51a9lg2p25l9bl51cq54hmpcq51ni"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -295735,8 +299795,8 @@ self: { ({ mkDerivation, base, filepath, hspec, profunctors, text }: mkDerivation { pname = "tophat"; - version = "1.0.5.1"; - sha256 = "1y519agpn8ksybfakgg4n565w26mgr3kvpjk555pq5s6rb77wjdf"; + version = "1.0.6.0"; + sha256 = "0ijsd9af3p06q4dk02fckmyqxf9hrvmn98fmlzhykkzi3q22648j"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ base profunctors text ]; @@ -296557,6 +300617,7 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "transf"; + broken = true; }) {}; "transfer-db" = callPackage @@ -296611,12 +300672,12 @@ self: { mainProgram = "Benchmark"; }) {}; - "transformers_0_6_1_0" = callPackage + "transformers_0_6_1_1" = callPackage ({ mkDerivation, base }: mkDerivation { pname = "transformers"; - version = "0.6.1.0"; - sha256 = "1n54v7wrnk06rj9w70grpmr9c6xvw1shpwygfnshslarggnwpaz7"; + version = "0.6.1.1"; + sha256 = "09fpjawkixgm3xpas89wkpkn1jfpxz035crnp97if2hh1y759ll1"; libraryHaskellDepends = [ base ]; description = "Concrete functor and monad transformers"; license = lib.licenses.bsd3; @@ -297745,8 +301806,8 @@ self: { pname = "trial"; version = "0.0.0.0"; sha256 = "0lnq80983bg2cjim3fy8rwisn55f6acqwp67w7791kzfdwshxkww"; - revision = "1"; - editedCabalFile = "1anl65i1zk2764078n7xa0ymrrg58hwlsnm4b6km56yw77j3nkc3"; + revision = "2"; + editedCabalFile = "1w98141cgwrik37hz35vkyf5x4p230863axp6mf6rnrlf7gayikr"; libraryHaskellDepends = [ base colourista dlist ]; testHaskellDepends = [ base dlist doctest hedgehog hspec hspec-hedgehog splitmix @@ -297761,6 +301822,8 @@ self: { pname = "trial-optparse-applicative"; version = "0.0.0.0"; sha256 = "1h8pfznf1dp9z3r2kl2ljgmxxkfp3va9yqba00fyvw85lna2aggn"; + revision = "1"; + editedCabalFile = "0g05gi4b3c7ghyih83wq4icavg51p1bjx75i1g9nnx1cy4j82m4j"; libraryHaskellDepends = [ base optparse-applicative trial ]; description = "Trial helper functions for optparse-applicative"; license = lib.licenses.mpl20; @@ -297772,13 +301835,11 @@ self: { pname = "trial-tomland"; version = "0.0.0.0"; sha256 = "12klfq5ajn4bjrws633pfdc2zhpkwvwmrm7269xfh252fjwk1x23"; - revision = "1"; - editedCabalFile = "1h2ygxwg75hvx8izmfgrkk1sznafpkaxz3v18hxfv4b8lfm9nfkl"; + revision = "2"; + editedCabalFile = "1ssvwzqcz5vmvlrmxl6akcfpkr15inra3hdjfm1pg50gcri3mxkp"; libraryHaskellDepends = [ base text tomland trial ]; description = "Trial helper functions for tomland"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "triangulation" = callPackage @@ -297806,6 +301867,8 @@ self: { pname = "trie-simple"; version = "0.4.2"; sha256 = "1ai4fn35312z6ydwadi0rxscglwqawjrzq6p1i2kpbf7vmflznjg"; + revision = "1"; + editedCabalFile = "08vpcln682lxpz6367f6h5mvi6p75g3gcav432jz0bkmhvr3yaa2"; libraryHaskellDepends = [ base containers deepseq hashable indexed-traversable matchable mtl semialign these witherable @@ -297846,8 +301909,6 @@ self: { ]; description = "Various trie implementations in Haskell"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "trifecta" = callPackage @@ -297860,10 +301921,8 @@ self: { }: mkDerivation { pname = "trifecta"; - version = "2.1.2"; - sha256 = "1akx8m6mgskwsbhsf90cxlqjq23jk4pwaxagvm923dpncwrlwfla"; - revision = "3"; - editedCabalFile = "005c02rzsj83zm5ys6572af2d57lalsnkla5f312x0b7ykhnmz90"; + version = "2.1.3"; + sha256 = "05jnq2q27ichv9x6g9dx7ljppy3mkyv8ppzb0ynmfmpkcbghpbrf"; libraryHaskellDepends = [ ansi-terminal array base blaze-builder blaze-html blaze-markup bytestring charset comonad containers deepseq fingertree ghc-prim @@ -297897,7 +301956,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "trigger"; - broken = true; }) {}; "trim" = callPackage @@ -298632,8 +302690,6 @@ self: { testHaskellDepends = [ base ghc-prim hspec ]; description = "A package to append, sequence and fold items and tuples into new tuples"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "tuple-append-instances" = callPackage @@ -298650,6 +302706,7 @@ self: { description = "Extra instances for the typeclasses in the tuple-append package"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; + broken = true; }) {}; "tuple-fields" = callPackage @@ -298678,7 +302735,6 @@ self: { description = "Enum instances for tuples where the digits increase with the same speed"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "tuple-generic" = callPackage @@ -298702,7 +302758,6 @@ self: { description = "Functions to convert between tuples and HLists"; license = "unknown"; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "tuple-lenses" = callPackage @@ -298730,7 +302785,6 @@ self: { description = "Morph between tuples, or convert them from and to HLists"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "tuple-ops" = callPackage @@ -298987,8 +303041,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Tiny web application framework for WAI"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "tweak" = callPackage @@ -299237,7 +303289,6 @@ self: { license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; mainProgram = "twfy-api-client"; - broken = true; }) {}; "twhs" = callPackage @@ -299414,7 +303465,6 @@ self: { badPlatforms = lib.platforms.darwin; hydraPlatforms = lib.platforms.none; mainProgram = "example"; - broken = true; }) {}; "twirp" = callPackage @@ -299436,8 +303486,6 @@ self: { ]; description = "Haskell twirp foundations"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "twisty" = callPackage @@ -300898,8 +304946,6 @@ self: { testHaskellDepends = [ base tasty tasty-hunit tasty-quickcheck ]; description = "Plugin to faciliate type-level let"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "typelevel" = callPackage @@ -301390,8 +305436,6 @@ self: { testToolDepends = [ tasty-discover ]; description = "Safe timezone-aware handling of time"; license = lib.licenses.mpl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "u2f" = callPackage @@ -301878,8 +305922,6 @@ self: { ]; description = "A library to work with the basic Ukrainian phonetics and syllable segmentation"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "ukrainian-phonetics-basic-array-bytestring" = callPackage @@ -302109,8 +306151,6 @@ self: { ]; description = "Support for programming with names and binders using kind-generics"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "unbounded-delays" = callPackage @@ -302293,8 +306333,6 @@ self: { libraryHaskellDepends = [ base ]; description = "Tiny package providing unescaping versions of show and print"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "unexceptionalio" = callPackage @@ -302599,8 +306637,6 @@ self: { benchmarkHaskellDepends = [ base deepseq tasty tasty-bench ]; description = "Unicode characters names and aliases"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "unicode-data-scripts" = callPackage @@ -302620,8 +306656,6 @@ self: { ]; description = "Unicode characters scripts"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "unicode-data-security" = callPackage @@ -302640,8 +306674,6 @@ self: { benchmarkHaskellDepends = [ base deepseq tasty tasty-bench ]; description = "Unicode security mechanisms database"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "unicode-general-category" = callPackage @@ -302771,8 +306803,8 @@ self: { }: mkDerivation { pname = "unicode-tricks"; - version = "0.14.0.0"; - sha256 = "1p612nkaq2v020n22zgw6cv3glwjsj1jcy6ad4lw30dg800wcrb2"; + version = "0.14.1.0"; + sha256 = "1j08f217kma9bqv0b4z9k3l3hmfy2cw4fiaqwyikhnz3a6d8crzj"; libraryHaskellDepends = [ base containers data-default-class deepseq hashable QuickCheck text time @@ -302827,6 +306859,8 @@ self: { pname = "unification-fd"; version = "0.11.2"; sha256 = "1lyx3g10llkr7vl7c2j15ddlqrkz2r684d1laza7nvq97amrqnqv"; + revision = "1"; + editedCabalFile = "07xmrqmk99lnp3jyk0dqgnpprm3ghnyjdqva0y13ddh3nw8iiqdj"; libraryHaskellDepends = [ base containers logict mtl ]; description = "Simple generic unification algorithms"; license = lib.licenses.bsd3; @@ -302916,7 +306950,6 @@ self: { ]; description = "uniform text based operations to call http"; license = "GPL"; - hydraPlatforms = lib.platforms.none; }) {}; "uniform-io" = callPackage @@ -302959,8 +306992,6 @@ self: { ]; description = "handling of JSON and YAML in an uniform way"; license = "GPL"; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "uniform-latex2pdf" = callPackage @@ -302977,7 +307008,6 @@ self: { ]; description = "convert a latex `tex` file to a pdf"; license = "GPL"; - hydraPlatforms = lib.platforms.none; }) {}; "uniform-pair" = callPackage @@ -303010,7 +307040,6 @@ self: { ]; description = "handling of some pandoc stuff"; license = "GPL"; - hydraPlatforms = lib.platforms.none; }) {}; "uniform-shake" = callPackage @@ -303022,7 +307051,6 @@ self: { libraryHaskellDepends = [ base shake uniform-json uniformBase ]; description = "uniform wrapper for shake"; license = "GPL"; - hydraPlatforms = lib.platforms.none; }) {}; "uniform-strings" = callPackage @@ -303194,8 +307222,8 @@ self: { }: mkDerivation { pname = "unionmount"; - version = "0.2.0.0"; - sha256 = "0hh577kwg6981141g62f4w95lyyhjn3a461sy28822gd3lpwlwlm"; + version = "0.2.2.0"; + sha256 = "0gifmxi8z74s6ckh5naqp3y4ililxzp52adnlp10cglbjw18vkis"; libraryHaskellDepends = [ async base bytestring containers data-default directory filepath filepattern fsnotify lvar monad-logger mtl relude text time @@ -303203,8 +307231,6 @@ self: { ]; description = "Union mount filesystem paths into Haskell datastructures"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "unipatterns" = callPackage @@ -303588,25 +307614,6 @@ self: { }: mkDerivation { pname = "units-parser"; - version = "0.1.1.4"; - sha256 = "1sdf8jqq03x20gj91faqir9vrdjq7cxpnypx7bi953vjg8fh2jfm"; - revision = "1"; - editedCabalFile = "14g8a4azsdxp86c0yddkws5yzszjmkw1y78x1i7pj20cgbfjnnn7"; - libraryHaskellDepends = [ base containers mtl multimap parsec ]; - testHaskellDepends = [ - base containers mtl multimap parsec syb tasty tasty-hunit - template-haskell - ]; - description = "A parser for units of measure"; - license = lib.licenses.bsd3; - }) {}; - - "units-parser_0_1_1_5" = callPackage - ({ mkDerivation, base, containers, mtl, multimap, parsec, syb - , tasty, tasty-hunit, template-haskell - }: - mkDerivation { - pname = "units-parser"; version = "0.1.1.5"; sha256 = "16q7q9c27wy8hx7rp34d2nhywpdkn8rb43hljx1j20kydyp0m2sb"; libraryHaskellDepends = [ base containers mtl multimap parsec ]; @@ -303616,7 +307623,6 @@ self: { ]; description = "A parser for units of measure"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "unittyped" = callPackage @@ -303695,7 +307701,6 @@ self: { description = "Implementation of the unity monad for the Yesod framework"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "universal" = callPackage @@ -303914,6 +307919,8 @@ self: { pname = "unix"; version = "2.8.1.1"; sha256 = "1133y9wyhxznw9sizcybf0rcrm5pgsx8g4qriicz703w89cpca6c"; + revision = "1"; + editedCabalFile = "1nqriil1mrrijyfkhnfxcdsnvmcg7b6p2zpadj1vkqw0kbxl71dc"; libraryHaskellDepends = [ base bytestring filepath time ]; testHaskellDepends = [ base tasty tasty-hunit ]; description = "POSIX functionality"; @@ -306071,8 +310078,8 @@ self: { }: mkDerivation { pname = "uuagc"; - version = "0.9.54"; - sha256 = "1gs4dx9h7gyamlaj9sjpx5yrriffl3g5wn0hr9bjwzy223nprizp"; + version = "0.9.55"; + sha256 = "1j0k94l1ydwwf2cpw22f8caa99hnw61ni7a0bpdgy7vdxsplzzqc"; isLibrary = true; isExecutable = true; setupHaskellDepends = [ base Cabal uuagc-cabal ]; @@ -306701,6 +310708,8 @@ self: { pname = "validation-selective"; version = "0.2.0.0"; sha256 = "11s9qsp5w19lbk6vg6psr3864xdsx2kmx3gcmnn2qkx6wsblx24s"; + revision = "1"; + editedCabalFile = "0m6bvg1z5rxwr2ls8vqizjx3psjm0dvf2vvycwi4shbqn2pgaiz8"; libraryHaskellDepends = [ base deepseq selective ]; testHaskellDepends = [ base doctest hedgehog hspec hspec-hedgehog selective text @@ -307589,8 +311598,8 @@ self: { pname = "vector"; version = "0.13.0.0"; sha256 = "0ksvs6ldb8bzbjy4gk39wds2lrwill2g7pbr13h54bz12myidly5"; - revision = "3"; - editedCabalFile = "0k14l1r84gxjv385crq8f1kvdcr4kjwyi4k7f64g6nksl60sqp7s"; + revision = "5"; + editedCabalFile = "0j239l4jjjyxaly65fpyg8458k8srgnsy5kn8710c7hmqwl222qx"; libraryHaskellDepends = [ base deepseq primitive vector-stream ]; testHaskellDepends = [ base base-orphans doctest HUnit primitive QuickCheck random tasty @@ -307969,8 +311978,6 @@ self: { doHaddock = false; description = "Fast and flexible quicksort implementation for mutable vectors"; license = lib.licenses.asl20; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "vector-random" = callPackage @@ -308151,8 +312158,8 @@ self: { pname = "vector-stream"; version = "0.1.0.0"; sha256 = "0v40vdpp35lhnnnx7q17fah0c14jrkjlnwsk0q4mbwb7ch7j3258"; - revision = "2"; - editedCabalFile = "1jldm2bh9d0y7vmyv3l85ilps4n8ypqr6da0cgqg5dfi3hlxbmpm"; + revision = "3"; + editedCabalFile = "1bp859ly11xqipgr29d70kl2day7raibmm79qprdjm7c9ffraxw9"; libraryHaskellDepends = [ base ghc-prim ]; description = "Efficient Streams"; license = lib.licenses.bsd3; @@ -308470,8 +312477,6 @@ self: { ]; description = "Small alternative prelude"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "version-natural" = callPackage @@ -309233,9 +313238,7 @@ self: { ]; description = "Visualize CBN reduction"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "visualize-cbn"; - broken = true; }) {}; "vitrea" = callPackage @@ -310268,7 +314271,6 @@ self: { ]; description = "Run CGI apps on WAI"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; }) {}; "wai-git-http" = callPackage @@ -310509,9 +314511,7 @@ self: { ]; description = "Haskell Webapps on AWS Lambda"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; mainProgram = "wai-lambda"; - broken = true; }) {}; "wai-lens" = callPackage @@ -310562,7 +314562,6 @@ self: { ]; description = "A logging middleware for WAI applications"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "wai-logger" = callPackage @@ -311331,7 +315330,6 @@ self: { ]; description = "WAI Middleware for Request Throttling"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "wai-middleware-travisci" = callPackage @@ -311374,7 +315372,6 @@ self: { description = "WAI Middleware to validate the request and response bodies"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "wai-middleware-verbs" = callPackage @@ -311418,7 +315415,6 @@ self: { description = "WAI request predicates"; license = "unknown"; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "wai-problem-details" = callPackage @@ -312321,15 +316317,15 @@ self: { license = lib.licenses.mit; }) {}; - "warp-tls_3_4_0" = callPackage + "warp-tls_3_4_1" = callPackage ({ mkDerivation, base, bytestring, data-default-class, network , recv, streaming-commons, tls, tls-session-manager, unliftio, wai , warp }: mkDerivation { pname = "warp-tls"; - version = "3.4.0"; - sha256 = "1pf3dlqjdybzza9sfs14r4vn63s15m7rpv83v27illbv2fs4a0b2"; + version = "3.4.1"; + sha256 = "0c4iz2dm12clcs1fqmi5c0qddzv09nqclfgfs6jhqdmygkvrkxs7"; libraryHaskellDepends = [ base bytestring data-default-class network recv streaming-commons tls tls-session-manager unliftio wai warp @@ -312720,6 +316716,7 @@ self: { ]; description = "Wrappers for web frameworks to ease usage with the FP Complete environment"; license = lib.licenses.mit; + hydraPlatforms = lib.platforms.none; }) {}; "web-inv-route" = callPackage @@ -312740,7 +316737,6 @@ self: { testHaskellDepends = [ base bytestring HUnit network-uri text ]; description = "Composable, reversible, efficient web routing using invertible invariants and bijections"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "web-mongrel2" = callPackage @@ -312852,8 +316848,8 @@ self: { }: mkDerivation { pname = "web-rep"; - version = "0.10.1"; - sha256 = "13x2fjq9d6pg89gfc127ipjnkaxza5qbvrym5k5wwpcj11l94mac"; + version = "0.10.2.0"; + sha256 = "1bph0zgns0kji4bhirffy4px1rddxyw2yiq6wa197pvl4wkpqn6y"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -312868,7 +316864,6 @@ self: { ]; description = "representations of a web page"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; mainProgram = "web-rep-example"; }) {}; @@ -313355,6 +317350,7 @@ self: { license = lib.licenses.bsd2; hydraPlatforms = lib.platforms.none; mainProgram = "testcloud"; + broken = true; }) {}; "webcrank" = callPackage @@ -313856,8 +317852,8 @@ self: { }: mkDerivation { pname = "webp"; - version = "0.1.0.2"; - sha256 = "04bf0apq9q2kpkkhsj93y3fmjkind7dmgb3hf3nxlj7sy46d4mix"; + version = "0.1.0.3"; + sha256 = "01p55arpwq14yff0z285fdhrx0pjn9acxasapk7lr2f7ra5fql09"; libraryHaskellDepends = [ base bytestring JuicyPixels vector ]; libraryPkgconfigDepends = [ libwebp ]; libraryToolDepends = [ c2hs ]; @@ -314125,9 +318121,7 @@ self: { executableHaskellDepends = [ array base containers random ]; description = "Wedged postcard generator"; license = "unknown"; - hydraPlatforms = lib.platforms.none; mainProgram = "wedged"; - broken = true; }) {}; "weeder_2_2_0" = callPackage @@ -314271,7 +318265,6 @@ self: { license = "GPL"; hydraPlatforms = lib.platforms.none; mainProgram = "weekdaze"; - broken = true; }) {}; "weierstrass-functions" = callPackage @@ -314520,14 +318513,14 @@ self: { , prettyprinter, process, QuickCheck, s-cargot, scientific, stm , tasty, tasty-checklist, tasty-expected-failure, tasty-hedgehog , tasty-hunit, tasty-quickcheck, tasty-sugar, template-haskell - , temporary, text, th-abstraction, th-lift, th-lift-instances, time - , transformers, unliftio, unordered-containers, utf8-string, vector - , versions, zenc + , temporary, text, th-lift, th-lift-instances, time, transformers + , unliftio, unordered-containers, utf8-string, vector, versions + , zenc }: mkDerivation { pname = "what4"; - version = "1.4"; - sha256 = "1cmvf1cn4x3g86idbhs27f2hpm0vri51hcrvlss2bx4z85vk649b"; + version = "1.5"; + sha256 = "02wl88sma18zhn1pav966kgldiwh942mws01qyp6nxgcx0p9i76s"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; @@ -314538,7 +318531,7 @@ self: { hashable hashtables io-streams lens libBF megaparsec mtl ordered-containers panic parameterized-utils parsec prettyprinter process s-cargot scientific stm template-haskell temporary text - th-abstraction th-lift th-lift-instances time transformers unliftio + th-lift th-lift-instances time transformers unliftio unordered-containers utf8-string vector versions zenc ]; executableHaskellDepends = [ base parameterized-utils ]; @@ -315001,7 +318994,6 @@ self: { description = "Implements Windows Live Web Authentication and Delegated Authentication"; license = lib.licenses.bsd3; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "winerror" = callPackage @@ -315309,8 +319301,8 @@ self: { ({ mkDerivation, base, constraints, containers, countable }: mkDerivation { pname = "witness"; - version = "0.6.1"; - sha256 = "02ky1qc4ar8iy3rzjp2j89mp3k7skz5jp65vn7lilmwrvm1b2vcx"; + version = "0.6.2"; + sha256 = "0g83d6b6w8djsqkqdarw6szzwvz8h7i05x8jwzpvax86fcvwp3cr"; libraryHaskellDepends = [ base constraints containers countable ]; description = "values that witness types"; license = lib.licenses.bsd2; @@ -315513,6 +319505,8 @@ self: { pname = "wl-pprint-text"; version = "1.2.0.2"; sha256 = "0axivwh7bxmljxpfnccs66knxzrqck07byxmp2j737xbb26pf5cj"; + revision = "1"; + editedCabalFile = "030lk3dxn9ry0si5zd7lh1pww1i1nx670i1h3z8y4wwiil97pni1"; libraryHaskellDepends = [ base base-compat text ]; description = "A Wadler/Leijen Pretty Printer for Text values"; license = lib.licenses.bsd3; @@ -315874,9 +319868,7 @@ self: { benchmarkHaskellDepends = [ base criterion pandoc text ]; description = "Get word counts and distributions"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "wrd"; - broken = true; }) {}; "wordcloud" = callPackage @@ -316417,8 +320409,8 @@ self: { }: mkDerivation { pname = "wreq"; - version = "0.5.4.0"; - sha256 = "0wf4ia9zr6wmw9kvn5fhv3gr0h5247nz889j8rhamfgab9yn2a65"; + version = "0.5.4.1"; + sha256 = "0fn0cgr15i6zakg98mjkd1lik7r27ixxrg5iimfls154kwmxk8qs"; isLibrary = true; isExecutable = true; setupHaskellDepends = [ base Cabal cabal-doctest ]; @@ -316441,6 +320433,45 @@ self: { license = lib.licenses.bsd3; }) {}; + "wreq_0_5_4_2" = callPackage + ({ mkDerivation, aeson, aeson-pretty, attoparsec + , authenticate-oauth, base, base16-bytestring, base64-bytestring + , bytestring, Cabal, cabal-doctest, case-insensitive, containers + , cryptonite, directory, doctest, exceptions, filepath, ghc-prim + , hashable, http-client, http-client-tls, http-types, HUnit, lens + , lens-aeson, memory, mime-types, network-info, psqueues + , QuickCheck, snap-core, snap-server, template-haskell, temporary + , test-framework, test-framework-hunit, test-framework-quickcheck2 + , text, time, time-locale-compat, transformers, unix-compat + , unordered-containers, uuid, vector + }: + mkDerivation { + pname = "wreq"; + version = "0.5.4.2"; + sha256 = "016m5ij80nxnkz3hvdzx3g4w7yjk40bx47xrn54bx1ni9aq2h6wh"; + isLibrary = true; + isExecutable = true; + setupHaskellDepends = [ base Cabal cabal-doctest ]; + libraryHaskellDepends = [ + aeson attoparsec authenticate-oauth base base16-bytestring + bytestring case-insensitive containers cryptonite exceptions + ghc-prim hashable http-client http-client-tls http-types lens + lens-aeson memory mime-types psqueues template-haskell text time + time-locale-compat unordered-containers + ]; + testHaskellDepends = [ + aeson aeson-pretty base base64-bytestring bytestring + case-insensitive containers directory doctest filepath hashable + http-client http-types HUnit lens lens-aeson network-info + QuickCheck snap-core snap-server temporary test-framework + test-framework-hunit test-framework-quickcheck2 text time + transformers unix-compat unordered-containers uuid vector + ]; + description = "An easy-to-use HTTP client library"; + license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; + }) {}; + "wreq-helper" = callPackage ({ mkDerivation, aeson, aeson-result, base, bytestring, http-client , text @@ -316863,8 +320894,6 @@ self: { testHaskellDepends = [ base bytestring envy hspec skews text ]; description = "A-little-higher-level WebSocket client"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "wstunnel" = callPackage @@ -316960,7 +320989,6 @@ self: { ]; description = "Code for the dice chain problem"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; maintainers = [ lib.maintainers.thielema ]; }) {}; @@ -317595,7 +321623,6 @@ self: { ]; description = "XDG Basedir"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; }) {}; "xdg-desktop-entry" = callPackage @@ -318678,7 +322705,6 @@ self: { description = "An interpreter of \"xml-query\" queries for the \"xml-types\" documents"; license = lib.licenses.mit; hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "xml-syntax" = callPackage @@ -319398,30 +323424,10 @@ self: { }: mkDerivation { pname = "xor"; - version = "0.0.1.1"; - sha256 = "05jwfrg4cm27ldj3dbl0y144njhiha9yiypirbhsg6lc1b36s3kh"; - revision = "3"; - editedCabalFile = "17al5ilxr2bqkv565jsv38frqvkxzn642m3j1j80zjmmw762a7sa"; - libraryHaskellDepends = [ base bytestring ghc-byteorder ]; - testHaskellDepends = [ - base bytestring ghc-byteorder QuickCheck tasty tasty-hunit - tasty-quickcheck - ]; - benchmarkHaskellDepends = [ - base bytestring criterion ghc-byteorder - ]; - description = "Efficient XOR masking"; - license = lib.licenses.gpl2Plus; - }) {}; - - "xor_0_0_1_2" = callPackage - ({ mkDerivation, base, bytestring, criterion, ghc-byteorder - , QuickCheck, tasty, tasty-hunit, tasty-quickcheck - }: - mkDerivation { - pname = "xor"; version = "0.0.1.2"; sha256 = "0c0a1zg0kwp3jdlgw6y1l6qp00680khxa3sizx5wafdv09rwmrxc"; + revision = "1"; + editedCabalFile = "10k9apdrb9dnkhhg3xw1x2ac57ink224l554w4j3yv5w43jybd7r"; libraryHaskellDepends = [ base bytestring ghc-byteorder ]; testHaskellDepends = [ base bytestring ghc-byteorder QuickCheck tasty tasty-hunit @@ -319432,7 +323438,6 @@ self: { ]; description = "Efficient XOR masking"; license = lib.licenses.gpl2Plus; - hydraPlatforms = lib.platforms.none; }) {}; "xorshift" = callPackage @@ -320550,8 +324555,6 @@ self: { ]; description = "Flexible declarative YAML parsing toolkit"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "yaml2owl" = callPackage @@ -320618,8 +324621,8 @@ self: { pname = "yampa-canvas"; version = "0.2.3"; sha256 = "0a1pq1psmc4490isr19z4prnqq1w3374vkfmzpw9s20s2p6k5y7r"; - revision = "10"; - editedCabalFile = "1pamw58yr17csjj2ysy0wwx41dkvfdaxxn90k5q7rdb12rfvdyp4"; + revision = "11"; + editedCabalFile = "1pwk3g6ipzl94b74vgi34xjvkamm7ivwhs0ah52zg85jp8hr6qkc"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ base blank-canvas stm time Yampa ]; @@ -320658,8 +324661,6 @@ self: { libraryHaskellDepends = [ base gloss Yampa ]; description = "A GLOSS backend for Yampa"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "yampa-glut" = callPackage @@ -320707,8 +324708,8 @@ self: { }: mkDerivation { pname = "yampa-test"; - version = "0.14.3"; - sha256 = "1r4kjf1ww4gfw310c1srcglxyd34c5qf3rb7xqpncjagvsh027xy"; + version = "0.14.4"; + sha256 = "18h94h0pylgn4q6pzkhs3j5qchj9kafw09xh4kgdp2394b7xk4iy"; libraryHaskellDepends = [ base normaldistribution QuickCheck Yampa ]; @@ -320717,8 +324718,6 @@ self: { ]; description = "Testing library for Yampa"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "yampa2048" = callPackage @@ -320936,7 +324935,6 @@ self: { testToolDepends = [ tasty-discover ]; description = "Yet another string interpolator"; license = lib.licenses.cc0; - hydraPlatforms = lib.platforms.none; }) {}; "yate" = callPackage @@ -321720,8 +325718,8 @@ self: { }: mkDerivation { pname = "yesod-auth-oauth2"; - version = "0.7.1.0"; - sha256 = "112xdc5i1r1vfi95ws1jlldy6q9kv1cv6h26l3ahmmr8rqw3rz4h"; + version = "0.7.1.1"; + sha256 = "1giac751jkygddf09a1h75dd4qv6kjjw5992447k53m1j398dpbn"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -322015,8 +326013,8 @@ self: { }: mkDerivation { pname = "yesod-core"; - version = "1.6.24.3"; - sha256 = "035162bdcrjf2fs2whrhagh9jbclqnlzgp8ixxzi3712gm6dfkn5"; + version = "1.6.24.4"; + sha256 = "1r7jpilg42jn1hyg8wxg92jh496c9jhghkrxh8fi0x4rbrcswxj3"; libraryHaskellDepends = [ aeson auto-update base blaze-html blaze-markup bytestring case-insensitive cereal clientsession conduit conduit-extra @@ -322332,8 +326330,8 @@ self: { }: mkDerivation { pname = "yesod-form-bootstrap4"; - version = "3.0.1"; - sha256 = "0yf4b14y8b5066lwdr7r2ayiavsnkar3s8q984yb1nrz5956f7qd"; + version = "3.0.1.1"; + sha256 = "0yii8sllvkqdpjlpq0al8c8srs7x9xy3v0jfcdi1d7rkc0dm2y1v"; libraryHaskellDepends = [ base blaze-html blaze-markup shakespeare text yesod-core yesod-form ]; @@ -322650,8 +326648,6 @@ self: { ]; description = "A middleware for building CSP headers on the fly"; license = lib.licenses.mit; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "yesod-newsfeed" = callPackage @@ -323027,8 +327023,8 @@ self: { }: mkDerivation { pname = "yesod-recaptcha2"; - version = "1.0.2"; - sha256 = "0ql05yxq3k8sywncaz3cf3xaihf4pd0ijn139ms8snyps36k6l8h"; + version = "1.0.2.1"; + sha256 = "0sk9icq6j3fiiqq0hc2bxwm7mi1a1zli9gkyb8m007nylbqglvn2"; libraryHaskellDepends = [ aeson base http-conduit string-transform text yesod-core yesod-form ]; @@ -324236,9 +328232,7 @@ self: { executableHaskellDepends = [ base bytestring process utility-ht ]; description = "Upload video to YouTube via YouTube API"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; maintainers = [ lib.maintainers.thielema ]; - broken = true; }) {}; "yql" = callPackage @@ -324287,9 +328281,7 @@ self: { ]; description = "Builds a static website from templates and data in YAML or CSV files"; license = lib.licenses.gpl2Plus; - hydraPlatforms = lib.platforms.none; mainProgram = "yst"; - broken = true; }) {}; "ytl" = callPackage @@ -324318,8 +328310,6 @@ self: { testHaskellDepends = [ base MonadRandom random yu-utils ]; description = "Auth module for Yu"; license = lib.licenses.gpl3Only; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "yu-core" = callPackage @@ -324808,7 +328798,6 @@ self: { license = lib.licenses.mpl20; hydraPlatforms = lib.platforms.none; mainProgram = "zephyr"; - broken = true; }) {}; "zephyr-copilot" = callPackage @@ -324951,9 +328940,7 @@ self: { ]; description = "Haskell implementation of the ZeroMQ clone pattern"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "zeromq4-clone-pattern-exe"; - broken = true; }) {}; "zeromq4-conduit" = callPackage @@ -325018,9 +329005,7 @@ self: { ]; description = "Haskell implementation of several ZeroMQ patterns"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; mainProgram = "zeromq4-patterns-exe"; - broken = true; }) {}; "zeromq4-simple" = callPackage @@ -325105,7 +329090,6 @@ self: { ]; description = "Polysemy effects for testing"; license = "BSD-2-Clause-Patent"; - hydraPlatforms = lib.platforms.none; }) {}; "zifter" = callPackage @@ -325252,8 +329236,8 @@ self: { pname = "zigzag"; version = "0.0.1.0"; sha256 = "1gy2hv4ggxfwrxg9v3qyxpfrm1j5sixckc2j3h37ckzsh5v06mga"; - revision = "1"; - editedCabalFile = "04n4m74hlh0fpy7qbgmm0piblc5d54nnj7322wnw2yj50am7hbxc"; + revision = "2"; + editedCabalFile = "15bk9kmhmmw40vnh4axva4wx9l9v914f347pd8w71d6wpa0s3fjl"; libraryHaskellDepends = [ base ]; testHaskellDepends = [ base tasty tasty-hunit tasty-quickcheck ]; description = "Zigzag encoding of integers into unsigned integers"; @@ -325585,8 +329569,8 @@ self: { pname = "zlib"; version = "0.6.3.0"; sha256 = "1nh4xsm3kgsg76jmkcphvy7hhslg9hx1s75mpsskhi2ksjd9ialy"; - revision = "2"; - editedCabalFile = "0c8pr02ypwv42288akn3njajvda20kp4vjkbbjnzcarmq0xxjv9q"; + revision = "3"; + editedCabalFile = "0hgh4rn9qinckiiwdnbp9rn0kpc06x18466i713971j03zf8wang"; libraryHaskellDepends = [ base bytestring ]; librarySystemDepends = [ zlib ]; testHaskellDepends = [ @@ -325884,8 +329868,8 @@ self: { }: mkDerivation { pname = "zoovisitor"; - version = "0.2.5.1"; - sha256 = "0iwc1z52q91dbpd6x2wdz8q9xi5hf7w8b1xpd68km80gnirwbca5"; + version = "0.2.6.1"; + sha256 = "1lkmkv03k6icd85jbrv8rzwr1kl8v496m86kyc7sy773vlslkds1"; libraryHaskellDepends = [ base bytestring exceptions Z-Data ]; librarySystemDepends = [ zookeeper_mt ]; testHaskellDepends = [ async base hspec uuid Z-Data ]; @@ -326056,8 +330040,6 @@ self: { ]; description = "Creating and extracting arbitrary archives"; license = lib.licenses.bsd3; - hydraPlatforms = lib.platforms.none; - broken = true; }) {}; "zuramaru" = callPackage @@ -326165,8 +330147,8 @@ self: { }: mkDerivation { pname = "zxcvbn-hs"; - version = "0.3.2"; - sha256 = "12jr76vxajhqc3rksgz5b26vdcdjyc4gbz02lxv66h0i94zansq8"; + version = "0.3.5"; + sha256 = "1vjdpzki02xdxva8a81qhdlzch79b8d87m52jc8kbxhniaf0fibk"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ diff --git a/nixpkgs/pkgs/development/haskell-modules/patches/bloomfilter-fix-on-32bit.patch b/nixpkgs/pkgs/development/haskell-modules/patches/bloomfilter-fix-on-32bit.patch deleted file mode 100644 index 7b32dcb4ab6f..000000000000 --- a/nixpkgs/pkgs/development/haskell-modules/patches/bloomfilter-fix-on-32bit.patch +++ /dev/null @@ -1,28 +0,0 @@ -From 35d972b3dc5056110d55315f2256d9c5046299c7 Mon Sep 17 00:00:00 2001 -From: Peter Simons <simons@cryp.to> -Date: Tue, 1 Sep 2015 17:58:36 +0200 -Subject: [PATCH] Revert "Fix maximum sizing calculation." - -This reverts commit 44b01ba38b4fcdb5a85f44fa2f3af1f29cde8f40. The change breaks -this package on 32 bit platforms. See https://github.com/bos/bloomfilter/issues/7 -for further details. ---- - Data/BloomFilter/Easy.hs | 2 +- - 1 file changed, 1 insertion(+), 1 deletion(-) - -diff --git a/Data/BloomFilter/Easy.hs b/Data/BloomFilter/Easy.hs -index 5143c6e..a349168 100644 ---- a/Data/BloomFilter/Easy.hs -+++ b/Data/BloomFilter/Easy.hs -@@ -72,7 +72,7 @@ safeSuggestSizing capacity errRate - minimum [((-k) * cap / log (1 - (errRate ** (1 / k))), k) - | k <- [1..100]] - roundedBits = nextPowerOfTwo (ceiling bits) -- in if roundedBits <= 0 || roundedBits > 0xffffffff -+ in if roundedBits <= 0 - then Left "capacity too large to represent" - else Right (roundedBits, truncate hashes) - --- -2.5.1 - diff --git a/nixpkgs/pkgs/development/interpreters/erlang/23.nix b/nixpkgs/pkgs/development/interpreters/erlang/23.nix deleted file mode 100644 index 89faebdde128..000000000000 --- a/nixpkgs/pkgs/development/interpreters/erlang/23.nix +++ /dev/null @@ -1,6 +0,0 @@ -{ mkDerivation }: - -mkDerivation { - version = "23.3.4.18"; - sha256 = "sha256-sxNVu/52kOMFKQYPLi5uXnR1mYTF2KlHUo4T7kh0KoY="; -} diff --git a/nixpkgs/pkgs/development/interpreters/erlang/25.nix b/nixpkgs/pkgs/development/interpreters/erlang/25.nix index 3644c12fd4b2..ee9a58c4e427 100644 --- a/nixpkgs/pkgs/development/interpreters/erlang/25.nix +++ b/nixpkgs/pkgs/development/interpreters/erlang/25.nix @@ -1,6 +1,6 @@ { mkDerivation }: mkDerivation { - version = "25.3.2.5"; - sha256 = "fnyWyJ+QsaJk2/LK8jOuxZmt3AFXmeubdeoYSGid/0A="; + version = "25.3.2.6"; + sha256 = "iImrVaoS5bajaZZQoZoG3VzWHFmWvId8xQPKLhl9iQo="; } diff --git a/nixpkgs/pkgs/development/interpreters/expr/default.nix b/nixpkgs/pkgs/development/interpreters/expr/default.nix index a037d306af13..dd5f26d60cfd 100644 --- a/nixpkgs/pkgs/development/interpreters/expr/default.nix +++ b/nixpkgs/pkgs/development/interpreters/expr/default.nix @@ -5,18 +5,18 @@ buildGoModule rec { pname = "expr"; - version = "1.15.1"; + version = "1.15.2"; src = fetchFromGitHub { owner = "antonmedv"; repo = "expr"; rev = "v${version}"; - hash = "sha256-ILa+PG2UU/qgLvcsEoC0rHIeQvKRMUfW60AT6wjApZg="; + hash = "sha256-cPgVpoixZKFVquT2XehVn+j288HWuWKeGeAaTKfoQs4="; }; sourceRoot = "${src.name}/repl"; - vendorHash = "sha256-jdf3MPix+nDr2X6se4I8SNMUCd/Ndr9PvJZgJEk+cL4="; + vendorHash = "sha256-bmWaSemyihr/zTQ1BE/dzCrCYdOWGzs3W3+kwrV5N0U="; ldflags = [ "-s" "-w" ]; diff --git a/nixpkgs/pkgs/development/interpreters/luajit/2.0.nix b/nixpkgs/pkgs/development/interpreters/luajit/2.0.nix index 78abb742c407..29ad88f0ec16 100644 --- a/nixpkgs/pkgs/development/interpreters/luajit/2.0.nix +++ b/nixpkgs/pkgs/development/interpreters/luajit/2.0.nix @@ -1,13 +1,15 @@ { self, callPackage, fetchFromGitHub, lib, passthruFun }: -callPackage ./default.nix { - version = "2.0.5-2022-09-13"; +callPackage ./default.nix rec { + # The patch version is the timestamp of the git commit, + # obtain via `cat $(nix-build -A luajit_2_0.src)/.relver` + version = "2.0.1693340858"; src = fetchFromGitHub { owner = "LuaJIT"; repo = "LuaJIT"; - rev = "46e62cd963a426e83a60f691dcbbeb742c7b3ba2"; - hash = "sha256-/XR9+6NjXs2TrUVKJNkH2h970BkDNFqMDJTWcy/bswU="; + rev = "c6ee7e19d107b4f9a140bb2ccf99162e26318c69"; + hash = "sha256-3/7ASZRniytw5RkOy0F9arHkZevq6dxmya+Ba3A5IIA="; }; extraMeta = { # this isn't precise but it at least stops the useless Hydra build diff --git a/nixpkgs/pkgs/development/interpreters/luajit/2.1.nix b/nixpkgs/pkgs/development/interpreters/luajit/2.1.nix index 8efb86ab0174..cf8531b7352d 100644 --- a/nixpkgs/pkgs/development/interpreters/luajit/2.1.nix +++ b/nixpkgs/pkgs/development/interpreters/luajit/2.1.nix @@ -1,13 +1,15 @@ { self, callPackage, fetchFromGitHub, passthruFun }: -callPackage ./default.nix { - version = "2.1.0-2022-10-04"; +callPackage ./default.nix rec { + # The patch version is the timestamp of the git commit, + # obtain via `cat $(nix-build -A luajit_2_1.src)/.relver` + version = "2.1.1693350652"; src = fetchFromGitHub { owner = "LuaJIT"; repo = "LuaJIT"; - rev = "6c4826f12c4d33b8b978004bc681eb1eef2be977"; - hash = "sha256-GMgoSVHrfIuLdk8mW9XgdemNFsAkkQR4wiGGjaAXAKg="; + rev = "41fb94defa8f830ce69a8122b03f6ac3216d392a"; + hash = "sha256-iY80CA97RqJ9gF1Kl7ms/lC6m6KScjxWmljh5Gy7Brg="; }; inherit self passthruFun; diff --git a/nixpkgs/pkgs/development/interpreters/luajit/default.nix b/nixpkgs/pkgs/development/interpreters/luajit/default.nix index 16c2876f9f25..28493cc48aec 100644 --- a/nixpkgs/pkgs/development/interpreters/luajit/default.nix +++ b/nixpkgs/pkgs/development/interpreters/luajit/default.nix @@ -24,6 +24,7 @@ , enableGDBJITSupport ? false , enableAPICheck ? false , enableVMAssertions ? false +, enableRegisterAllocationRandomization ? false , useSystemMalloc ? false # Upstream generates randomized string id's by default for security reasons # https://github.com/LuaJIT/LuaJIT/issues/626. Deterministic string id's should @@ -50,6 +51,7 @@ let ++ optional enableGDBJITSupport "-DLUAJIT_USE_GDBJIT" ++ optional enableAPICheck "-DLUAJIT_USE_APICHECK" ++ optional enableVMAssertions "-DLUAJIT_USE_ASSERT" + ++ optional enableRegisterAllocationRandomization "-DLUAJIT_RANDOM_RA" ++ optional deterministicStringIds "-DLUAJIT_SECURITY_STRID=0" ; diff --git a/nixpkgs/pkgs/development/interpreters/mujs/default.nix b/nixpkgs/pkgs/development/interpreters/mujs/default.nix index f937a71fbd47..9b9c21f86b6f 100644 --- a/nixpkgs/pkgs/development/interpreters/mujs/default.nix +++ b/nixpkgs/pkgs/development/interpreters/mujs/default.nix @@ -1,6 +1,7 @@ { lib , stdenv , fetchurl +, fixDarwinDylibNames , readline , gitUpdater }: @@ -16,6 +17,8 @@ stdenv.mkDerivation rec { buildInputs = [ readline ]; + nativeBuildInputs = lib.optionals stdenv.isDarwin [ fixDarwinDylibNames ]; + makeFlags = [ "prefix=$(out)" ]; installFlags = [ "install-shared" ]; diff --git a/nixpkgs/pkgs/development/interpreters/oak/default.nix b/nixpkgs/pkgs/development/interpreters/oak/default.nix index eda0450be428..734576d862b0 100644 --- a/nixpkgs/pkgs/development/interpreters/oak/default.nix +++ b/nixpkgs/pkgs/development/interpreters/oak/default.nix @@ -14,7 +14,7 @@ buildGoModule rec { sha256 = "sha256-DK5n8xK57CQiukyBt9+CFK1j8+nphP//T2jTXq64VH8="; }; - vendorSha256 = "sha256-iQtb3zNa57nB6x4InVPw7FCmW7XPw5yuz0OcfASXPD8="; + vendorHash = "sha256-iQtb3zNa57nB6x4InVPw7FCmW7XPw5yuz0OcfASXPD8="; meta = with lib; { description = "Expressive, simple, dynamic programming language"; diff --git a/nixpkgs/pkgs/development/interpreters/python/cpython/default.nix b/nixpkgs/pkgs/development/interpreters/python/cpython/default.nix index a1de2a55d986..6778dc460e05 100644 --- a/nixpkgs/pkgs/development/interpreters/python/cpython/default.nix +++ b/nixpkgs/pkgs/development/interpreters/python/cpython/default.nix @@ -454,8 +454,6 @@ in with passthru; stdenv.mkDerivation { done touch $out/lib/${libPrefix}/test/__init__.py - ln -s "$out/include/${executable}m" "$out/include/${executable}" - # Determinism: Windows installers were not deterministic. # We're also not interested in building Windows installers. find "$out" -name 'wininst*.exe' | xargs -r rm -f @@ -483,6 +481,9 @@ in with passthru; stdenv.mkDerivation { # This allows build Python to import host Python's sysconfigdata mkdir -p "$out/${sitePackages}" ln -s "$out/lib/${libPrefix}/"_sysconfigdata*.py "$out/${sitePackages}/" + '' + lib.optionalString (pythonOlder "3.8") '' + # This is gone in Python >= 3.8 + ln -s "$out/include/${executable}m" "$out/include/${executable}" '' + optionalString stripConfig '' rm -R $out/bin/python*-config $out/lib/python*/config-* '' + optionalString stripIdlelib '' diff --git a/nixpkgs/pkgs/development/interpreters/python/default.nix b/nixpkgs/pkgs/development/interpreters/python/default.nix index fb504c5043c8..f80f2f16ccd4 100644 --- a/nixpkgs/pkgs/development/interpreters/python/default.nix +++ b/nixpkgs/pkgs/development/interpreters/python/default.nix @@ -57,10 +57,10 @@ in { sourceVersion = { major = "3"; minor = "8"; - patch = "17"; + patch = "18"; suffix = ""; }; - hash = "sha256-LlSwxoGR8WVS9t4ul6I5ZUBXKiGfa7soWRoTfOzEkKk="; + hash = "sha256-P/txzTSaMmunsvrcfn34a6V33ZxJF+UqhAGtvadAXj8="; inherit (darwin) configd; inherit passthruFun; }; @@ -70,10 +70,10 @@ in { sourceVersion = { major = "3"; minor = "9"; - patch = "17"; + patch = "18"; suffix = ""; }; - hash = "sha256-MM4FfETyg/jtk2Bsy9uNUd1Sa9xMYszl4Nwhe/o+jO4="; + hash = "sha256-AVl9sBMsHPezMe/2iuCbWiNaPDyqnJRMKcrH0cTEwAo="; inherit (darwin) configd; inherit passthruFun; }; @@ -96,9 +96,9 @@ in { major = "3"; minor = "12"; patch = "0"; - suffix = "b4"; + suffix = "rc2"; }; - hash = "sha256-8FcQw2ruWFD1wnab52uAvyEq7DUUOP34rcIMOMg2H6w="; + hash = "sha256-EesQN25rr3vqUwAfUYHq7heXeIxNtug6Bh5CI1eSdnQ="; inherit (darwin) configd; inherit passthruFun; }; diff --git a/nixpkgs/pkgs/development/interpreters/trealla/default.nix b/nixpkgs/pkgs/development/interpreters/trealla/default.nix deleted file mode 100644 index 34b722d9dcf9..000000000000 --- a/nixpkgs/pkgs/development/interpreters/trealla/default.nix +++ /dev/null @@ -1,94 +0,0 @@ -{ lib -, stdenv -, fetchFromGitHub -, libffi -, openssl -, readline -, valgrind -, xxd -, gitUpdater -, checkLeaks ? false -, enableFFI ? true -, enableSSL ? true -, enableThreads ? true -, lineEditingLibrary ? "isocline" -}: - -assert lib.elem lineEditingLibrary [ "isocline" "readline" ]; -stdenv.mkDerivation (finalAttrs: { - pname = "trealla"; - version = "2.25.2"; - - src = fetchFromGitHub { - owner = "trealla-prolog"; - repo = "trealla"; - rev = "v${finalAttrs.version}"; - hash = "sha256-3NBrJFSTcjftvTYn26SMeU2HtR81J2qlDAwAZRdis4M="; - }; - - postPatch = '' - substituteInPlace Makefile \ - --replace '-I/usr/local/include' "" \ - --replace '-L/usr/local/lib' "" \ - --replace 'GIT_VERSION :=' 'GIT_VERSION ?=' - ''; - - nativeBuildInputs = [ - xxd - ]; - - buildInputs = - lib.optional enableFFI libffi - ++ lib.optional enableSSL openssl - ++ lib.optional (lineEditingLibrary == "readline") readline; - - nativeCheckInputs = lib.optionals finalAttrs.doCheck [ valgrind ]; - - strictDeps = true; - - makeFlags = [ - "GIT_VERSION=\"v${finalAttrs.version}\"" - ] - ++ lib.optional (lineEditingLibrary == "isocline") "ISOCLINE=1" - ++ lib.optional (!enableFFI) "NOFFI=1" - ++ lib.optional (!enableSSL) "NOSSL=1" - ++ lib.optional enableThreads "THREADS=1"; - - enableParallelBuilding = true; - - installPhase = '' - runHook preInstall - install -Dm755 -t $out/bin tpl - runHook postInstall - ''; - - doCheck = !valgrind.meta.broken; - - checkFlags = [ - "test" - ] ++ lib.optional checkLeaks "leaks"; - - passthru.updateScript = gitUpdater { }; - - meta = { - homepage = "https://trealla-prolog.github.io/trealla/"; - description = "A compact, efficient Prolog interpreter written in ANSI C"; - longDescription = '' - Trealla is a compact, efficient Prolog interpreter with ISO Prolog - aspirations. - Trealla is not WAM-based. It uses tree-walking, structure-sharing and - deep-binding. Source is byte-code compiled to an AST that is interpreted - at runtime. The intent and continued aim of Trealla is to be a small, - easily ported, Prolog core. - The name Trealla comes from the Liaden Universe books by Lee & Miller - (where it doesn't seem to mean anything) and also a reference to the - Trealla region of Western Australia. - ''; - changelog = "https://github.com/trealla-prolog/trealla/releases/tag/v${finalAttrs.version}"; - license = lib.licenses.mit; - maintainers = with lib.maintainers; [ siraben AndersonTorres ]; - mainProgram = "tpl"; - platforms = lib.platforms.all; - broken = stdenv.isDarwin && stdenv.isx86_64; - }; -}) diff --git a/nixpkgs/pkgs/development/libraries/SDL2/default.nix b/nixpkgs/pkgs/development/libraries/SDL2/default.nix index 4c8c7ed8f435..7b741b803de0 100644 --- a/nixpkgs/pkgs/development/libraries/SDL2/default.nix +++ b/nixpkgs/pkgs/development/libraries/SDL2/default.nix @@ -1,7 +1,8 @@ { lib , stdenv , config -, fetchurl +, fetchFromGitHub +, nix-update-script , pkg-config , libGLSupported ? lib.elem stdenv.hostPlatform.system lib.platforms.mesaPlatforms , openglSupport ? libGLSupported @@ -55,11 +56,13 @@ stdenv.mkDerivation rec { pname = "SDL2"; - version = "2.28.2"; + version = "2.28.3"; - src = fetchurl { - url = "https://www.libsdl.org/release/${pname}-${version}.tar.gz"; - hash = "sha256-ZLEQL6Igk1FbAu8z3Yc53uG6V+nbumoJKUK4u+0aHF4="; + src = fetchFromGitHub { + owner = "libsdl-org"; + repo = "SDL"; + rev = "release-${version}"; + hash = "sha256-/kQ2IyvAfmZ+zIUt1WuEIeX0nYPGXDlAQk2qDsQnFFs="; }; dontDisableStatic = if withStatic then 1 else 0; outputs = [ "out" "dev" ]; @@ -165,7 +168,10 @@ stdenv.mkDerivation rec { setupHook = ./setup-hook.sh; - passthru = { inherit openglSupport; }; + passthru = { + inherit openglSupport; + updateScript = nix-update-script { extraArgs = ["--version-regex" "release-(.*)"]; }; + }; meta = with lib; { description = "A cross-platform multimedia library"; diff --git a/nixpkgs/pkgs/development/libraries/aubio/default.nix b/nixpkgs/pkgs/development/libraries/aubio/default.nix index 673064db7a5d..2e8bdc50ca63 100644 --- a/nixpkgs/pkgs/development/libraries/aubio/default.nix +++ b/nixpkgs/pkgs/development/libraries/aubio/default.nix @@ -1,5 +1,5 @@ { lib, stdenv, fetchurl, alsa-lib, fftw, libjack2, libsamplerate -, libsndfile, pkg-config, python3, waf +, libsndfile, pkg-config, python3, wafHook }: stdenv.mkDerivation rec { @@ -11,7 +11,7 @@ stdenv.mkDerivation rec { sha256 = "1npks71ljc48w6858l9bq30kaf5nph8z0v61jkfb70xb9np850nl"; }; - nativeBuildInputs = [ pkg-config python3 waf.hook ]; + nativeBuildInputs = [ pkg-config python3 wafHook ]; buildInputs = [ alsa-lib fftw libjack2 libsamplerate libsndfile ]; strictDeps = true; diff --git a/nixpkgs/pkgs/development/libraries/audio/lilv/default.nix b/nixpkgs/pkgs/development/libraries/audio/lilv/default.nix index b51a608c9695..14b0d4c5e46f 100644 --- a/nixpkgs/pkgs/development/libraries/audio/lilv/default.nix +++ b/nixpkgs/pkgs/development/libraries/audio/lilv/default.nix @@ -1,4 +1,4 @@ -{ lib, stdenv, fetchurl, lv2, pkg-config, python3, serd, sord, sratom, waf +{ lib, stdenv, fetchurl, lv2, pkg-config, python3, serd, sord, sratom, wafHook # test derivations , pipewire @@ -17,7 +17,7 @@ stdenv.mkDerivation rec { patches = [ ./lilv-pkgconfig.patch ]; - nativeBuildInputs = [ pkg-config python3 waf.hook ]; + nativeBuildInputs = [ pkg-config python3 wafHook ]; buildInputs = [ serd sord sratom ]; propagatedBuildInputs = [ lv2 ]; dontAddWafCrossFlags = true; diff --git a/nixpkgs/pkgs/development/libraries/audio/lvtk/default.nix b/nixpkgs/pkgs/development/libraries/audio/lvtk/default.nix index af784d80a3aa..9822640f8d67 100644 --- a/nixpkgs/pkgs/development/libraries/audio/lvtk/default.nix +++ b/nixpkgs/pkgs/development/libraries/audio/lvtk/default.nix @@ -1,4 +1,4 @@ -{ lib, stdenv, fetchFromGitHub, boost, gtkmm2, lv2, pkg-config, python3, waf }: +{ lib, stdenv, fetchFromGitHub, boost, gtkmm2, lv2, pkg-config, python3, wafHook }: stdenv.mkDerivation rec { pname = "lvtk"; @@ -11,7 +11,7 @@ stdenv.mkDerivation rec { sha256 = "sha256-6IoyhBig3Nvc4Y8F0w8b1up6sn8O2RmoUVaBQ//+Aaw="; }; - nativeBuildInputs = [ pkg-config python3 waf.hook ]; + nativeBuildInputs = [ pkg-config python3 wafHook ]; buildInputs = [ boost gtkmm2 lv2 ]; enableParallelBuilding = true; diff --git a/nixpkgs/pkgs/development/libraries/audio/ntk/default.nix b/nixpkgs/pkgs/development/libraries/audio/ntk/default.nix index 3b8ecb807aa6..d101e2a960c6 100644 --- a/nixpkgs/pkgs/development/libraries/audio/ntk/default.nix +++ b/nixpkgs/pkgs/development/libraries/audio/ntk/default.nix @@ -1,4 +1,4 @@ -{ lib, stdenv, fetchFromGitHub, cairo, libjpeg, libXft, pkg-config, python3, waf }: +{ lib, stdenv, fetchFromGitHub, cairo, libjpeg, libXft, pkg-config, python3, wafHook }: stdenv.mkDerivation rec { pname = "ntk"; @@ -10,7 +10,7 @@ stdenv.mkDerivation rec { sha256 = "sha256-NyEdg6e+9CI9V+TIgdpPyH1ei+Vq8pUxD3wPzWY5fEU="; }; - nativeBuildInputs = [ pkg-config waf.hook ]; + nativeBuildInputs = [ pkg-config wafHook ]; buildInputs = [ cairo libjpeg libXft python3 ]; diff --git a/nixpkgs/pkgs/development/libraries/audio/raul/default.nix b/nixpkgs/pkgs/development/libraries/audio/raul/default.nix index b8e21e36bba4..c200d4ab79c5 100644 --- a/nixpkgs/pkgs/development/libraries/audio/raul/default.nix +++ b/nixpkgs/pkgs/development/libraries/audio/raul/default.nix @@ -1,4 +1,4 @@ -{ lib, stdenv, fetchgit, boost, gtk2, pkg-config, python3, waf }: +{ lib, stdenv, fetchgit, boost, gtk2, pkg-config, python3, wafHook }: stdenv.mkDerivation rec { pname = "raul"; @@ -12,7 +12,7 @@ stdenv.mkDerivation rec { sha256 = "1z37jb6ghc13b8nv8a8hcg669gl8vh4ni9djvfgga9vcz8rmcg8l"; }; - nativeBuildInputs = [ pkg-config waf.hook python3 ]; + nativeBuildInputs = [ pkg-config wafHook python3 ]; buildInputs = [ boost gtk2 ]; strictDeps = true; diff --git a/nixpkgs/pkgs/development/libraries/audio/suil/default.nix b/nixpkgs/pkgs/development/libraries/audio/suil/default.nix index f037b61e3bcf..3dc3bb5dacdc 100644 --- a/nixpkgs/pkgs/development/libraries/audio/suil/default.nix +++ b/nixpkgs/pkgs/development/libraries/audio/suil/default.nix @@ -1,5 +1,5 @@ { stdenv, lib, fetchurl, gtk2, lv2, pkg-config, python3, serd, sord, sratom -, waf +, wafHook , withQt5 ? true, qt5 ? null }: @@ -12,7 +12,7 @@ stdenv.mkDerivation rec { sha256 = "0z4v01pjw4wh65x38w6icn28wdwxz13ayl8hvn4p1g9kmamp1z06"; }; - nativeBuildInputs = [ pkg-config waf.hook python3 ]; + nativeBuildInputs = [ pkg-config wafHook python3 ]; buildInputs = [ gtk2 lv2 serd sord sratom ] ++ lib.optionals withQt5 (with qt5; [ qtbase qttools ]); diff --git a/nixpkgs/pkgs/development/libraries/ffmpeg/4.nix b/nixpkgs/pkgs/development/libraries/ffmpeg/4.nix index 905e93de7a7f..6bd9a8b8f1ae 100644 --- a/nixpkgs/pkgs/development/libraries/ffmpeg/4.nix +++ b/nixpkgs/pkgs/development/libraries/ffmpeg/4.nix @@ -1,4 +1,4 @@ -import ./generic.nix rec { +import ./generic.nix { version = "4.4.4"; sha256 = "sha256-Q8bkuF/1uJfqttJJoObnnLX3BEduv+qxsvOrVhMvRjA="; extraPatches = [ diff --git a/nixpkgs/pkgs/development/libraries/ffmpeg/5.nix b/nixpkgs/pkgs/development/libraries/ffmpeg/5.nix index dcfe033f040f..a3ff054f1e60 100644 --- a/nixpkgs/pkgs/development/libraries/ffmpeg/5.nix +++ b/nixpkgs/pkgs/development/libraries/ffmpeg/5.nix @@ -1,4 +1,4 @@ -import ./generic.nix rec { +import ./generic.nix { version = "5.1.3"; sha256 = "sha256-twfJvANLQGO7TiyHPMPqApfHLFUlOGZTTIIGEnjyvuE="; extraPatches = [ diff --git a/nixpkgs/pkgs/development/libraries/ffmpeg/6.nix b/nixpkgs/pkgs/development/libraries/ffmpeg/6.nix index cf29526fbcb5..37bdf5b060f7 100644 --- a/nixpkgs/pkgs/development/libraries/ffmpeg/6.nix +++ b/nixpkgs/pkgs/development/libraries/ffmpeg/6.nix @@ -1,4 +1,4 @@ -import ./generic.nix rec { +import ./generic.nix { version = "6.0"; sha256 = "sha256-RVbgsafIbeUUNXmUbDQ03ZN42oaUo0njqROo7KOQgv0="; } diff --git a/nixpkgs/pkgs/development/libraries/ffmpeg/generic.nix b/nixpkgs/pkgs/development/libraries/ffmpeg/generic.nix index a1ca20f9483c..6bde5aa776ba 100644 --- a/nixpkgs/pkgs/development/libraries/ffmpeg/generic.nix +++ b/nixpkgs/pkgs/development/libraries/ffmpeg/generic.nix @@ -1,4 +1,4 @@ -{ version, sha256, extraPatches ? [], knownVulnerabilities ? [] }: +{ version, sha256, extraPatches ? [] }: { lib, stdenv, buildPackages, removeReferencesTo, addOpenGLRunpath, pkg-config, perl, texinfo, yasm @@ -33,7 +33,6 @@ , withBzlib ? withHeadlessDeps , withCaca ? withFullDeps # Textual display (ASCII art) , withCelt ? withFullDeps # CELT decoder -, withCrystalhd ? withFullDeps , withCuda ? withFullDeps && (with stdenv; (!isDarwin && !hostPlatform.isAarch && !hostPlatform.isRiscV)) , withCudaLLVM ? withFullDeps , withDav1d ? withHeadlessDeps # AV1 decoder (focused on speed and correctness) @@ -49,7 +48,6 @@ , withGnutls ? withHeadlessDeps , withGsm ? withFullDeps # GSM de/encoder , withIconv ? withHeadlessDeps -, withIlbc ? withFullDeps , withJack ? withFullDeps && !stdenv.isDarwin # Jack audio , withLadspa ? withFullDeps # LADSPA audio filtering , withLibplacebo ? withFullDeps && !stdenv.isDarwin # libplacebo video processing library @@ -79,7 +77,7 @@ , withSrt ? withHeadlessDeps # Secure Reliable Transport (SRT) protocol , withSsh ? withHeadlessDeps # SFTP protocol , withSvg ? withFullDeps # SVG protocol -, withSvtav1 ? withFullDeps && !stdenv.isAarch64 # AV1 encoder/decoder (focused on speed and correctness) +, withSvtav1 ? withHeadlessDeps && !stdenv.isAarch64 # AV1 encoder/decoder (focused on speed and correctness) , withTensorflow ? false # Tensorflow dnn backend support , withTheora ? withHeadlessDeps # Theora encoder , withV4l2 ? withFullDeps && !stdenv.isDarwin # Video 4 Linux support diff --git a/nixpkgs/pkgs/development/libraries/fortify-headers/default.nix b/nixpkgs/pkgs/development/libraries/fortify-headers/default.nix index 2c24665456b7..1f418af7ae96 100644 --- a/nixpkgs/pkgs/development/libraries/fortify-headers/default.nix +++ b/nixpkgs/pkgs/development/libraries/fortify-headers/default.nix @@ -15,6 +15,11 @@ stdenv.mkDerivation { hash = "sha256-8A8JcKHIBgXpUuIP4zs3Q1yBs5jCGd5F3H2E8UN/S2g="; }; + patches = [ + ./wchar-imports-skip.patch + ./restore-macros.patch + ]; + installPhase = '' runHook preInstall diff --git a/nixpkgs/pkgs/development/libraries/fortify-headers/restore-macros.patch b/nixpkgs/pkgs/development/libraries/fortify-headers/restore-macros.patch new file mode 100644 index 000000000000..f7d31a329e35 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/fortify-headers/restore-macros.patch @@ -0,0 +1,283 @@ +restore #undef'ed macro values after we're done + +some programs that define these miss them if removed + +push_macro and pop_macro pragmas allegedly well supported +by gcc, clang and msvc + +--- a/include/fortify/poll.h ++++ b/include/fortify/poll.h +@@ -29,6 +29,7 @@ __extension__ + extern "C" { + #endif + ++#pragma push_macro("poll") + #undef poll + + _FORTIFY_FN(poll) int poll(struct pollfd * _FORTIFY_POS0 __f, nfds_t __n, int __s) +@@ -40,6 +41,8 @@ _FORTIFY_FN(poll) int poll(struct pollfd * _FORTIFY_POS0 __f, nfds_t __n, int __ + return __orig_poll(__f, __n, __s); + } + ++#pragma pop_macro("poll") ++ + #ifdef __cplusplus + } + #endif +--- a/include/fortify/stdio.h ++++ b/include/fortify/stdio.h +@@ -29,12 +29,19 @@ __extension__ + extern "C" { + #endif + ++#pragma push_macro("fgets") + #undef fgets ++#pragma push_macro("fread") + #undef fread ++#pragma push_macro("fwrite") + #undef fwrite ++#pragma push_macro("vsprintf") + #undef vsprintf ++#pragma push_macro("vsnprintf") + #undef vsnprintf ++#pragma push_macro("snprintf") + #undef snprintf ++#pragma push_macro("sprintf") + #undef sprintf + + _FORTIFY_FN(fgets) char *fgets(char * _FORTIFY_POS0 __s, int __n, FILE *__f) +@@ -140,6 +147,14 @@ _FORTIFY_FN(sprintf) int sprintf(char *__s, const char *__f, ...) + #endif /* __has_builtin(__builtin_va_arg_pack) */ + #endif /* defined(__has_builtin) */ + ++#pragma pop_macro("fgets") ++#pragma pop_macro("fread") ++#pragma pop_macro("fwrite") ++#pragma pop_macro("vsprintf") ++#pragma pop_macro("vsnprintf") ++#pragma pop_macro("snprintf") ++#pragma pop_macro("sprintf") ++ + #ifdef __cplusplus + } + #endif +--- a/include/fortify/stdlib.h ++++ b/include/fortify/stdlib.h +@@ -38,7 +38,10 @@ extern "C" { + + /* FIXME clang */ + #if (defined(_XOPEN_SOURCE) || defined(_GNU_SOURCE) || defined(_BSD_SOURCE)) && !defined(__clang__) ++ ++#pragma push_macro("realpath") + #undef realpath ++ + _FORTIFY_FN(realpath) char *realpath(const char *__p, char *__r) + { + #ifndef PATH_MAX +@@ -60,6 +63,9 @@ _FORTIFY_FN(realpath) char *realpath(const char *__p, char *__r) + return __orig_realpath(__p, __r); + #endif + } ++ ++#pragma pop_macro("realpath") ++ + #endif + + #ifdef __cplusplus +--- a/include/fortify/string.h ++++ b/include/fortify/string.h +@@ -29,12 +29,19 @@ __extension__ + extern "C" { + #endif + ++#pragma push_macro("memcpy") + #undef memcpy ++#pragma push_macro("memmove") + #undef memmove ++#pragma push_macro("memset") + #undef memset ++#pragma push_macro("strcat") + #undef strcat ++#pragma push_macro("strcpy") + #undef strcpy ++#pragma push_macro("strncat") + #undef strncat ++#pragma push_macro("strncpy") + #undef strncpy + + _FORTIFY_FN(memcpy) void *memcpy(void * _FORTIFY_POS0 __od, +@@ -183,6 +190,14 @@ _FORTIFY_FN(strlcpy) size_t strlcpy(char * _FORTIFY_POS0 __d, + } + #endif + ++#pragma pop_macro("memcpy") ++#pragma pop_macro("memmove") ++#pragma pop_macro("memset") ++#pragma pop_macro("strcat") ++#pragma pop_macro("strcpy") ++#pragma pop_macro("strncat") ++#pragma pop_macro("strncpy") ++ + #ifdef __cplusplus + } + #endif +--- a/include/fortify/strings.h ++++ b/include/fortify/strings.h +@@ -29,8 +29,12 @@ extern "C" { + #if defined(_GNU_SOURCE) || defined(_BSD_SOURCE) || defined(_POSIX_SOURCE) \ + || (defined(_POSIX_C_SOURCE) && _POSIX_C_SOURCE+0 < 200809L) \ + || (defined(_XOPEN_SOURCE) && _XOPEN_SOURCE+0 < 700) ++ ++#pragma push_macro("bcopy") + #undef bcopy ++#pragma push_macro("bzero") + #undef bzero ++ + _FORTIFY_FN(bcopy) void bcopy(const void * _FORTIFY_POS0 __s, + void * _FORTIFY_POS0 __d, size_t __n) + { +@@ -52,6 +56,9 @@ _FORTIFY_FN(bzero) void bzero(void * _FORTIFY_POS0 __s, size_t __n) + } + #endif + ++#pragma pop_macro("bcopy") ++#pragma pop_macro("bzero") ++ + #ifdef __cplusplus + } + #endif +--- a/include/fortify/sys/socket.h ++++ b/include/fortify/sys/socket.h +@@ -29,9 +29,13 @@ __extension__ + extern "C" { + #endif + ++#pragma push_macro("recv") + #undef recv ++#pragma push_macro("recvfrom") + #undef recvfrom ++#pragma push_macro("send") + #undef send ++#pragma push_macro("sendto") + #undef sendto + + _FORTIFY_FN(recv) ssize_t recv(int __f, void * _FORTIFY_POS0 __s, size_t __n, +@@ -76,6 +80,11 @@ _FORTIFY_FN(sendto) ssize_t sendto(int __f, const void * _FORTIFY_POS0 __s, + return __orig_sendto(__f, __s, __n, __fl, __a, __l); + } + ++#pragma push_macro("recv") ++#pragma push_macro("recvfrom") ++#pragma push_macro("send") ++#pragma push_macro("sendto") ++ + #ifdef __cplusplus + } + #endif +--- a/include/fortify/unistd.h ++++ b/include/fortify/unistd.h +@@ -29,16 +29,27 @@ __extension__ + extern "C" { + #endif + ++#pragma push_macro("confstr") + #undef confstr ++#pragma push_macro("getcwd") + #undef getcwd ++#pragma push_macro("getgroups") + #undef getgroups ++#pragma push_macro("gethostname") + #undef gethostname ++#pragma push_macro("getlogin_r") + #undef getlogin_r ++#pragma push_macro("pread") + #undef pread ++#pragma push_macro("read") + #undef read ++#pragma push_macro("readlink") + #undef readlink ++#pragma push_macro("readlinkat") + #undef readlinkat ++#pragma push_macro("ttyname_r") + #undef ttyname_r ++#pragma push_macro("write") + #undef write + + _FORTIFY_FN(confstr) size_t confstr(int __n, char * _FORTIFY_POS0 __s, size_t __l) +@@ -158,6 +169,18 @@ _FORTIFY_FN(write) ssize_t write(int __f, const void * _FORTIFY_POS0 __s, + return __orig_write(__f, __s, __n); + } + ++#pragma pop_macro("confstr") ++#pragma pop_macro("getcwd") ++#pragma pop_macro("getgroups") ++#pragma pop_macro("gethostname") ++#pragma pop_macro("getlogin_r") ++#pragma pop_macro("pread") ++#pragma pop_macro("read") ++#pragma pop_macro("readlink") ++#pragma pop_macro("readlinkat") ++#pragma pop_macro("ttyname_r") ++#pragma pop_macro("write") ++ + #ifdef __cplusplus + } + #endif +--- a/include/fortify/wchar.h ++++ b/include/fortify/wchar.h +@@ -43,19 +43,33 @@ __extension__ + extern "C" { + #endif + ++#pragma push_macro("fgetws") + #undef fgetws ++#pragma push_macro("mbsrtowcs") + #undef mbsrtowcs ++#pragma push_macro("mbstowcs") + #undef mbstowcs ++#pragma push_macro("wcrtomb") + #undef wcrtomb ++#pragma push_macro("wcscat") + #undef wcscat ++#pragma push_macro("wcscpy") + #undef wcscpy ++#pragma push_macro("wcsncat") + #undef wcsncat ++#pragma push_macro("wcsncpy") + #undef wcsncpy ++#pragma push_macro("wcsrtombs") + #undef wcsrtombs ++#pragma push_macro("wcstombs") + #undef wcstombs ++#pragma push_macro("wctomb") + #undef wctomb ++#pragma push_macro("wmemcpy") + #undef wmemcpy ++#pragma push_macro("wmemmove") + #undef wmemmove ++#pragma push_macro("wmemset") + #undef wmemset + + _FORTIFY_FN(fgetws) wchar_t *fgetws(wchar_t * _FORTIFY_POS0 __s, +@@ -269,6 +283,21 @@ _FORTIFY_FN(wmemset) wchar_t *wmemset(wchar_t * _FORTIFY_POS0 __s, + return __orig_wmemset(__s, __c, __n); + } + ++#pragma pop_macro("fgetws") ++#pragma pop_macro("mbsrtowcs") ++#pragma pop_macro("mbstowcs") ++#pragma pop_macro("wcrtomb") ++#pragma pop_macro("wcscat") ++#pragma pop_macro("wcscpy") ++#pragma pop_macro("wcsncat") ++#pragma pop_macro("wcsncpy") ++#pragma pop_macro("wcsrtombs") ++#pragma pop_macro("wcstombs") ++#pragma pop_macro("wctomb") ++#pragma pop_macro("wmemcpy") ++#pragma pop_macro("wmemmove") ++#pragma pop_macro("wmemset") ++ + #ifdef __cplusplus + } + #endif diff --git a/nixpkgs/pkgs/development/libraries/fortify-headers/wchar-imports-skip.patch b/nixpkgs/pkgs/development/libraries/fortify-headers/wchar-imports-skip.patch new file mode 100644 index 000000000000..255ceba9f099 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/fortify-headers/wchar-imports-skip.patch @@ -0,0 +1,41 @@ +wchar.h: only include other headers if _FORTIFY_SOURCE is enabled + +unexpectedly including other headers can cause problems with +sensitive/brittle code, particularly with alternative compilers +(clang) which are already operating on the margins of what's +supported/expected by some projects. + +having a way to almost entirely short-circuit these headers (by +disabling _FORTIFY_SOURCE) is therefore important. + +--- a/include/fortify/wchar.h ++++ b/include/fortify/wchar.h +@@ -20,21 +20,23 @@ + #if !defined(__cplusplus) && !defined(__clang__) + __extension__ + #endif +-#include_next <limits.h> ++#include_next <wchar.h> ++ ++#if defined(_FORTIFY_SOURCE) && _FORTIFY_SOURCE > 0 && defined(__OPTIMIZE__) && __OPTIMIZE__ > 0 ++ + #if !defined(__cplusplus) && !defined(__clang__) + __extension__ + #endif +-#include_next <stdlib.h> ++#include_next <limits.h> + #if !defined(__cplusplus) && !defined(__clang__) + __extension__ + #endif +-#include_next <string.h> ++#include_next <stdlib.h> + #if !defined(__cplusplus) && !defined(__clang__) + __extension__ + #endif +-#include_next <wchar.h> ++#include_next <string.h> + +-#if defined(_FORTIFY_SOURCE) && _FORTIFY_SOURCE > 0 && defined(__OPTIMIZE__) && __OPTIMIZE__ > 0 + #include "fortify-headers.h" + + #ifdef __cplusplus diff --git a/nixpkgs/pkgs/development/libraries/freetype/default.nix b/nixpkgs/pkgs/development/libraries/freetype/default.nix index f4a81633f54b..6e6989d9304e 100644 --- a/nixpkgs/pkgs/development/libraries/freetype/default.nix +++ b/nixpkgs/pkgs/development/libraries/freetype/default.nix @@ -55,7 +55,8 @@ stdenv.mkDerivation (finalAttrs: { CC_BUILD = "${buildPackages.stdenv.cc}/bin/cc"; # The asm for armel is written with the 'asm' keyword. - CFLAGS = lib.optionalString stdenv.isAarch32 "-std=gnu99"; + CFLAGS = lib.optionalString stdenv.isAarch32 "-std=gnu99" + + lib.optionalString stdenv.hostPlatform.is32bit " -D_FILE_OFFSET_BITS=64"; enableParallelBuilding = true; diff --git a/nixpkgs/pkgs/development/libraries/ganv/default.nix b/nixpkgs/pkgs/development/libraries/ganv/default.nix index 9818afa9412a..d53206ca667b 100644 --- a/nixpkgs/pkgs/development/libraries/ganv/default.nix +++ b/nixpkgs/pkgs/development/libraries/ganv/default.nix @@ -1,4 +1,4 @@ -{ lib, stdenv, fetchgit, graphviz, gtk2, gtkmm2, pkg-config, python3, waf }: +{ lib, stdenv, fetchgit, graphviz, gtk2, gtkmm2, pkg-config, python3, wafHook }: stdenv.mkDerivation rec { pname = "ganv"; @@ -11,7 +11,7 @@ stdenv.mkDerivation rec { sha256 = "01znnalirbqxpz62fbw2c14c8xn117jc92xv6dhb3hln92k9x37f"; }; - nativeBuildInputs = [ pkg-config waf.hook python3 gtk2 ]; + nativeBuildInputs = [ pkg-config wafHook python3 gtk2 ]; buildInputs = [ graphviz gtkmm2 ]; strictDeps = true; diff --git a/nixpkgs/pkgs/development/libraries/gpgme/default.nix b/nixpkgs/pkgs/development/libraries/gpgme/default.nix index 6af608995c2f..b223f249f83c 100644 --- a/nixpkgs/pkgs/development/libraries/gpgme/default.nix +++ b/nixpkgs/pkgs/development/libraries/gpgme/default.nix @@ -26,11 +26,11 @@ let in stdenv.mkDerivation rec { pname = "gpgme"; - version = "1.21.0"; + version = "1.22.0"; src = fetchurl { url = "mirror://gnupg/gpgme/${pname}-${version}.tar.bz2"; - hash = "sha256-QW4XThZXNNhIBiU/jJa9opk/0H8ljDqtXwU6bv1GPog="; + hash = "sha256-lVHjcIGtO96BAYoNJPJFw/ggaZBUlZj7Mal6aDgKe3E="; }; patches = [ diff --git a/nixpkgs/pkgs/development/libraries/gstreamer/rs/default.nix b/nixpkgs/pkgs/development/libraries/gstreamer/rs/default.nix index 50c2308d0811..e0d324936dd0 100644 --- a/nixpkgs/pkgs/development/libraries/gstreamer/rs/default.nix +++ b/nixpkgs/pkgs/development/libraries/gstreamer/rs/default.nix @@ -242,6 +242,14 @@ stdenv.mkDerivation rec { runHook postCheck ''; + doInstallCheck = (lib.elem "webp" selectedPlugins) && !stdenv.hostPlatform.isStatic && + stdenv.hostPlatform.parsed.kernel.execFormat == lib.systems.parse.execFormats.elf; + installCheckPhase = '' + runHook preInstallCheck + readelf -a $out/lib/gstreamer-1.0/libgstrswebp.so | grep -F 'Shared library: [libwebpdemux.so' + runHook postInstallCheck + ''; + passthru.updateScript = nix-update-script { # use numbered releases rather than gstreamer-* releases extraArgs = [ "--version-regex" "([0-9.]+)" ]; diff --git a/nixpkgs/pkgs/development/libraries/igraph/default.nix b/nixpkgs/pkgs/development/libraries/igraph/default.nix index 69f25fd79c83..dc49780b3290 100644 --- a/nixpkgs/pkgs/development/libraries/igraph/default.nix +++ b/nixpkgs/pkgs/development/libraries/igraph/default.nix @@ -26,13 +26,13 @@ assert (blas.isILP64 == lapack.isILP64 && stdenv.mkDerivation (finalAttrs: { pname = "igraph"; - version = "0.10.6"; + version = "0.10.7"; src = fetchFromGitHub { owner = "igraph"; repo = finalAttrs.pname; rev = finalAttrs.version; - hash = "sha256-HNc+xU7Gcv9BSpb2OgyG9tCbk/dfWw5Ix1c2gvFZklE="; + hash = "sha256-1ge5V9G2jmIWQE5TW7+6cXCV9viFkhcnjpYrLQVLrgg="; }; postPatch = '' @@ -92,6 +92,10 @@ stdenv.mkDerivation (finalAttrs: { install_name_tool -change libblas.dylib ${blas}/lib/libblas.dylib $out/lib/libigraph.dylib ''; + passthru.tests = { + python = python3.pkgs.igraph; + }; + meta = with lib; { description = "C library for complex network analysis and graph theory"; homepage = "https://igraph.org/"; diff --git a/nixpkgs/pkgs/development/libraries/java/commons/compress/default.nix b/nixpkgs/pkgs/development/libraries/java/commons/compress/default.nix index bda8a4c0dd1f..87dbd16d86c4 100644 --- a/nixpkgs/pkgs/development/libraries/java/commons/compress/default.nix +++ b/nixpkgs/pkgs/development/libraries/java/commons/compress/default.nix @@ -1,12 +1,12 @@ { lib, stdenv, fetchurl }: stdenv.mkDerivation rec { - version = "1.23.0"; + version = "1.24.0"; pname = "commons-compress"; src = fetchurl { url = "mirror://apache/commons/compress/binaries/${pname}-${version}-bin.tar.gz"; - sha256 = "sha256-m+7cc7h9xVXKlLBTTr2L91AFWDTN+hNSycxDNO0oBAI="; + sha256 = "sha256-VQzXg16rnrghsRY2H3NnGJ+0HEbz8/Num7Xlm9pEqqw="; }; installPhase = '' diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/fetch.sh b/nixpkgs/pkgs/development/libraries/kde-frameworks/fetch.sh index 9fb48b6829aa..c72caa6d585d 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/fetch.sh +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/fetch.sh @@ -1 +1 @@ -WGET_ARGS=( https://download.kde.org/stable/frameworks/5.109/ -A '*.tar.xz' ) +WGET_ARGS=( https://download.kde.org/stable/frameworks/5.110/ -A '*.tar.xz' ) diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/srcs.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/srcs.nix index 8bc39bd28451..1fd8a2ba15a0 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/srcs.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/srcs.nix @@ -1,670 +1,670 @@ # DO NOT EDIT! This file is generated automatically. -# Command: ./maintainers/scripts/fetch-kde-qt.sh pkgs/development/libraries/kde-frameworks +# Command: ./maintainers/scripts/fetch-kde-qt.sh pkgs/development/libraries/kde-frameworks/ { fetchurl, mirror }: { attica = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/attica-5.109.0.tar.xz"; - sha256 = "1w80fkmwpg5s7k8vgl6p47yw4qw9yh49ngd6lq74r0gxminyqabk"; - name = "attica-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/attica-5.110.0.tar.xz"; + sha256 = "1lp7y0r3npv93kcw1fkgl8c2njbs6y4m8cg32b60pyjahfqspxd6"; + name = "attica-5.110.0.tar.xz"; }; }; baloo = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/baloo-5.109.0.tar.xz"; - sha256 = "1rjv19r39wpjcvbsi0d6853l9zp710mxzf2yzzs26nmjgdcrcvwk"; - name = "baloo-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/baloo-5.110.0.tar.xz"; + sha256 = "0bg2nyp7zp1mka7ng8bwcd0hrbglrdiz7xw43r9q8wycr9qmva1n"; + name = "baloo-5.110.0.tar.xz"; }; }; bluez-qt = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/bluez-qt-5.109.0.tar.xz"; - sha256 = "173lm1qr0dhbyy2c2m9wz1zb5l095kh38l31akcqrjfzaa8kdhl9"; - name = "bluez-qt-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/bluez-qt-5.110.0.tar.xz"; + sha256 = "1xvr85i0lkdpca64dzd7wqasc7acpzvh2kawl9nrfkrn96vrm0cz"; + name = "bluez-qt-5.110.0.tar.xz"; }; }; breeze-icons = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/breeze-icons-5.109.0.tar.xz"; - sha256 = "1cjl1hw2b8srglagnqv9n4c9d066r6dbwfa341v7brjgbzl0nyp0"; - name = "breeze-icons-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/breeze-icons-5.110.0.tar.xz"; + sha256 = "1m5z8g7rvilvwfn65yazci51i83ixv7fc5sh2v5vgxrlmhbysg0s"; + name = "breeze-icons-5.110.0.tar.xz"; }; }; extra-cmake-modules = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/extra-cmake-modules-5.109.0.tar.xz"; - sha256 = "1dvzid3kvm4p1h8n7f6z1gk1x38pg0aj9zripz9y864prxbva9hm"; - name = "extra-cmake-modules-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/extra-cmake-modules-5.110.0.tar.xz"; + sha256 = "0f347y8q3ckgfq4skh2q69n67v3w9k680db0br4f43i37vdzaikp"; + name = "extra-cmake-modules-5.110.0.tar.xz"; }; }; frameworkintegration = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/frameworkintegration-5.109.0.tar.xz"; - sha256 = "09s4cbj2b8br1agwmdxizkg7yfb0iam7lbsl267hs48qdwdiykcx"; - name = "frameworkintegration-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/frameworkintegration-5.110.0.tar.xz"; + sha256 = "0ghl5p01g3jdj75wzyjwq4b0l0p98r0vkkf6zj6d3lbax207z0sq"; + name = "frameworkintegration-5.110.0.tar.xz"; }; }; kactivities = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kactivities-5.109.0.tar.xz"; - sha256 = "1wajk90vby4f590mjb3nn2lw3p0k58l16s7ci6pi5il7m1qyyzhw"; - name = "kactivities-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kactivities-5.110.0.tar.xz"; + sha256 = "1c1456jc3s7cl2l3kmkgprgngip0j9c7ssd0b0fvjd41dwhzhra5"; + name = "kactivities-5.110.0.tar.xz"; }; }; kactivities-stats = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kactivities-stats-5.109.0.tar.xz"; - sha256 = "0w6j69xkjnrg44vlb7wnd8frc7ry4xyj7zkqqvhvqnh33731dl6v"; - name = "kactivities-stats-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kactivities-stats-5.110.0.tar.xz"; + sha256 = "1agqsdgbmglrzpg9w4df9qdg4hf8g1nnnkq7adp6cxsj3x8c8zx4"; + name = "kactivities-stats-5.110.0.tar.xz"; }; }; kapidox = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kapidox-5.109.0.tar.xz"; - sha256 = "1h1al4pm47nxh72z6p2d5vjzylpnbvz0pz01cyzs9mn4yl3vbk8v"; - name = "kapidox-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kapidox-5.110.0.tar.xz"; + sha256 = "1qi2mcslw0gsxc6p5q78lhg3if01j8dhxf0ypwb8njsfjcr45d24"; + name = "kapidox-5.110.0.tar.xz"; }; }; karchive = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/karchive-5.109.0.tar.xz"; - sha256 = "0rn9pcdivvi53afdvzalham329vbrslam1yl07lj820rwk102jlw"; - name = "karchive-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/karchive-5.110.0.tar.xz"; + sha256 = "1pqc0j4xkhwc6gdgg1q7pl3hjnrscwz8vbdz8jbvpaz51cy5iipw"; + name = "karchive-5.110.0.tar.xz"; }; }; kauth = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kauth-5.109.0.tar.xz"; - sha256 = "1bm0sy2yzikzs71nmlgyz4xmnr73rlb21rcam0366cy9rgy82z9z"; - name = "kauth-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kauth-5.110.0.tar.xz"; + sha256 = "1yymmyvhqgrwdpy5c2narh6d0ac41mw9ifrhckcyr22kdyrmgcz1"; + name = "kauth-5.110.0.tar.xz"; }; }; kbookmarks = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kbookmarks-5.109.0.tar.xz"; - sha256 = "01hzs023yzw9kxmi81qncikks25c5vc1widp9lmhzj044mmrp5sd"; - name = "kbookmarks-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kbookmarks-5.110.0.tar.xz"; + sha256 = "1k04mcfciv3gq4qw5gkpq7189wfxxlr427h4827m3hs3ysbgc4vh"; + name = "kbookmarks-5.110.0.tar.xz"; }; }; kcalendarcore = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kcalendarcore-5.109.0.tar.xz"; - sha256 = "1m6s9qjcmf6hmysvhw4fj0y5rj1l2b363yvnxb4f832lmkif10c5"; - name = "kcalendarcore-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kcalendarcore-5.110.0.tar.xz"; + sha256 = "19zb1g4lbiqy4vcay6hbjx9ak5r00frfn1hahpc544q9l0dznl52"; + name = "kcalendarcore-5.110.0.tar.xz"; }; }; kcmutils = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kcmutils-5.109.0.tar.xz"; - sha256 = "0ihb05azlb9nkd6z2jqw8rzbr5kj1vhyrla00idk50v502dnp7h0"; - name = "kcmutils-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kcmutils-5.110.0.tar.xz"; + sha256 = "0ccgrd757ww890nvajw1s9yvq6iikp316q123rfminrc0mlrpzaq"; + name = "kcmutils-5.110.0.tar.xz"; }; }; kcodecs = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kcodecs-5.109.0.tar.xz"; - sha256 = "19acjg4dk40f2a6gp8mspi4mddnpgzwy94903925a1rc482zwj4n"; - name = "kcodecs-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kcodecs-5.110.0.tar.xz"; + sha256 = "1i15q8kg1dn72sxg9djvg9h4mhqh9rgvnsf3bz0pjd5jbwqqyv1v"; + name = "kcodecs-5.110.0.tar.xz"; }; }; kcompletion = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kcompletion-5.109.0.tar.xz"; - sha256 = "1wdalk1b1p999q4354k0anjqdvpvk9q6mlwc2dnz322bcq1adi3j"; - name = "kcompletion-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kcompletion-5.110.0.tar.xz"; + sha256 = "0a9l6p9kfg074wxz0r9dn43baibrbzbh80x60rds2jaf3yjg212g"; + name = "kcompletion-5.110.0.tar.xz"; }; }; kconfig = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kconfig-5.109.0.tar.xz"; - sha256 = "1n3siz3iqbk6izfk5awqnrxsbjnfardp7hvbacfkwbb8zd8ibaav"; - name = "kconfig-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kconfig-5.110.0.tar.xz"; + sha256 = "1i9idh0rh8ryry5gf22wwgzd15y14jymxjdxbkgx13kqpfyqhaxd"; + name = "kconfig-5.110.0.tar.xz"; }; }; kconfigwidgets = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kconfigwidgets-5.109.0.tar.xz"; - sha256 = "1gqlsqnfdscr22zam2sxnwqq13a9g87bhq80h2vwx48sznaglrqy"; - name = "kconfigwidgets-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kconfigwidgets-5.110.0.tar.xz"; + sha256 = "04mlw41xdps7qgnmmccqgs7jc5iipx2vqp9bd91l3sz4p90wj3sg"; + name = "kconfigwidgets-5.110.0.tar.xz"; }; }; kcontacts = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kcontacts-5.109.0.tar.xz"; - sha256 = "1byfsmpfwrk1zmrasz38lmsbh5yr8ds3mshhmwf2m2v7s5kmf789"; - name = "kcontacts-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kcontacts-5.110.0.tar.xz"; + sha256 = "0gib8nlis59kbhydqvf6alwxvy4db94r2p3vpbcdy48gc4i06344"; + name = "kcontacts-5.110.0.tar.xz"; }; }; kcoreaddons = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kcoreaddons-5.109.0.tar.xz"; - sha256 = "002ky4ixjhjcb9p2fzmygaxcg8gjf04aym0q4q7kfqnxsk0pyr7z"; - name = "kcoreaddons-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kcoreaddons-5.110.0.tar.xz"; + sha256 = "0xcd2ph62a7kbm8camp1vnsxlaq1kmqm9hw9gyphcdh0rh6fi3bf"; + name = "kcoreaddons-5.110.0.tar.xz"; }; }; kcrash = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kcrash-5.109.0.tar.xz"; - sha256 = "1yvnnbsxq37q3rbghy4ynhd2578ld6zrxz5glgwv8krzh13x35if"; - name = "kcrash-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kcrash-5.110.0.tar.xz"; + sha256 = "15j70r6afc0lyg41047r27l089gkq8fh39w9iyvhv0h8hfxxah6g"; + name = "kcrash-5.110.0.tar.xz"; }; }; kdav = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kdav-5.109.0.tar.xz"; - sha256 = "080nj1m9ds4h47vgacmg01kh44qbf7xlzvg0ax8sv517p8h9vdn8"; - name = "kdav-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kdav-5.110.0.tar.xz"; + sha256 = "0qz5iq9fi1vk1z7w4wdh7kxrc06vnyrvs7n0llyrjaprzjn8yx6a"; + name = "kdav-5.110.0.tar.xz"; }; }; kdbusaddons = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kdbusaddons-5.109.0.tar.xz"; - sha256 = "0dghclcj5xakffj4567c9nfgcd009wnzass068d781h03ay7c615"; - name = "kdbusaddons-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kdbusaddons-5.110.0.tar.xz"; + sha256 = "0ilzk67h5cxrjf78hw505pvbqvd2lkjk3m0g188pcw0sdg10xb8h"; + name = "kdbusaddons-5.110.0.tar.xz"; }; }; kdeclarative = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kdeclarative-5.109.0.tar.xz"; - sha256 = "1qrp255wylmynhkha1vrswvqdzfamv4vwq4j1sk74bvc5sxla9d2"; - name = "kdeclarative-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kdeclarative-5.110.0.tar.xz"; + sha256 = "1vcqdi4lji97wm5vil2p1g7wi6rwrz0g6aiqf1nzi026fpsc8njj"; + name = "kdeclarative-5.110.0.tar.xz"; }; }; kded = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kded-5.109.0.tar.xz"; - sha256 = "14v262f5rv1s504kj1g97brfya62vpvkx01qf5i7n71s29ymsfry"; - name = "kded-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kded-5.110.0.tar.xz"; + sha256 = "1n8hzkwhqrx4mb7ahqnkga01zslcp82ya22hppfapldy83bfrgyl"; + name = "kded-5.110.0.tar.xz"; }; }; kdelibs4support = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/portingAids/kdelibs4support-5.109.0.tar.xz"; - sha256 = "06za54isyk6ygywy78s0b2zi09lcwv1ay5h69sz9vkri6b3856i0"; - name = "kdelibs4support-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/portingAids/kdelibs4support-5.110.0.tar.xz"; + sha256 = "119hhc0f862kzr5flrlpg9b8xlcl1qxa5xkccad0hpba76pk2af4"; + name = "kdelibs4support-5.110.0.tar.xz"; }; }; kdesignerplugin = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/portingAids/kdesignerplugin-5.109.0.tar.xz"; - sha256 = "0afbj0hkrw98xw6v9saim6gpckvmkzl6f1qlx6vsl54yghysih9d"; - name = "kdesignerplugin-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/portingAids/kdesignerplugin-5.110.0.tar.xz"; + sha256 = "146i8n9rrajh03x180z48qi8dn31dywsz052bhbn4yw61ag4w4nc"; + name = "kdesignerplugin-5.110.0.tar.xz"; }; }; kdesu = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kdesu-5.109.0.tar.xz"; - sha256 = "05fla14ar2418frvdw4ygp0zy6d00c50q9w8a3rw7qa91crh08zy"; - name = "kdesu-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kdesu-5.110.0.tar.xz"; + sha256 = "0ll5k4lpn1v4bc365w2ky0qszikfz2r589ni8iyk109qdqciyrr9"; + name = "kdesu-5.110.0.tar.xz"; }; }; kdewebkit = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/portingAids/kdewebkit-5.109.0.tar.xz"; - sha256 = "052mznnjhvpjvd5blrj7xiq6kqjabckwpixmfpv9km4rqpmc11wl"; - name = "kdewebkit-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/portingAids/kdewebkit-5.110.0.tar.xz"; + sha256 = "0p09lby7csx3j513lm91k247iwxby423cz7da51n20qncan8g65v"; + name = "kdewebkit-5.110.0.tar.xz"; }; }; kdnssd = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kdnssd-5.109.0.tar.xz"; - sha256 = "0gc8wmxzv0k9p1cj1bri78b9f7fpd0zbiq4q6j8ad9xhyg3nlmrp"; - name = "kdnssd-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kdnssd-5.110.0.tar.xz"; + sha256 = "0xmahgn572ah8ji4d4afalcl7r2krn971ix5jwcqgrj57m5haj45"; + name = "kdnssd-5.110.0.tar.xz"; }; }; kdoctools = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kdoctools-5.109.0.tar.xz"; - sha256 = "17g6a19ayy4p9xws1dp4336wp8c9x1r1cfdyvbcmfn5s09g5nkm4"; - name = "kdoctools-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kdoctools-5.110.0.tar.xz"; + sha256 = "1g05gppc6qzkag1x18anymbwdswpg32w6jh12x9jfj79vcp7wg4j"; + name = "kdoctools-5.110.0.tar.xz"; }; }; kemoticons = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kemoticons-5.109.0.tar.xz"; - sha256 = "0sy86by8n6nhrv4vr1rydrzp4yif5iw5wrbq6wnk3wi1nva1v8ph"; - name = "kemoticons-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kemoticons-5.110.0.tar.xz"; + sha256 = "1r1d3kw6wzw63kq9wy4ic2b9hcnmm4rs7v9f1z9jhq9m1jp0zy12"; + name = "kemoticons-5.110.0.tar.xz"; }; }; kfilemetadata = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kfilemetadata-5.109.0.tar.xz"; - sha256 = "1smlj047vsg1j505si8fxl5cr3245f8k07ng1bhdwsdvrf1dl95m"; - name = "kfilemetadata-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kfilemetadata-5.110.0.tar.xz"; + sha256 = "07ma48iq5vpnj391shm3s9an3rqhxskfziw6pksmzxxnga0whbl9"; + name = "kfilemetadata-5.110.0.tar.xz"; }; }; kglobalaccel = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kglobalaccel-5.109.0.tar.xz"; - sha256 = "1qm9s7ibm4hq8i139d9hdrhdgcdf6r8r34z4rdb4v3v2nfkmx3m5"; - name = "kglobalaccel-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kglobalaccel-5.110.0.tar.xz"; + sha256 = "1iw22vyrk07pzcsh41cvfp8i8589jm1yqn1cx1ad5rmryzsjalzp"; + name = "kglobalaccel-5.110.0.tar.xz"; }; }; kguiaddons = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kguiaddons-5.109.0.tar.xz"; - sha256 = "1gbzrqvg7j534idy6sy5k8lziqv0pq4b9fmndhv0yqxjn71ncz90"; - name = "kguiaddons-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kguiaddons-5.110.0.tar.xz"; + sha256 = "0ajmxj8nhis6f4hwd64s9qfw3hbip80xrdy3d1wksykbq7g5b89c"; + name = "kguiaddons-5.110.0.tar.xz"; }; }; kholidays = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kholidays-5.109.0.tar.xz"; - sha256 = "0kbg7g1hd40zzjd261rzzpj408yg7dwkgmvcgcqpwy1wcniilnh2"; - name = "kholidays-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kholidays-5.110.0.tar.xz"; + sha256 = "0zikajmic93wqgy9865pf61sdlnsyzzf2jal7bj25is7a1mk8mjc"; + name = "kholidays-5.110.0.tar.xz"; }; }; khtml = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/portingAids/khtml-5.109.0.tar.xz"; - sha256 = "1rr54xx842dxbvf78srfmgylgc3j7c6lyk579j4x92i1hd2fgaar"; - name = "khtml-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/portingAids/khtml-5.110.0.tar.xz"; + sha256 = "17d87vjim32mn0s1d9zl9342aamqg4xmi6xh6d8ghrgms3vqc7in"; + name = "khtml-5.110.0.tar.xz"; }; }; ki18n = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/ki18n-5.109.0.tar.xz"; - sha256 = "0ifzbj5w910q93dw0zm24bdjx64cn1f336a1aqp1wb089fwnr2yx"; - name = "ki18n-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/ki18n-5.110.0.tar.xz"; + sha256 = "03qks9kncvazq2wz3myrjgz5m0gjxm80m1ayv9vjndyyc74a9smw"; + name = "ki18n-5.110.0.tar.xz"; }; }; kiconthemes = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kiconthemes-5.109.0.tar.xz"; - sha256 = "0v76d17kaqvsfq7y2lpa6sqd579m4zzbg0q6d4i81q78vfrzn6fk"; - name = "kiconthemes-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kiconthemes-5.110.0.tar.xz"; + sha256 = "0bb6r7jaknjyhyjhdrlji320qgb7cgxshcgab0209zk8dl8a510d"; + name = "kiconthemes-5.110.0.tar.xz"; }; }; kidletime = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kidletime-5.109.0.tar.xz"; - sha256 = "1pra4a0wh3smgk31814dkd1rqfralzhccid0c9rpi1h3wyk1lfs4"; - name = "kidletime-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kidletime-5.110.0.tar.xz"; + sha256 = "0hc30778d1k0vm4qsp58cf3d5bnws328qxazm8d5a6kxdc7izz44"; + name = "kidletime-5.110.0.tar.xz"; }; }; kimageformats = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kimageformats-5.109.0.tar.xz"; - sha256 = "11qnb7mh6c6jzh98l4frzzmrr2pk6nhqwjq9l06py67sl0dkwlqm"; - name = "kimageformats-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kimageformats-5.110.0.tar.xz"; + sha256 = "0ivks2c2kgd26pr0n0b4x3hb7dmmq52vlp7f6ny14qpvm3cgnscd"; + name = "kimageformats-5.110.0.tar.xz"; }; }; kinit = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kinit-5.109.0.tar.xz"; - sha256 = "18p186bxn438v79ssgf8wlp9ds7silpvqjwgcbh9kjh2k17jv4ax"; - name = "kinit-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kinit-5.110.0.tar.xz"; + sha256 = "0ps2299hf02yvgs971cb4bljbmdbcvcmm2xqz6q0h8asjkpkilv5"; + name = "kinit-5.110.0.tar.xz"; }; }; kio = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kio-5.109.0.tar.xz"; - sha256 = "0qhckh2a2823fh3dijzvfrja7ashn67gyqpny3234nbz2vpnjnpn"; - name = "kio-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kio-5.110.0.tar.xz"; + sha256 = "0sy91zlk60q5jligxp870srfc6fhd3fyk5yamkg266yfvyy9m3r2"; + name = "kio-5.110.0.tar.xz"; }; }; kirigami2 = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kirigami2-5.109.0.tar.xz"; - sha256 = "1zf0rz86y1lja47f0zv8q9dwghjlqxqqkv6val9h2qqqihc6p2yc"; - name = "kirigami2-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kirigami2-5.110.0.tar.xz"; + sha256 = "13j9z0nha3wq97apgkj43bayqijpgy6a2l7f9iryww054aqdjggx"; + name = "kirigami2-5.110.0.tar.xz"; }; }; kitemmodels = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kitemmodels-5.109.0.tar.xz"; - sha256 = "1w5h7asmgq8fmcm3329qjm113m7a9hpfdk4hvkmj919nfsdfbw0n"; - name = "kitemmodels-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kitemmodels-5.110.0.tar.xz"; + sha256 = "06gym33644npci4crhykyfyp2v74pya72kdzmqh4lkzp252pyfhj"; + name = "kitemmodels-5.110.0.tar.xz"; }; }; kitemviews = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kitemviews-5.109.0.tar.xz"; - sha256 = "1af2v0a2abxjn60d2yd3xj2khhy37a76gxmb0k8sjdvpy2wznnad"; - name = "kitemviews-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kitemviews-5.110.0.tar.xz"; + sha256 = "1nqbypn0crbaqa8x19z0fh8mqbr8wbf8nc8wg0irzp32js9vcqyp"; + name = "kitemviews-5.110.0.tar.xz"; }; }; kjobwidgets = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kjobwidgets-5.109.0.tar.xz"; - sha256 = "1dy2lx89v5hlvj37g1vc0bzbgya2sl1i17bwjpzl53461nwda3l6"; - name = "kjobwidgets-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kjobwidgets-5.110.0.tar.xz"; + sha256 = "1bl7igakmh1ipiamigs5s8fj6fy905b3j1dqgq9hxdxk59k1r1h2"; + name = "kjobwidgets-5.110.0.tar.xz"; }; }; kjs = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/portingAids/kjs-5.109.0.tar.xz"; - sha256 = "0ghki0b8jh41kjgi7cj6gvjhr7kxdhygyzsfrxacbhb2av4bxx55"; - name = "kjs-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/portingAids/kjs-5.110.0.tar.xz"; + sha256 = "0xlkdi7qs75ipf87h8m7bvjn4l28y5qy20hvag1gc370fxz54v15"; + name = "kjs-5.110.0.tar.xz"; }; }; kjsembed = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/portingAids/kjsembed-5.109.0.tar.xz"; - sha256 = "1pbqq0nybdmp5yphzr30ms772l4d0x24svr51dwg3pksnm8hpb9r"; - name = "kjsembed-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/portingAids/kjsembed-5.110.0.tar.xz"; + sha256 = "1ynmj8ac9g9amjz0ljz3wf7sjsrwmz1kfi26r36rpqlf9mmkzfqm"; + name = "kjsembed-5.110.0.tar.xz"; }; }; kmediaplayer = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/portingAids/kmediaplayer-5.109.0.tar.xz"; - sha256 = "09snwxf551j5vg558fxjlrlz13zcvzxl5zj030znxb1jsdvsjqlc"; - name = "kmediaplayer-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/portingAids/kmediaplayer-5.110.0.tar.xz"; + sha256 = "1jhh3gsbibi2hrhswg1nz1mdxn2wir5p9cvqpcqv7k8vm6rb82z3"; + name = "kmediaplayer-5.110.0.tar.xz"; }; }; knewstuff = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/knewstuff-5.109.0.tar.xz"; - sha256 = "0vh7l7pqhsb1nm5pcs86rgrf4i5c9ibfr58b9wnf054a3w6fgj1z"; - name = "knewstuff-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/knewstuff-5.110.0.tar.xz"; + sha256 = "0qld8ijy7z60qdlwa9vaq905xgzyvh5zw6ymngs00axl33m9bbbl"; + name = "knewstuff-5.110.0.tar.xz"; }; }; knotifications = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/knotifications-5.109.0.tar.xz"; - sha256 = "0gf19mh5qy2bxvn4bnj9hb5vbf13hcl827gz1kdcv7bkh0fb9c8j"; - name = "knotifications-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/knotifications-5.110.0.tar.xz"; + sha256 = "0zm3d36v9dgqb3pdwpj962wpngfhq08q9x9rj99f88g9dlnmy6gm"; + name = "knotifications-5.110.0.tar.xz"; }; }; knotifyconfig = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/knotifyconfig-5.109.0.tar.xz"; - sha256 = "1la8xwfmngkbk6pnfi0imr5452d6w5pprki7cc5rkwa8cbyrx7ls"; - name = "knotifyconfig-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/knotifyconfig-5.110.0.tar.xz"; + sha256 = "1651rh0av8lqp8rmb3djizsb8ypihkabprgppla3af2xf446n7wp"; + name = "knotifyconfig-5.110.0.tar.xz"; }; }; kpackage = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kpackage-5.109.0.tar.xz"; - sha256 = "0fxzmmig1674rp81s4f214azf8np2ckdygn2z8zbn169c6zaqbbq"; - name = "kpackage-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kpackage-5.110.0.tar.xz"; + sha256 = "1jd85m7pxzah9d6b3zi2nswvsinx85brkiq142vic5l0rm6l89id"; + name = "kpackage-5.110.0.tar.xz"; }; }; kparts = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kparts-5.109.0.tar.xz"; - sha256 = "17pp6ivhwzv7pcaka1sj25nrcapp01z7ddhyvblh88hcq3waa7bb"; - name = "kparts-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kparts-5.110.0.tar.xz"; + sha256 = "13av8v2kggbvyv8nxganjb88q38g3gbykbkwrigywc3767p838r3"; + name = "kparts-5.110.0.tar.xz"; }; }; kpeople = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kpeople-5.109.0.tar.xz"; - sha256 = "1gmryk89gac6krhfj68iq989zgjh0gpd4fj1p3jpqgxf688p2pix"; - name = "kpeople-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kpeople-5.110.0.tar.xz"; + sha256 = "10drcfjcw00qhdlsficxb07hnnsd93smcig8argznpgwd61f807p"; + name = "kpeople-5.110.0.tar.xz"; }; }; kplotting = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kplotting-5.109.0.tar.xz"; - sha256 = "0506wah3343l6wpncgarzsjl8jwy0av2xm8p6rmx1zvzph3m84fj"; - name = "kplotting-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kplotting-5.110.0.tar.xz"; + sha256 = "1fbzy9k0gx1468qsdd1c8fqaml3c01yy0m6n205y3ymkca78hdbk"; + name = "kplotting-5.110.0.tar.xz"; }; }; kpty = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kpty-5.109.0.tar.xz"; - sha256 = "1w0w0ly7gc5vc2g7z73fmn3bq8cn06h6s214ydsn5byf0awn41lq"; - name = "kpty-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kpty-5.110.0.tar.xz"; + sha256 = "1cx9wszi9zlay0vb9wz9hgbmbq006xgssnzzrmby4q4s6bhb92ps"; + name = "kpty-5.110.0.tar.xz"; }; }; kquickcharts = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kquickcharts-5.109.0.tar.xz"; - sha256 = "1bd1v4yvmxp82j09wrb8vncyb61bq6j8zrhgiiq73darcgsqfcvl"; - name = "kquickcharts-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kquickcharts-5.110.0.tar.xz"; + sha256 = "0s8xnsmhx2m6wn7fmmddzwnwc2yr3kvy85vd65m3avfw073rgj5v"; + name = "kquickcharts-5.110.0.tar.xz"; }; }; kross = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/portingAids/kross-5.109.0.tar.xz"; - sha256 = "1gzmfbzbj0r3znwlrpgrzpgrq7sgw8g3jx2rmqnm80si4cnq11hg"; - name = "kross-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/portingAids/kross-5.110.0.tar.xz"; + sha256 = "169zsxrmbdv5xn6s0wmf1l2a3qghn88hgl714i0cnymq5ixy25x5"; + name = "kross-5.110.0.tar.xz"; }; }; krunner = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/krunner-5.109.0.tar.xz"; - sha256 = "0pzk8srglshniqi3z9j290zxfjxh817ki69j1xcicjk48p3s232w"; - name = "krunner-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/krunner-5.110.0.tar.xz"; + sha256 = "0q3jhq2cswnqj5rdkxhizlv06rsxsm38ipxhcsw6p8zqabi1i351"; + name = "krunner-5.110.0.tar.xz"; }; }; kservice = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kservice-5.109.0.tar.xz"; - sha256 = "15b97bdr3sv3vfgb5zydqg1b8nljxx4rxh8bsvld520d11xfivsy"; - name = "kservice-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kservice-5.110.0.tar.xz"; + sha256 = "0rin6v96mcmw53dzw8sw56g7188623d1k4vs18bv44l86gixdhgg"; + name = "kservice-5.110.0.tar.xz"; }; }; ktexteditor = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/ktexteditor-5.109.0.tar.xz"; - sha256 = "1bgjj9wva884kzd0ywpx34k8wgzdpjnn28yfqjqynmkikr9br8fw"; - name = "ktexteditor-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/ktexteditor-5.110.0.tar.xz"; + sha256 = "0iwzw51km3mr8kdva14mxz9bvcfcf09v5igah2axkjaxazxyigla"; + name = "ktexteditor-5.110.0.tar.xz"; }; }; ktextwidgets = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/ktextwidgets-5.109.0.tar.xz"; - sha256 = "180x3rblab5yk6lmbd2310552dhn3vfjalccraq3rqzgvvkh439q"; - name = "ktextwidgets-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/ktextwidgets-5.110.0.tar.xz"; + sha256 = "0cr7n58mak928dysyqhsr1pj0w90amikx9jav4gs4lzb4m4rjp7q"; + name = "ktextwidgets-5.110.0.tar.xz"; }; }; kunitconversion = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kunitconversion-5.109.0.tar.xz"; - sha256 = "1n46qj6am3mkg2apq9g5kvpxvgv0czzvr2a8jqv6rj677ii0kfhl"; - name = "kunitconversion-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kunitconversion-5.110.0.tar.xz"; + sha256 = "083w0gz157j2g8qzm03yq3qwq58wafcq26qcc2ly2fksyyxkzzda"; + name = "kunitconversion-5.110.0.tar.xz"; }; }; kwallet = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kwallet-5.109.0.tar.xz"; - sha256 = "1s34lwi42pkiqyd16mvy5w6khlrpk0dp5v3q37fysmc39q670s4c"; - name = "kwallet-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kwallet-5.110.0.tar.xz"; + sha256 = "0mg5y8cvzvs7w3yy5xnpsps2b6m476l5ilw5kvarrjjpq7ybnkqz"; + name = "kwallet-5.110.0.tar.xz"; }; }; kwayland = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kwayland-5.109.0.tar.xz"; - sha256 = "1pi515hszipy7f1fy4xaabcy9ifrynj0fk3zrnb0827d71ljd2yq"; - name = "kwayland-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kwayland-5.110.0.tar.xz"; + sha256 = "0ggxvywvqfhhhb5370n90dyw0mjwkp3i7rgv58nyqsmby0g08r85"; + name = "kwayland-5.110.0.tar.xz"; }; }; kwidgetsaddons = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kwidgetsaddons-5.109.0.tar.xz"; - sha256 = "1qp2jab238gs88f12hp5h533x25nlsm5ca3gr04imdsiygwp506n"; - name = "kwidgetsaddons-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kwidgetsaddons-5.110.0.tar.xz"; + sha256 = "1cyphs0r5j2v93pwi9mbn6xd928lnhb0zmyfj5pywdx9n7lv0x6a"; + name = "kwidgetsaddons-5.110.0.tar.xz"; }; }; kwindowsystem = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kwindowsystem-5.109.0.tar.xz"; - sha256 = "18n1g5k2dwwdkpyh5vsqfks4qym4z3f39pgcnr9mnyrnzz4pb008"; - name = "kwindowsystem-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kwindowsystem-5.110.0.tar.xz"; + sha256 = "0l3aknr3zqz9zwqlyhnr8n53bcfb22rm38vdiv0l5vpwjbjn0270"; + name = "kwindowsystem-5.110.0.tar.xz"; }; }; kxmlgui = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/kxmlgui-5.109.0.tar.xz"; - sha256 = "1vnsk8jq7s6hgxc9d1dbcdgd9qyf9s2bc3mc0rss10dkpwrls2dl"; - name = "kxmlgui-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/kxmlgui-5.110.0.tar.xz"; + sha256 = "1j8v52ix9sv7q76cvl2gnpjs602ri57kgfh21bvqd88gf2xnwxjq"; + name = "kxmlgui-5.110.0.tar.xz"; }; }; kxmlrpcclient = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/portingAids/kxmlrpcclient-5.109.0.tar.xz"; - sha256 = "1d6hf53rrjql4yvlc35fxdra5zvjl06piaiahqbrg7dqkwl88xdj"; - name = "kxmlrpcclient-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/portingAids/kxmlrpcclient-5.110.0.tar.xz"; + sha256 = "0fzd9amj2j4bw54q8fbgczqf785s6siqr1a8wbqf56wyyhki5psx"; + name = "kxmlrpcclient-5.110.0.tar.xz"; }; }; modemmanager-qt = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/modemmanager-qt-5.109.0.tar.xz"; - sha256 = "1a2nmpl74r813xa3yqql91rh6cmp1sc1wh6627z3av04ir94x5zj"; - name = "modemmanager-qt-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/modemmanager-qt-5.110.0.tar.xz"; + sha256 = "08q43arx9q81rqwhczzcn4cyl5glalwzjncb120a2cihida2m71v"; + name = "modemmanager-qt-5.110.0.tar.xz"; }; }; networkmanager-qt = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/networkmanager-qt-5.109.0.tar.xz"; - sha256 = "0ggyv5ml2668vj0hgajmfvs7i95hi3asdb7sb6sciirg71vmshbz"; - name = "networkmanager-qt-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/networkmanager-qt-5.110.0.tar.xz"; + sha256 = "1bnlvpfhw6l64rgaxx9zkxd5wmwvyal5xmv31vxzf92ig6sgjdqq"; + name = "networkmanager-qt-5.110.0.tar.xz"; }; }; oxygen-icons5 = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/oxygen-icons5-5.109.0.tar.xz"; - sha256 = "02f6flvgxnqggn7j638z7iny4nxgdvq5rqz4va1wvwj5ck0v9prb"; - name = "oxygen-icons5-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/oxygen-icons5-5.110.0.tar.xz"; + sha256 = "1dmig458gbl0ypb99kj514nwl5gbjpfvixw9lipgc2wwnn1nkia2"; + name = "oxygen-icons5-5.110.0.tar.xz"; }; }; plasma-framework = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/plasma-framework-5.109.0.tar.xz"; - sha256 = "138r00ya985n8ygi28yfmq1i32kai2y1r0h97i09m6zd6v0x23k1"; - name = "plasma-framework-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/plasma-framework-5.110.0.tar.xz"; + sha256 = "0jfln8lrzmcnkqhl8pij5w6mdj6g25rwc332f07g9465y9ap07cf"; + name = "plasma-framework-5.110.0.tar.xz"; }; }; prison = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/prison-5.109.0.tar.xz"; - sha256 = "1pmwx1ch6jmq96xh778slmm3hd0gci8hn3wwmbj3amx2mpddf2c1"; - name = "prison-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/prison-5.110.0.tar.xz"; + sha256 = "019a3z18gq7nb3ahf5dd3b5fixzyfklg60dk2w4win2w19s70wb7"; + name = "prison-5.110.0.tar.xz"; }; }; purpose = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/purpose-5.109.0.tar.xz"; - sha256 = "04nczmx08fxrazzsd45jjcvfmsbilvqz4rsf8zcdh0nmlcpmncri"; - name = "purpose-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/purpose-5.110.0.tar.xz"; + sha256 = "0nl6qh7j5c3ijnq0qw1a5jmj1x5nb9hlssjjn8fdvfr7q6z67rsc"; + name = "purpose-5.110.0.tar.xz"; }; }; qqc2-desktop-style = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/qqc2-desktop-style-5.109.0.tar.xz"; - sha256 = "0fqck5sck8zy70r2mls5g3sgjryvrzibhzls4lbw61yw3zgbl3kh"; - name = "qqc2-desktop-style-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/qqc2-desktop-style-5.110.0.tar.xz"; + sha256 = "04pyhlr89azw0kyjxfpx6phxljck8yiflcszd4xkgiw3n9rjyg3g"; + name = "qqc2-desktop-style-5.110.0.tar.xz"; }; }; solid = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/solid-5.109.0.tar.xz"; - sha256 = "0sfm9c5r2bh766ws2y8zr9pshkbnxnc3dnsxfi41lwcj2xnznkdw"; - name = "solid-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/solid-5.110.0.tar.xz"; + sha256 = "1k64cqlws7nxki21cwg197avfnxsxpw3isry5p7bqyfmq45ydcvd"; + name = "solid-5.110.0.tar.xz"; }; }; sonnet = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/sonnet-5.109.0.tar.xz"; - sha256 = "1fpf8q0wx821zfm64kfmpsfyixd8d6rd0gzcbzwimxmmm1aacfsr"; - name = "sonnet-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/sonnet-5.110.0.tar.xz"; + sha256 = "16qk63yy1y03z4rlc08qzr7mmds1yz0k9x1ws2nzp47khkza250i"; + name = "sonnet-5.110.0.tar.xz"; }; }; syndication = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/syndication-5.109.0.tar.xz"; - sha256 = "1jhmv39jv6h8yq9c3y6ikx6bykff6n9l522q7bp1prg1p03a4q95"; - name = "syndication-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/syndication-5.110.0.tar.xz"; + sha256 = "0dsd05ckfv9fdnrbgprriba7lbbfs2z9qv869pcr4n7pn7x778sd"; + name = "syndication-5.110.0.tar.xz"; }; }; syntax-highlighting = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/syntax-highlighting-5.109.0.tar.xz"; - sha256 = "1ixms1vcf9ydk6qgz42g61ac6bqkmbb313k51ymk7kidx7l7lqwy"; - name = "syntax-highlighting-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/syntax-highlighting-5.110.0.tar.xz"; + sha256 = "0gbmgan0cy4xhjcf10g0lffhwvkhhpcgbnk190xlzl4chnmpq9w5"; + name = "syntax-highlighting-5.110.0.tar.xz"; }; }; threadweaver = { - version = "5.109.0"; + version = "5.110.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.109/threadweaver-5.109.0.tar.xz"; - sha256 = "1q6jqawfbwjcfqd57aryd2bw52adkr05lrbij98pix6482am4x3j"; - name = "threadweaver-5.109.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.110/threadweaver-5.110.0.tar.xz"; + sha256 = "085y4m7z0rybsvpqzl2sjwnf8yjm4lnc3n49idj2c0psm8v5ksm0"; + name = "threadweaver-5.110.0.tar.xz"; }; }; } diff --git a/nixpkgs/pkgs/development/libraries/ldb/default.nix b/nixpkgs/pkgs/development/libraries/ldb/default.nix index 753dee9e3f0c..95547fb6382a 100644 --- a/nixpkgs/pkgs/development/libraries/ldb/default.nix +++ b/nixpkgs/pkgs/development/libraries/ldb/default.nix @@ -11,7 +11,7 @@ , docbook-xsl-nons , docbook_xml_dtd_42 , cmocka -, waf +, wafHook , libxcrypt }: @@ -29,7 +29,7 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ pkg-config python3 - waf.hook + wafHook libxslt docbook-xsl-nons docbook_xml_dtd_42 diff --git a/nixpkgs/pkgs/development/libraries/libcef/default.nix b/nixpkgs/pkgs/development/libraries/libcef/default.nix index 3863710f3c8c..c63ef6d2dc61 100644 --- a/nixpkgs/pkgs/development/libraries/libcef/default.nix +++ b/nixpkgs/pkgs/development/libraries/libcef/default.nix @@ -66,16 +66,16 @@ let projectArch = "x86_64"; }; }; - platforms."aarch64-linux".sha256 = "0xzgcnh45x3sqg0jndp9g08zy9bvzvmvfz8imj12j55vkm6f7kl6"; - platforms."x86_64-linux".sha256 = "1942mwlyrz5pxlx9kcnz85rqbz8q8slkivx0001z30l7a6pizgg5"; + platforms."aarch64-linux".sha256 = "1d3ign2bhv1821k0jgmakzgqlpwy358iggrgxnbxswa42ckk9m6d"; + platforms."x86_64-linux".sha256 = "188hd7b11963f23y9rb0n747ssffdc80cdr1hpgwn55cmwhd8gbj"; platformInfo = builtins.getAttr stdenv.targetPlatform.system platforms; in stdenv.mkDerivation rec { pname = "cef-binary"; - version = "116.0.20"; - gitRevision = "d6abd3c"; - chromiumVersion = "116.0.5845.180"; + version = "116.0.21"; + gitRevision = "9c7dc32"; + chromiumVersion = "116.0.5845.181"; src = fetchurl { url = "https://cef-builds.spotifycdn.com/cef_binary_${version}+g${gitRevision}+chromium-${chromiumVersion}_${platformInfo.platformStr}_minimal.tar.bz2"; diff --git a/nixpkgs/pkgs/development/libraries/libinput/default.nix b/nixpkgs/pkgs/development/libraries/libinput/default.nix index c8e9efdfa770..a159a1fb5f62 100644 --- a/nixpkgs/pkgs/development/libraries/libinput/default.nix +++ b/nixpkgs/pkgs/development/libraries/libinput/default.nix @@ -45,7 +45,7 @@ in stdenv.mkDerivation rec { pname = "libinput"; - version = "1.23.0"; + version = "1.24.0"; outputs = [ "bin" "out" "dev" ]; @@ -54,7 +54,7 @@ stdenv.mkDerivation rec { owner = "libinput"; repo = "libinput"; rev = version; - sha256 = "7Wxriy1fVsfAhcfhOhuvLehhmQYrQ2IgZTK53bt12HI="; + sha256 = "sha256-gTcgEZ7cs4jq8w5Genxtio9nVFy7y3n0nNXJ6SVtYHY="; }; patches = [ diff --git a/nixpkgs/pkgs/development/libraries/libkcapi/default.nix b/nixpkgs/pkgs/development/libraries/libkcapi/default.nix new file mode 100644 index 000000000000..bee1ac93598c --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/libkcapi/default.nix @@ -0,0 +1,53 @@ +{ lib +, stdenv +, fetchFromGitHub +, autoreconfHook +, buildPackages + # libkcapi offers multiple tools. They can be disabled for minimization. +, kcapi-test ? true +, kcapi-speed ? true +, kcapi-hasher ? true +, kcapi-rngapp ? true +, kcapi-encapp ? true +, kcapi-dgstapp ? true +}: + +stdenv.mkDerivation rec { + pname = "libkcapi"; + version = "1.4.0"; + + src = fetchFromGitHub { + owner = "smuellerDD"; + repo = "libkcapi"; + rev = "v${version}"; + hash = "sha256-G/4G8179Gc8RfQfQImOCsBC8WXKK7jQJfUSXm0hYLJ0="; + }; + + nativeBuildInputs = [ autoreconfHook ]; + + # libkcapi looks also for a host c compiler when cross-compiling + # otherwise you obtain following error message: + # "error: no acceptable C compiler found in $PATH" + depsBuildBuild = [ + buildPackages.stdenv.cc + ]; + + enableParallelBuilding = true; + + configureFlags = + lib.optional kcapi-test "--enable-kcapi-test" ++ + lib.optional kcapi-speed "--enable-kcapi-speed" ++ + lib.optional kcapi-hasher "--enable-kcapi-hasher" ++ + lib.optional kcapi-rngapp "--enable-kcapi-rngapp" ++ + lib.optional kcapi-encapp "--enable-kcapi-encapp" ++ + lib.optional kcapi-dgstapp "--enable-kcapi-dgstapp" + ; + + meta = { + homepage = "http://www.chronox.de/libkcapi.html"; + description = "Linux Kernel Crypto API User Space Interface Library"; + license = with lib.licenses; [ bsd3 gpl2Only ]; + platforms = lib.platforms.linux; + maintainers = with lib.maintainers; [ orichter thillux ]; + }; +} diff --git a/nixpkgs/pkgs/development/libraries/libvirt/default.nix b/nixpkgs/pkgs/development/libraries/libvirt/default.nix index 9b63d4aa38e7..658a24b8d814 100644 --- a/nixpkgs/pkgs/development/libraries/libvirt/default.nix +++ b/nixpkgs/pkgs/development/libraries/libvirt/default.nix @@ -114,13 +114,13 @@ stdenv.mkDerivation rec { # NOTE: You must also bump: # <nixpkgs/pkgs/development/python-modules/libvirt/default.nix> # SysVirt in <nixpkgs/pkgs/top-level/perl-packages.nix> - version = "9.6.0"; + version = "9.7.0"; src = fetchFromGitLab { owner = pname; repo = pname; rev = "v${version}"; - sha256 = "sha256-dQr6bUaZOX1MN+MZxbsPqbv3bsyyWBM0SBYlSnV04K0="; + sha256 = "sha256-7huo2UsbNG/HG2dpdVT5qcJuFmYZE6Jdave8Gb1kHME="; fetchSubmodules = true; }; diff --git a/nixpkgs/pkgs/development/libraries/libwebp/CVE-2023-4863.patch b/nixpkgs/pkgs/development/libraries/libwebp/CVE-2023-4863.patch new file mode 100644 index 000000000000..c01b8a486675 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/libwebp/CVE-2023-4863.patch @@ -0,0 +1,361 @@ +From 4de93ac70c3292fc944e4587101a52a29f8b0c9c Mon Sep 17 00:00:00 2001 +From: Vincent Rabaud <vrabaud@google.com> +Date: Thu, 7 Sep 2023 21:16:03 +0200 +Subject: [PATCH] Fix OOB write in BuildHuffmanTable. + +First, BuildHuffmanTable is called to check if the data is valid. +If it is and the table is not big enough, more memory is allocated. + +This will make sure that valid (but unoptimized because of unbalanced +codes) streams are still decodable. + +Bug: chromium:1479274 +Change-Id: I31c36dbf3aa78d35ecf38706b50464fd3d375741 +(cherry picked from commit 902bc9190331343b2017211debcec8d2ab87e17a) +--- + src/dec/vp8l_dec.c | 46 ++++++++++--------- + src/dec/vp8li_dec.h | 2 +- + src/utils/huffman_utils.c | 97 +++++++++++++++++++++++++++++++-------- + src/utils/huffman_utils.h | 27 +++++++++-- + 4 files changed, 129 insertions(+), 43 deletions(-) + +diff --git a/src/dec/vp8l_dec.c b/src/dec/vp8l_dec.c +index c0ea0181..7995313f 100644 +--- a/src/dec/vp8l_dec.c ++++ b/src/dec/vp8l_dec.c +@@ -253,11 +253,11 @@ static int ReadHuffmanCodeLengths( + int symbol; + int max_symbol; + int prev_code_len = DEFAULT_CODE_LENGTH; +- HuffmanCode table[1 << LENGTHS_TABLE_BITS]; ++ HuffmanTables tables; + +- if (!VP8LBuildHuffmanTable(table, LENGTHS_TABLE_BITS, +- code_length_code_lengths, +- NUM_CODE_LENGTH_CODES)) { ++ if (!VP8LHuffmanTablesAllocate(1 << LENGTHS_TABLE_BITS, &tables) || ++ !VP8LBuildHuffmanTable(&tables, LENGTHS_TABLE_BITS, ++ code_length_code_lengths, NUM_CODE_LENGTH_CODES)) { + goto End; + } + +@@ -277,7 +277,7 @@ static int ReadHuffmanCodeLengths( + int code_len; + if (max_symbol-- == 0) break; + VP8LFillBitWindow(br); +- p = &table[VP8LPrefetchBits(br) & LENGTHS_TABLE_MASK]; ++ p = &tables.curr_segment->start[VP8LPrefetchBits(br) & LENGTHS_TABLE_MASK]; + VP8LSetBitPos(br, br->bit_pos_ + p->bits); + code_len = p->value; + if (code_len < kCodeLengthLiterals) { +@@ -300,6 +300,7 @@ static int ReadHuffmanCodeLengths( + ok = 1; + + End: ++ VP8LHuffmanTablesDeallocate(&tables); + if (!ok) dec->status_ = VP8_STATUS_BITSTREAM_ERROR; + return ok; + } +@@ -307,7 +308,8 @@ static int ReadHuffmanCodeLengths( + // 'code_lengths' is pre-allocated temporary buffer, used for creating Huffman + // tree. + static int ReadHuffmanCode(int alphabet_size, VP8LDecoder* const dec, +- int* const code_lengths, HuffmanCode* const table) { ++ int* const code_lengths, ++ HuffmanTables* const table) { + int ok = 0; + int size = 0; + VP8LBitReader* const br = &dec->br_; +@@ -362,8 +364,7 @@ static int ReadHuffmanCodes(VP8LDecoder* const dec, int xsize, int ysize, + VP8LMetadata* const hdr = &dec->hdr_; + uint32_t* huffman_image = NULL; + HTreeGroup* htree_groups = NULL; +- HuffmanCode* huffman_tables = NULL; +- HuffmanCode* huffman_table = NULL; ++ HuffmanTables* huffman_tables = &hdr->huffman_tables_; + int num_htree_groups = 1; + int num_htree_groups_max = 1; + int max_alphabet_size = 0; +@@ -372,6 +373,10 @@ static int ReadHuffmanCodes(VP8LDecoder* const dec, int xsize, int ysize, + int* mapping = NULL; + int ok = 0; + ++ // Check the table has been 0 initialized (through InitMetadata). ++ assert(huffman_tables->root.start == NULL); ++ assert(huffman_tables->curr_segment == NULL); ++ + if (allow_recursion && VP8LReadBits(br, 1)) { + // use meta Huffman codes. + const int huffman_precision = VP8LReadBits(br, 3) + 2; +@@ -434,16 +439,15 @@ static int ReadHuffmanCodes(VP8LDecoder* const dec, int xsize, int ysize, + + code_lengths = (int*)WebPSafeCalloc((uint64_t)max_alphabet_size, + sizeof(*code_lengths)); +- huffman_tables = (HuffmanCode*)WebPSafeMalloc(num_htree_groups * table_size, +- sizeof(*huffman_tables)); + htree_groups = VP8LHtreeGroupsNew(num_htree_groups); + +- if (htree_groups == NULL || code_lengths == NULL || huffman_tables == NULL) { ++ if (htree_groups == NULL || code_lengths == NULL || ++ !VP8LHuffmanTablesAllocate(num_htree_groups * table_size, ++ huffman_tables)) { + dec->status_ = VP8_STATUS_OUT_OF_MEMORY; + goto Error; + } + +- huffman_table = huffman_tables; + for (i = 0; i < num_htree_groups_max; ++i) { + // If the index "i" is unused in the Huffman image, just make sure the + // coefficients are valid but do not store them. +@@ -468,19 +472,20 @@ static int ReadHuffmanCodes(VP8LDecoder* const dec, int xsize, int ysize, + int max_bits = 0; + for (j = 0; j < HUFFMAN_CODES_PER_META_CODE; ++j) { + int alphabet_size = kAlphabetSize[j]; +- htrees[j] = huffman_table; + if (j == 0 && color_cache_bits > 0) { + alphabet_size += (1 << color_cache_bits); + } +- size = ReadHuffmanCode(alphabet_size, dec, code_lengths, huffman_table); ++ size = ++ ReadHuffmanCode(alphabet_size, dec, code_lengths, huffman_tables); ++ htrees[j] = huffman_tables->curr_segment->curr_table; + if (size == 0) { + goto Error; + } + if (is_trivial_literal && kLiteralMap[j] == 1) { +- is_trivial_literal = (huffman_table->bits == 0); ++ is_trivial_literal = (htrees[j]->bits == 0); + } +- total_size += huffman_table->bits; +- huffman_table += size; ++ total_size += htrees[j]->bits; ++ huffman_tables->curr_segment->curr_table += size; + if (j <= ALPHA) { + int local_max_bits = code_lengths[0]; + int k; +@@ -515,14 +520,13 @@ static int ReadHuffmanCodes(VP8LDecoder* const dec, int xsize, int ysize, + hdr->huffman_image_ = huffman_image; + hdr->num_htree_groups_ = num_htree_groups; + hdr->htree_groups_ = htree_groups; +- hdr->huffman_tables_ = huffman_tables; + + Error: + WebPSafeFree(code_lengths); + WebPSafeFree(mapping); + if (!ok) { + WebPSafeFree(huffman_image); +- WebPSafeFree(huffman_tables); ++ VP8LHuffmanTablesDeallocate(huffman_tables); + VP8LHtreeGroupsFree(htree_groups); + } + return ok; +@@ -1358,7 +1362,7 @@ static void ClearMetadata(VP8LMetadata* const hdr) { + assert(hdr != NULL); + + WebPSafeFree(hdr->huffman_image_); +- WebPSafeFree(hdr->huffman_tables_); ++ VP8LHuffmanTablesDeallocate(&hdr->huffman_tables_); + VP8LHtreeGroupsFree(hdr->htree_groups_); + VP8LColorCacheClear(&hdr->color_cache_); + VP8LColorCacheClear(&hdr->saved_color_cache_); +@@ -1673,7 +1677,7 @@ int VP8LDecodeImage(VP8LDecoder* const dec) { + + if (dec == NULL) return 0; + +- assert(dec->hdr_.huffman_tables_ != NULL); ++ assert(dec->hdr_.huffman_tables_.root.start != NULL); + assert(dec->hdr_.htree_groups_ != NULL); + assert(dec->hdr_.num_htree_groups_ > 0); + +diff --git a/src/dec/vp8li_dec.h b/src/dec/vp8li_dec.h +index 72b2e861..32540a4b 100644 +--- a/src/dec/vp8li_dec.h ++++ b/src/dec/vp8li_dec.h +@@ -51,7 +51,7 @@ typedef struct { + uint32_t* huffman_image_; + int num_htree_groups_; + HTreeGroup* htree_groups_; +- HuffmanCode* huffman_tables_; ++ HuffmanTables huffman_tables_; + } VP8LMetadata; + + typedef struct VP8LDecoder VP8LDecoder; +diff --git a/src/utils/huffman_utils.c b/src/utils/huffman_utils.c +index 90c2fbf7..cf73abd4 100644 +--- a/src/utils/huffman_utils.c ++++ b/src/utils/huffman_utils.c +@@ -177,21 +177,24 @@ static int BuildHuffmanTable(HuffmanCode* const root_table, int root_bits, + if (num_open < 0) { + return 0; + } +- if (root_table == NULL) continue; + for (; count[len] > 0; --count[len]) { + HuffmanCode code; + if ((key & mask) != low) { +- table += table_size; ++ if (root_table != NULL) table += table_size; + table_bits = NextTableBitSize(count, len, root_bits); + table_size = 1 << table_bits; + total_size += table_size; + low = key & mask; +- root_table[low].bits = (uint8_t)(table_bits + root_bits); +- root_table[low].value = (uint16_t)((table - root_table) - low); ++ if (root_table != NULL) { ++ root_table[low].bits = (uint8_t)(table_bits + root_bits); ++ root_table[low].value = (uint16_t)((table - root_table) - low); ++ } ++ } ++ if (root_table != NULL) { ++ code.bits = (uint8_t)(len - root_bits); ++ code.value = (uint16_t)sorted[symbol++]; ++ ReplicateValue(&table[key >> root_bits], step, table_size, code); + } +- code.bits = (uint8_t)(len - root_bits); +- code.value = (uint16_t)sorted[symbol++]; +- ReplicateValue(&table[key >> root_bits], step, table_size, code); + key = GetNextKey(key, len); + } + } +@@ -211,25 +214,83 @@ static int BuildHuffmanTable(HuffmanCode* const root_table, int root_bits, + ((1 << MAX_CACHE_BITS) + NUM_LITERAL_CODES + NUM_LENGTH_CODES) + // Cut-off value for switching between heap and stack allocation. + #define SORTED_SIZE_CUTOFF 512 +-int VP8LBuildHuffmanTable(HuffmanCode* const root_table, int root_bits, ++int VP8LBuildHuffmanTable(HuffmanTables* const root_table, int root_bits, + const int code_lengths[], int code_lengths_size) { +- int total_size; ++ const int total_size = ++ BuildHuffmanTable(NULL, root_bits, code_lengths, code_lengths_size, NULL); + assert(code_lengths_size <= MAX_CODE_LENGTHS_SIZE); +- if (root_table == NULL) { +- total_size = BuildHuffmanTable(NULL, root_bits, +- code_lengths, code_lengths_size, NULL); +- } else if (code_lengths_size <= SORTED_SIZE_CUTOFF) { ++ if (total_size == 0 || root_table == NULL) return total_size; ++ ++ if (root_table->curr_segment->curr_table + total_size >= ++ root_table->curr_segment->start + root_table->curr_segment->size) { ++ // If 'root_table' does not have enough memory, allocate a new segment. ++ // The available part of root_table->curr_segment is left unused because we ++ // need a contiguous buffer. ++ const int segment_size = root_table->curr_segment->size; ++ struct HuffmanTablesSegment* next = ++ (HuffmanTablesSegment*)WebPSafeMalloc(1, sizeof(*next)); ++ if (next == NULL) return 0; ++ // Fill the new segment. ++ // We need at least 'total_size' but if that value is small, it is better to ++ // allocate a big chunk to prevent more allocations later. 'segment_size' is ++ // therefore chosen (any other arbitrary value could be chosen). ++ next->size = total_size > segment_size ? total_size : segment_size; ++ next->start = ++ (HuffmanCode*)WebPSafeMalloc(next->size, sizeof(*next->start)); ++ if (next->start == NULL) { ++ WebPSafeFree(next); ++ return 0; ++ } ++ next->curr_table = next->start; ++ next->next = NULL; ++ // Point to the new segment. ++ root_table->curr_segment->next = next; ++ root_table->curr_segment = next; ++ } ++ if (code_lengths_size <= SORTED_SIZE_CUTOFF) { + // use local stack-allocated array. + uint16_t sorted[SORTED_SIZE_CUTOFF]; +- total_size = BuildHuffmanTable(root_table, root_bits, +- code_lengths, code_lengths_size, sorted); +- } else { // rare case. Use heap allocation. ++ BuildHuffmanTable(root_table->curr_segment->curr_table, root_bits, ++ code_lengths, code_lengths_size, sorted); ++ } else { // rare case. Use heap allocation. + uint16_t* const sorted = + (uint16_t*)WebPSafeMalloc(code_lengths_size, sizeof(*sorted)); + if (sorted == NULL) return 0; +- total_size = BuildHuffmanTable(root_table, root_bits, +- code_lengths, code_lengths_size, sorted); ++ BuildHuffmanTable(root_table->curr_segment->curr_table, root_bits, ++ code_lengths, code_lengths_size, sorted); + WebPSafeFree(sorted); + } + return total_size; + } ++ ++int VP8LHuffmanTablesAllocate(int size, HuffmanTables* huffman_tables) { ++ // Have 'segment' point to the first segment for now, 'root'. ++ HuffmanTablesSegment* const root = &huffman_tables->root; ++ huffman_tables->curr_segment = root; ++ // Allocate root. ++ root->start = (HuffmanCode*)WebPSafeMalloc(size, sizeof(*root->start)); ++ if (root->start == NULL) return 0; ++ root->curr_table = root->start; ++ root->next = NULL; ++ root->size = size; ++ return 1; ++} ++ ++void VP8LHuffmanTablesDeallocate(HuffmanTables* const huffman_tables) { ++ HuffmanTablesSegment *current, *next; ++ if (huffman_tables == NULL) return; ++ // Free the root node. ++ current = &huffman_tables->root; ++ next = current->next; ++ WebPSafeFree(current->start); ++ current->start = NULL; ++ current->next = NULL; ++ current = next; ++ // Free the following nodes. ++ while (current != NULL) { ++ next = current->next; ++ WebPSafeFree(current->start); ++ WebPSafeFree(current); ++ current = next; ++ } ++} +diff --git a/src/utils/huffman_utils.h b/src/utils/huffman_utils.h +index 13b7ad1a..98415c53 100644 +--- a/src/utils/huffman_utils.h ++++ b/src/utils/huffman_utils.h +@@ -43,6 +43,29 @@ typedef struct { + // or non-literal symbol otherwise + } HuffmanCode32; + ++// Contiguous memory segment of HuffmanCodes. ++typedef struct HuffmanTablesSegment { ++ HuffmanCode* start; ++ // Pointer to where we are writing into the segment. Starts at 'start' and ++ // cannot go beyond 'start' + 'size'. ++ HuffmanCode* curr_table; ++ // Pointer to the next segment in the chain. ++ struct HuffmanTablesSegment* next; ++ int size; ++} HuffmanTablesSegment; ++ ++// Chained memory segments of HuffmanCodes. ++typedef struct HuffmanTables { ++ HuffmanTablesSegment root; ++ // Currently processed segment. At first, this is 'root'. ++ HuffmanTablesSegment* curr_segment; ++} HuffmanTables; ++ ++// Allocates a HuffmanTables with 'size' contiguous HuffmanCodes. Returns 0 on ++// memory allocation error, 1 otherwise. ++int VP8LHuffmanTablesAllocate(int size, HuffmanTables* huffman_tables); ++void VP8LHuffmanTablesDeallocate(HuffmanTables* const huffman_tables); ++ + #define HUFFMAN_PACKED_BITS 6 + #define HUFFMAN_PACKED_TABLE_SIZE (1u << HUFFMAN_PACKED_BITS) + +@@ -78,9 +101,7 @@ void VP8LHtreeGroupsFree(HTreeGroup* const htree_groups); + // the huffman table. + // Returns built table size or 0 in case of error (invalid tree or + // memory error). +-// If root_table is NULL, it returns 0 if a lookup cannot be built, something +-// > 0 otherwise (but not the table size). +-int VP8LBuildHuffmanTable(HuffmanCode* const root_table, int root_bits, ++int VP8LBuildHuffmanTable(HuffmanTables* const root_table, int root_bits, + const int code_lengths[], int code_lengths_size); + + #ifdef __cplusplus +-- +2.41.0 + diff --git a/nixpkgs/pkgs/development/libraries/libwebp/default.nix b/nixpkgs/pkgs/development/libraries/libwebp/default.nix index 39a7ab3ba45b..287c0b6b18be 100644 --- a/nixpkgs/pkgs/development/libraries/libwebp/default.nix +++ b/nixpkgs/pkgs/development/libraries/libwebp/default.nix @@ -36,6 +36,14 @@ stdenv.mkDerivation rec { hash = "sha256-Q94avvKjPdwdGt5ADo30cf2V4T7MCTubDHJxTtbG4xQ="; }; + patches = [ + # Commit 902bc919 from upstream, mangled slightly to apply onto 1.3.1. + # There is currently (2023-09-12) no confirmation that this is the fix for + # CVE-2023-4863, but it is linked to the right crbug, and matches the + # description of that (critical sev, exploited in the wild) CVE. + ./CVE-2023-4863.patch + ]; + configureFlags = [ (lib.enableFeature threadingSupport "threading") (lib.enableFeature openglSupport "gl") diff --git a/nixpkgs/pkgs/development/libraries/libxml2/default.nix b/nixpkgs/pkgs/development/libraries/libxml2/default.nix index 3f2f81183ca2..bc9e2c8c2c1d 100644 --- a/nixpkgs/pkgs/development/libraries/libxml2/default.nix +++ b/nixpkgs/pkgs/development/libraries/libxml2/default.nix @@ -34,7 +34,7 @@ in let libxml = stdenv.mkDerivation rec { pname = "libxml2"; - version = "2.11.4"; + version = "2.11.5"; outputs = [ "bin" "dev" "out" "doc" ] ++ lib.optional pythonSupport "py" @@ -43,7 +43,7 @@ libxml = stdenv.mkDerivation rec { src = fetchurl { url = "mirror://gnome/sources/libxml2/${lib.versions.majorMinor version}/libxml2-${version}.tar.xz"; - sha256 = "c34df4qz8TlynKE6JJT9F78w3bS3pCfPM2JSyrV/V/c="; + sha256 = "NyeweMNg7Gn6hp3hS9b3XX7o02mHsHHmko1HIKKN86Y="; }; strictDeps = true; diff --git a/nixpkgs/pkgs/development/libraries/libyuv/default.nix b/nixpkgs/pkgs/development/libraries/libyuv/default.nix index f4415b51f810..cc9f78ccae6f 100644 --- a/nixpkgs/pkgs/development/libraries/libyuv/default.nix +++ b/nixpkgs/pkgs/development/libraries/libyuv/default.nix @@ -28,6 +28,15 @@ stdenv.mkDerivation rec { ./link-library-against-libjpeg.patch ]; + postPatch = '' + mkdir -p $out/lib/pkgconfig + cp ${./yuv.pc} $out/lib/pkgconfig/libyuv.pc + + substituteInPlace $out/lib/pkgconfig/libyuv.pc \ + --replace "@PREFIX@" "$out" \ + --replace "@VERSION@" "$version" + ''; + meta = with lib; { homepage = "https://chromium.googlesource.com/libyuv/libyuv"; description = "Open source project that includes YUV scaling and conversion functionality"; diff --git a/nixpkgs/pkgs/development/libraries/libyuv/yuv.pc b/nixpkgs/pkgs/development/libraries/libyuv/yuv.pc new file mode 100644 index 000000000000..ecce62ed0efd --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/libyuv/yuv.pc @@ -0,0 +1,13 @@ +prefix=@PREFIX@ +exec_prefix=${prefix} +libdir=${prefix}/lib +includedir=${prefix}/include + +Name: yuv +Description: WebM Project Yuv codec implementation +Version: @VERSION@ +Requires: +Conflicts: +Libs: -L${libdir} -lyuv -lm +Libs.private: -lm -lpthread +Cflags: -I${includedir} diff --git a/nixpkgs/pkgs/development/libraries/llhttp/default.nix b/nixpkgs/pkgs/development/libraries/llhttp/default.nix index 413e70ee26af..8aac286c80ac 100644 --- a/nixpkgs/pkgs/development/libraries/llhttp/default.nix +++ b/nixpkgs/pkgs/development/libraries/llhttp/default.nix @@ -1,14 +1,14 @@ -{ lib, stdenv, fetchFromGitHub, cmake }: +{ lib, stdenv, fetchFromGitHub, cmake, testers }: -stdenv.mkDerivation rec { +stdenv.mkDerivation (finalAttrs: { pname = "llhttp"; - version = "9.0.1"; + version = "9.1.2"; src = fetchFromGitHub { owner = "nodejs"; repo = "llhttp"; - rev = "release/v${version}"; - hash = "sha256-cXqc6/lQUhp9GV8msXsPokd6OGSczvz8uAXkM16GEE0="; + rev = "release/v${finalAttrs.version}"; + hash = "sha256-kW6u9ETZJcJBh150chfE3SEwFpT7evZ0cqz8caM7fbQ="; }; nativeBuildInputs = [ @@ -19,12 +19,17 @@ stdenv.mkDerivation rec { "-DBUILD_STATIC_LIBS=ON" ]; + passthru.tests.pkg-config = testers.hasPkgConfigModules { + package = finalAttrs.finalPackage; + }; + meta = with lib; { description = "Port of http_parser to llparse"; homepage = "https://llhttp.org/"; - changelog = "https://github.com/nodejs/llhttp/releases/tag/${src.rev}"; + changelog = "https://github.com/nodejs/llhttp/releases/tag/release/v${finalAttrs.version}"; license = licenses.mit; + pkgConfigModules = [ "libllhttp" ]; maintainers = [ maintainers.marsam ]; platforms = platforms.all; }; -} +}) diff --git a/nixpkgs/pkgs/development/libraries/mesa/default.nix b/nixpkgs/pkgs/development/libraries/mesa/default.nix index 550cb7f673f8..b7bec7e8930c 100644 --- a/nixpkgs/pkgs/development/libraries/mesa/default.nix +++ b/nixpkgs/pkgs/development/libraries/mesa/default.nix @@ -86,8 +86,8 @@ */ let - version = "23.1.5"; - hash = "sha256-PPiFdv3r8k/EBHBnk2ExyQy2VBwnNlmWt5tmHewfsVM="; + version = "23.1.7"; + hash = "sha256-QJZB6t8O0cd5R5em9aCwGVtVgLKCFm5exWKca82mrNM="; # Release calendar: https://www.mesa3d.org/release-calendar.html # Release frequency: https://www.mesa3d.org/releasing.html#schedule diff --git a/nixpkgs/pkgs/development/libraries/mpfr/default.nix b/nixpkgs/pkgs/development/libraries/mpfr/default.nix index cf5035948cb5..dec33a63d155 100644 --- a/nixpkgs/pkgs/development/libraries/mpfr/default.nix +++ b/nixpkgs/pkgs/development/libraries/mpfr/default.nix @@ -11,7 +11,7 @@ # files. stdenv.mkDerivation rec { - version = "4.2.0"; + version = "4.2.1"; pname = "mpfr"; src = fetchurl { @@ -19,7 +19,7 @@ stdenv.mkDerivation rec { "https://www.mpfr.org/${pname}-${version}/${pname}-${version}.tar.xz" "mirror://gnu/mpfr/${pname}-${version}.tar.xz" ]; - hash = "sha256-BqN43xNQEkjBsttaqXeiyBJq6Emp2be+JUb7Spwm2ZM="; + hash = "sha256-J3gHNTpnJpeJlpRa8T5Sgp46vXqaW3+yeTiU4Y8fy7I="; }; outputs = [ "out" "dev" "doc" "info" ]; diff --git a/nixpkgs/pkgs/development/libraries/ndn-cxx/default.nix b/nixpkgs/pkgs/development/libraries/ndn-cxx/default.nix index 7ab607b01f4e..d7b31426c4f6 100644 --- a/nixpkgs/pkgs/development/libraries/ndn-cxx/default.nix +++ b/nixpkgs/pkgs/development/libraries/ndn-cxx/default.nix @@ -5,7 +5,7 @@ , pkg-config , python3 , python3Packages -, waf +, wafHook , boost179 , openssl , sqlite @@ -22,7 +22,7 @@ stdenv.mkDerivation rec { sha256 = "sha256-nnnxlkYVTSRB6ZcuIUDFol999+amGtqegHXK+06ITK8="; }; - nativeBuildInputs = [ doxygen pkg-config python3 python3Packages.sphinx waf.hook ]; + nativeBuildInputs = [ doxygen pkg-config python3 python3Packages.sphinx wafHook ]; buildInputs = [ boost179 openssl sqlite ]; diff --git a/nixpkgs/pkgs/development/libraries/opencomposite/default.nix b/nixpkgs/pkgs/development/libraries/opencomposite/default.nix new file mode 100644 index 000000000000..79b96bb9ae3d --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/opencomposite/default.nix @@ -0,0 +1,59 @@ +{ lib +, stdenv +, fetchFromGitLab + +, cmake + +, glm +, libGL +, openxr-loader +, python3 +, vulkan-headers +, vulkan-loader +, xorg +}: + +stdenv.mkDerivation { + pname = "opencomposite"; + version = "unstable-2023-09-11"; + + src = fetchFromGitLab { + owner = "znixian"; + repo = "OpenOVR"; + rev = "cca18158a4b6921df54e84a3b23ff459f76a2bde"; + hash = "sha256-VREApt4juz283aJVLZoBbqg01PNs4XBxmpr/UIMlaK8="; + }; + + nativeBuildInputs = [ + cmake + ]; + + buildInputs = [ + glm + libGL + openxr-loader + python3 + vulkan-headers + vulkan-loader + xorg.libX11 + ]; + + cmakeFlags = [ + "-DUSE_SYSTEM_OPENXR=ON" + "-DUSE_SYSTEM_GLM=ON" + ]; + + installPhase = '' + runHook preInstall + mkdir -p $out/lib/opencomposite + cp -r bin/ $out/lib/opencomposite + runHook postInstall + ''; + + meta = with lib; { + description = "Reimplementation of OpenVR, translating calls to OpenXR"; + homepage = "https://gitlab.com/znixian/OpenOVR"; + license = with licenses; [ gpl3Only ]; + maintainers = with maintainers; [ Scrumplex ]; + }; +} diff --git a/nixpkgs/pkgs/development/libraries/opencomposite/helper.nix b/nixpkgs/pkgs/development/libraries/opencomposite/helper.nix new file mode 100644 index 000000000000..f19f5e868139 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/opencomposite/helper.nix @@ -0,0 +1,18 @@ +{ writeShellApplication + +, monado +, opencomposite +}: +writeShellApplication { + name = "opencomposite-helper"; + text = '' + # Tell Proton to use OpenComposite instead of OpenVR + export VR_OVERRIDE=${opencomposite}/lib/opencomposite + # Help OpenComposite find the OpenXR runtime + export XR_RUNTIME_JSON=${monado}/share/openxr/1/openxr_monado.json + # Tell Steam Pressure Vessel to allow access to Monado + export PRESSURE_VESSEL_FILESYSTEMS_RW=$XDG_RUNTIME_DIR/monado_comp_ipc + exec "$@" + ''; +} + diff --git a/nixpkgs/pkgs/development/libraries/openexr/3.nix b/nixpkgs/pkgs/development/libraries/openexr/3.nix index 1bd8e63d37f9..a5ce27e270e4 100644 --- a/nixpkgs/pkgs/development/libraries/openexr/3.nix +++ b/nixpkgs/pkgs/development/libraries/openexr/3.nix @@ -1,25 +1,31 @@ { lib , stdenv , fetchFromGitHub -, fetchpatch -, zlib , cmake , imath +, libdeflate +, pkg-config }: stdenv.mkDerivation rec { pname = "openexr"; - version = "3.1.10"; + version = "3.2.0"; src = fetchFromGitHub { owner = "AcademySoftwareFoundation"; repo = "openexr"; rev = "v${version}"; - sha256 = "sha256-8oV7Himk9AS2e2Z3OREE7KQgFIUysXwATlUN51dDe5M="; + hash = "sha256-cV+qgx3WzdotypgpZhVFxzdKAU2rNVw0KWSdkeN0gLk="; }; outputs = [ "bin" "dev" "out" "doc" ]; + patches = + # Disable broken test on musl libc + # https://github.com/AcademySoftwareFoundation/openexr/issues/1556 + lib.optional stdenv.hostPlatform.isMusl ./disable-iex-test.patch + ; + # tests are determined to use /var/tmp on unix postPatch = '' cat <(find . -name tmpDir.h) <(echo src/test/OpenEXRCoreTest/main.cpp) | while read -r f ; do @@ -29,8 +35,8 @@ stdenv.mkDerivation rec { cmakeFlags = lib.optional stdenv.hostPlatform.isStatic "-DCMAKE_SKIP_RPATH=ON"; - nativeBuildInputs = [ cmake ]; - propagatedBuildInputs = [ imath zlib ]; + nativeBuildInputs = [ cmake pkg-config ]; + propagatedBuildInputs = [ imath libdeflate ]; # Without 'sse' enforcement tests fail on i686 as due to excessive precision as: # error reading back channel B pixel 21,-76 got -nan expected -nan diff --git a/nixpkgs/pkgs/development/libraries/openexr/disable-iex-test.patch b/nixpkgs/pkgs/development/libraries/openexr/disable-iex-test.patch new file mode 100644 index 000000000000..f8fff65aceb4 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/openexr/disable-iex-test.patch @@ -0,0 +1,13 @@ +diff --git a/src/test/CMakeLists.txt b/src/test/CMakeLists.txt +index 44d9185d..8ffcd2b2 100644 +--- a/src/test/CMakeLists.txt ++++ b/src/test/CMakeLists.txt +@@ -4,7 +4,7 @@ + # We require this to get object library link library support and + # combined python 2 + 3 support + +-add_subdirectory(IexTest) ++#add_subdirectory(IexTest) + add_subdirectory(OpenEXRCoreTest) + add_subdirectory(OpenEXRTest) + add_subdirectory(OpenEXRUtilTest) diff --git a/nixpkgs/pkgs/development/libraries/pdfhummus/default.nix b/nixpkgs/pkgs/development/libraries/pdfhummus/default.nix index a6d7ee3cdb16..e9d3c45ae8ba 100644 --- a/nixpkgs/pkgs/development/libraries/pdfhummus/default.nix +++ b/nixpkgs/pkgs/development/libraries/pdfhummus/default.nix @@ -12,13 +12,13 @@ stdenv.mkDerivation rec { pname = "pdfhummus"; - version = "4.5.10"; + version = "4.5.11"; src = fetchFromGitHub { owner = "galkahana"; repo = "PDF-Writer"; rev = "v${version}"; - hash = "sha256-dhbb/9+6ftjde+oh665ujA5CvY+Ume0pz/ghrohcaNE="; + hash = "sha256-nTLyFGnY07gDoahYe5YqSmU/URzdvRKQ1MsXt3164+c="; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/libraries/physics/fastjet-contrib/default.nix b/nixpkgs/pkgs/development/libraries/physics/fastjet-contrib/default.nix index 5310ffc279d3..b61db08457fd 100644 --- a/nixpkgs/pkgs/development/libraries/physics/fastjet-contrib/default.nix +++ b/nixpkgs/pkgs/development/libraries/physics/fastjet-contrib/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { pname = "fastjet-contrib"; - version = "1.049"; + version = "1.052"; src = fetchurl { url = "https://fastjet.hepforge.org/contrib/downloads/fjcontrib-${version}.tar.gz"; - sha256 = "sha256-ri7WIGvGJ4tl6ZpPeN8O6ykR8wGij7V7UMVzwNWGmYc="; + sha256 = "sha256-veY8KMvfmSvt6k3e38PNUsn+wkGnZ8xFXdStEOghDDk="; }; buildInputs = [ fastjet ]; diff --git a/nixpkgs/pkgs/development/libraries/protolock/default.nix b/nixpkgs/pkgs/development/libraries/protolock/default.nix index 324c21df9288..8cb18252a2aa 100644 --- a/nixpkgs/pkgs/development/libraries/protolock/default.nix +++ b/nixpkgs/pkgs/development/libraries/protolock/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-vWwRZVArmlTIGwD4zV3dEHN2kkoeCZuNIvjCBVAviPo="; }; - vendorSha256 = "sha256-pYtP+Tkh2TcGsbk7zQNaoYLEQrqGOL0gkMG5dUkfpt4="; + vendorHash = "sha256-pYtP+Tkh2TcGsbk7zQNaoYLEQrqGOL0gkMG5dUkfpt4="; postInstall = '' rm $out/bin/plugin* diff --git a/nixpkgs/pkgs/development/libraries/qtutilities/default.nix b/nixpkgs/pkgs/development/libraries/qtutilities/default.nix index 93ecaa698f7a..a629e8a2ac12 100644 --- a/nixpkgs/pkgs/development/libraries/qtutilities/default.nix +++ b/nixpkgs/pkgs/development/libraries/qtutilities/default.nix @@ -9,13 +9,13 @@ stdenv.mkDerivation rec { pname = "qtutilities"; - version = "6.13.0"; + version = "6.13.1"; src = fetchFromGitHub { owner = "Martchus"; repo = pname; rev = "v${version}"; - hash = "sha256-gfGVVjtzpBGrPrp2k3fOIh54EAMSicyikF1CtaO74y8="; + hash = "sha256-ic1Xnle1fGZ5elf0yH0BF+3spAmIo9kP62WhXLmBVNc="; }; buildInputs = [ qtbase cpp-utilities ]; diff --git a/nixpkgs/pkgs/development/libraries/talloc/default.nix b/nixpkgs/pkgs/development/libraries/talloc/default.nix index 5fad3c1c5121..bfe38fd4719a 100644 --- a/nixpkgs/pkgs/development/libraries/talloc/default.nix +++ b/nixpkgs/pkgs/development/libraries/talloc/default.nix @@ -8,7 +8,7 @@ , docbook-xsl-nons , docbook_xml_dtd_42 , fixDarwinDylibNames -, waf +, wafHook }: stdenv.mkDerivation rec { @@ -23,7 +23,7 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ pkg-config python3 - waf.hook + wafHook docbook-xsl-nons docbook_xml_dtd_42 ] ++ lib.optionals stdenv.isDarwin [ diff --git a/nixpkgs/pkgs/development/libraries/tdb/default.nix b/nixpkgs/pkgs/development/libraries/tdb/default.nix index 562a422f91d6..13f55a2dc52b 100644 --- a/nixpkgs/pkgs/development/libraries/tdb/default.nix +++ b/nixpkgs/pkgs/development/libraries/tdb/default.nix @@ -1,7 +1,7 @@ { lib, stdenv , fetchurl , pkg-config -, waf +, wafHook , python3 , readline , libxslt @@ -22,7 +22,7 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ python3 pkg-config - waf.hook + wafHook libxslt docbook-xsl-nons docbook_xml_dtd_45 diff --git a/nixpkgs/pkgs/development/libraries/tevent/default.nix b/nixpkgs/pkgs/development/libraries/tevent/default.nix index 7d0d40439e4a..c5d8d0fd3c49 100644 --- a/nixpkgs/pkgs/development/libraries/tevent/default.nix +++ b/nixpkgs/pkgs/development/libraries/tevent/default.nix @@ -9,7 +9,7 @@ , docbook-xsl-nons , docbook_xml_dtd_42 , which -, waf +, wafHook , libxcrypt }: @@ -29,7 +29,7 @@ stdenv.mkDerivation rec { libxslt docbook-xsl-nons docbook_xml_dtd_42 - waf.hook + wafHook ]; buildInputs = [ diff --git a/nixpkgs/pkgs/development/libraries/zlib/default.nix b/nixpkgs/pkgs/development/libraries/zlib/default.nix index baef243cbdc6..9fccef25da17 100644 --- a/nixpkgs/pkgs/development/libraries/zlib/default.nix +++ b/nixpkgs/pkgs/development/libraries/zlib/default.nix @@ -24,7 +24,7 @@ assert splitStaticOutput -> static; stdenv.mkDerivation (finalAttrs: { pname = "zlib"; - version = "1.2.13"; + version = "1.3"; src = let inherit (finalAttrs) version; @@ -35,7 +35,7 @@ stdenv.mkDerivation (finalAttrs: { # Stable archive path, but captcha can be encountered, causing hash mismatch. "https://www.zlib.net/fossils/zlib-${version}.tar.gz" ]; - hash = "sha256-s6JN6XqP28g1uYMxaVAQMLiXcDG8tUs7OsE3QPhGqzA="; + hash = "sha256-/wukwpIBPbwnUws6geH5qBPNOd4Byl4Pi/NVcC76WT4="; }; postPatch = lib.optionalString stdenv.hostPlatform.isDarwin '' diff --git a/nixpkgs/pkgs/development/libraries/zxing-cpp/default.nix b/nixpkgs/pkgs/development/libraries/zxing-cpp/default.nix index bcddfa96552f..9cd78b7b56a1 100644 --- a/nixpkgs/pkgs/development/libraries/zxing-cpp/default.nix +++ b/nixpkgs/pkgs/development/libraries/zxing-cpp/default.nix @@ -1,20 +1,21 @@ { lib , stdenv , fetchFromGitHub -, pkg-config , cmake +, pkg-config , python3 +, gitUpdater }: -stdenv.mkDerivation rec { +stdenv.mkDerivation (finalAttrs: { pname = "zxing-cpp"; - version = "1.4.0"; + version = "2.1.0"; src = fetchFromGitHub { - owner = "nu-book"; - repo = pname; - rev = "v${version}"; - hash = "sha256-MTu8tvJXpo6+Z0aSIZ27nmerNtNBOwnL/jDkGedIiM8="; + owner = "zxing-cpp"; + repo = "zxing-cpp"; + rev = "v${finalAttrs.version}"; + hash = "sha256-B/jGsHImRfj0iEio2b6R6laWBI1LL3OI407O7sren8s="; }; nativeBuildInputs = [ @@ -27,23 +28,17 @@ stdenv.mkDerivation rec { "-DBUILD_BLACKBOX_TESTS=OFF" ]; - # https://github.com/nu-book/zxing-cpp/issues/335 - postPatch = '' - substituteInPlace CMakeLists.txt \ - --replace 'configure_file(zxing.pc.in' \ - 'include(GNUInstallDirs) - configure_file(zxing.pc.in' - substituteInPlace zxing.pc.in \ - --replace '$'{exec_prefix}/@CMAKE_INSTALL_LIBDIR@ @CMAKE_INSTALL_FULL_LIBDIR@ \ - --replace '$'{prefix}/@CMAKE_INSTALL_INCLUDEDIR@ @CMAKE_INSTALL_FULL_INCLUDEDIR@ - ''; - - passthru.tests = { - inherit (python3.pkgs) zxing_cpp; + passthru = { + tests = { + inherit (python3.pkgs) zxing_cpp; + }; + updateScript = gitUpdater { + rev-prefix = "v"; + }; }; - meta = with lib; { - homepage = "https://github.com/nu-book/zxing-cpp"; + meta = { + homepage = "https://github.com/zxing-cpp/zxing-cpp"; description = "C++ port of zxing (a Java barcode image processing library)"; longDescription = '' ZXing-C++ ("zebra crossing") is an open-source, multi-format 1D/2D barcode @@ -54,8 +49,8 @@ stdenv.mkDerivation rec { and performance. It can both read and write barcodes in a number of formats. ''; - license = licenses.asl20; - maintainers = with maintainers; [ AndersonTorres ]; - platforms = with platforms; unix; + license = lib.licenses.asl20; + maintainers = with lib.maintainers; [ AndersonTorres lukegb ]; + platforms = lib.platforms.unix; }; -} +}) diff --git a/nixpkgs/pkgs/development/lisp-modules/packages.nix b/nixpkgs/pkgs/development/lisp-modules/packages.nix index 0d665a1bfde7..fee30ca57346 100644 --- a/nixpkgs/pkgs/development/lisp-modules/packages.nix +++ b/nixpkgs/pkgs/development/lisp-modules/packages.nix @@ -218,18 +218,6 @@ let }; }; - cl-webkit2_3_5_9 = build-asdf-system { - inherit (super.cl-webkit2) pname systems nativeLibs lispLibs; - version = "3.5.9"; - - src = pkgs.fetchFromGitHub { - owner = "joachifm"; - repo = "cl-webkit"; - rev = "3.5.9"; - sha256 = "sha256-YJo5ahL6+HLeJrxFBuZZjuK3OfA6DnAu82vvXMsNBgI="; - }; - }; - prompter = build-asdf-system { pname = "prompter"; version = "0.1.0"; @@ -258,12 +246,12 @@ let nasdf = build-asdf-system { pname = "nasdf"; - version = "20230524-git"; + version = "20230911-git"; src = pkgs.fetchFromGitHub { owner = "atlas-engineer"; repo = "ntemplate"; - rev = "51a884f388ec526c32914093fcad6bb2434e3c14"; - sha256 = "sha256-bjQPkiHAxhjsHCnWpCGMsmQlGDJFGtQEdevnhK2k+kY="; + rev = "ab7a018f3a67a999c72710644b10b4545130c139"; + sha256 = "sha256-fXGh0h6CXLoBgK1jRxkSNyQVAY1gvi4iyHQBuzueR5Y="; }; }; @@ -370,7 +358,7 @@ let nyxt-gtk = build-asdf-system { pname = "nyxt"; - version = "3.6.0"; + version = "3.7.0"; lispLibs = (with super; [ alexandria @@ -410,7 +398,6 @@ let spinneret slynk trivia - trivial-clipboard trivial-features trivial-garbage trivial-package-local-nicknames @@ -418,9 +405,31 @@ let unix-opts cluffer cl-cffi-gtk - cl-gobject-introspection quri sqlite + # TODO: Remove these overrides after quicklisp updates past the June 2023 release + (trivial-clipboard.overrideAttrs (final: prev: { + src = pkgs.fetchFromGitHub { + owner = "snmsts"; + repo = "trivial-clipboard"; + rev = "6ddf8d5dff8f5c2102af7cd1a1751cbe6408377b"; + sha256 = "sha256-n15IuTkqAAh2c1OfNbZfCAQJbH//QXeH0Bl1/5OpFRM="; + };})) + (cl-gobject-introspection.overrideAttrs (final: prev: { + src = pkgs.fetchFromGitHub { + owner = "andy128k"; + repo = "cl-gobject-introspection"; + rev = "83beec4492948b52aae4d4152200de5d5c7ac3e9"; + sha256 = "sha256-g/FwWE+Rzmzm5Y+irvd1AJodbp6kPHJIFOFDPhaRlXc="; + };})) + (cl-webkit2.overrideAttrs (final: prev: { + src = pkgs.fetchFromGitHub { + owner = "joachifm"; + repo = "cl-webkit"; + rev = "66fd0700111586425c9942da1694b856fb15cf41"; + sha256 = "sha256-t/B9CvQTekEEsM/ZEp47Mn6NeZaTYFsTdRqclfX9BNg="; + }; + })) ]) ++ (with self; [ history-tree nhooks @@ -432,7 +441,6 @@ let nsymbols nclasses nfiles - cl-webkit2_3_5_9 swank cl-containers ]); @@ -440,8 +448,8 @@ let src = pkgs.fetchFromGitHub { owner = "atlas-engineer"; repo = "nyxt"; - rev = "3.6.0"; - sha256 = "sha256-DaPEKdYf5R+RS7VQzbdLSqZvEQfxjeGEdX8rwmHRLrY="; + rev = "3.7.0"; + sha256 = "sha256-viiyO4fX3uyGuvojQ1rYYKBldRdVNzeJX1KYlYwfWVU="; }; nativeBuildInputs = [ pkgs.makeWrapper ]; diff --git a/nixpkgs/pkgs/development/misc/haskell/hasura/ekg-core.nix b/nixpkgs/pkgs/development/misc/haskell/hasura/ekg-core.nix index 2d1b62bc16c7..7298eb75076b 100644 --- a/nixpkgs/pkgs/development/misc/haskell/hasura/ekg-core.nix +++ b/nixpkgs/pkgs/development/misc/haskell/hasura/ekg-core.nix @@ -29,5 +29,7 @@ mkDerivation { homepage = "https://github.com/tibbe/ekg-core"; description = "Tracking of system metrics"; license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; maintainers = with lib.maintainers; [ lassulus ]; + broken = true; } diff --git a/nixpkgs/pkgs/development/misc/haskell/hasura/ekg-json.nix b/nixpkgs/pkgs/development/misc/haskell/hasura/ekg-json.nix index bb71aef27970..db5c7ffb0346 100644 --- a/nixpkgs/pkgs/development/misc/haskell/hasura/ekg-json.nix +++ b/nixpkgs/pkgs/development/misc/haskell/hasura/ekg-json.nix @@ -21,5 +21,7 @@ mkDerivation { homepage = "https://github.com/tibbe/ekg-json"; description = "JSON encoding of ekg metrics"; license = lib.licenses.bsd3; + hydraPlatforms = lib.platforms.none; maintainers = with lib.maintainers; [ lassulus ]; + broken = true; } diff --git a/nixpkgs/pkgs/development/misc/haskell/hasura/pg-client.nix b/nixpkgs/pkgs/development/misc/haskell/hasura/pg-client.nix index 92d556824dbd..1c79a70271da 100644 --- a/nixpkgs/pkgs/development/misc/haskell/hasura/pg-client.nix +++ b/nixpkgs/pkgs/development/misc/haskell/hasura/pg-client.nix @@ -33,5 +33,7 @@ mkDerivation { ]; homepage = "https://github.com/hasura/platform"; license = lib.licenses.asl20; + hydraPlatforms = lib.platforms.none; maintainers = with lib.maintainers; [ lassulus ]; + broken = true; } diff --git a/nixpkgs/pkgs/development/mobile/gomobile/default.nix b/nixpkgs/pkgs/development/mobile/gomobile/default.nix index 44841d889c43..53ca7a3b39d9 100644 --- a/nixpkgs/pkgs/development/mobile/gomobile/default.nix +++ b/nixpkgs/pkgs/development/mobile/gomobile/default.nix @@ -11,7 +11,7 @@ buildGoModule { pname = "gomobile"; version = "unstable-2022-05-18"; - vendorSha256 = "sha256-AmOy3X+d2OD7ZLbFuy+SptdlgWbZJaXYEgO79M64ufE="; + vendorHash = "sha256-AmOy3X+d2OD7ZLbFuy+SptdlgWbZJaXYEgO79M64ufE="; src = fetchgit { rev = "8578da9835fd365e78a6e63048c103b27a53a82c"; diff --git a/nixpkgs/pkgs/development/node-packages/aliases.nix b/nixpkgs/pkgs/development/node-packages/aliases.nix index a668407b3f76..fbc2118442ae 100644 --- a/nixpkgs/pkgs/development/node-packages/aliases.nix +++ b/nixpkgs/pkgs/development/node-packages/aliases.nix @@ -93,10 +93,12 @@ mapAliases { inherit (pkgs) markdownlint-cli2; # added 2023-08-22 mdctl-cli = self."@medable/mdctl-cli"; # added 2023-08-21 musescore-downloader = pkgs.dl-librescore; # added 2023-08-19 + inherit (pkgs) near-cli; # added 2023-09-09 node-inspector = throw "node-inspector was removed because it was broken"; # added 2023-08-21 inherit (pkgs) npm-check-updates; # added 2023-08-22 ocaml-language-server = throw "ocaml-language-server was removed because it was abandoned upstream"; # added 2023-09-04 parcel-bundler = parcel; # added 2023-09-04 + inherit (pkgs) quicktype; # added 2023-09-09 inherit (pkgs) react-static; # added 2023-08-21 readability-cli = pkgs.readability-cli; # Added 2023-06-12 reveal-md = pkgs.reveal-md; # added 2023-07-31 @@ -106,14 +108,17 @@ mapAliases { "@squoosh/cli" = throw "@squoosh/cli was removed because it was abandoned upstream"; # added 2023-09-02 ssb-server = throw "ssb-server was removed because it was broken"; # added 2023-08-21 stf = throw "stf was removed because it was broken"; # added 2023-08-21 + inherit (pkgs) stylelint; # added 2023-09-13 surge = pkgs.surge-cli; # Added 2023-09-08 swagger = throw "swagger was removed because it was broken and abandoned upstream"; # added 2023-09-09 + inherit (pkgs) terser; # Added 2023-08-31 thelounge = pkgs.thelounge; # Added 2023-05-22 three = throw "three was removed because it was no longer needed"; # Added 2023-09-08 inherit (pkgs) titanium; # added 2023-08-17 triton = pkgs.triton; # Added 2023-05-06 typescript = pkgs.typescript; # Added 2023-06-21 inherit (pkgs) ungit; # added 2023-08-20 + inherit (pkgs) vsc-leetcode-cli; # Added 2023-08-30 vscode-langservers-extracted = pkgs.vscode-langservers-extracted; # Added 2023-05-27 vue-cli = self."@vue/cli"; # added 2023-08-18 vue-language-server = self.vls; # added 2023-08-20 diff --git a/nixpkgs/pkgs/development/node-packages/main-programs.nix b/nixpkgs/pkgs/development/node-packages/main-programs.nix index 66aff39ea45b..846cdd75beda 100644 --- a/nixpkgs/pkgs/development/node-packages/main-programs.nix +++ b/nixpkgs/pkgs/development/node-packages/main-programs.nix @@ -46,7 +46,6 @@ less = "lessc"; localtunnel = "lt"; lua-fmt = "luafmt"; - near-cli = "near"; neovim = "neovim-node-host"; parsoid = "parse.js"; poor-mans-t-sql-formatter-cli = "sqlformat"; @@ -62,7 +61,6 @@ typescript-language-server = "typescript-language-server"; uglify-js = "uglifyjs"; undollar = "$"; - vsc-leetcode-cli = "leetcode"; vscode-css-languageserver-bin = "css-languageserver"; vscode-html-languageserver-bin = "html-languageserver"; vscode-json-languageserver-bin = "json-languageserver"; diff --git a/nixpkgs/pkgs/development/node-packages/node-packages.json b/nixpkgs/pkgs/development/node-packages/node-packages.json index e9d91d3d8b04..1495f5c67d4c 100644 --- a/nixpkgs/pkgs/development/node-packages/node-packages.json +++ b/nixpkgs/pkgs/development/node-packages/node-packages.json @@ -160,7 +160,6 @@ , "katex" , "keyoxide" , "lcov-result-merger" -, "vsc-leetcode-cli" , "lerna" , "less" , "less-plugin-clean-css" @@ -172,13 +171,11 @@ , "lv_font_conv" , "madoko" , "markdown-link-check" -, {"markdown-preview-nvim": "../../applications/editors/vim/plugins/markdown-preview-nvim"} , "mastodon-bot" , "mathjax" , "meat" , "mocha" , "multi-file-swagger" -, "near-cli" , "neovim" , "nijs" , "node-gyp" @@ -217,7 +214,6 @@ , "purty" , "pxder" , "pyright" -, "quicktype" , "react-native-cli" , "react-tools" , "redoc-cli" @@ -237,7 +233,6 @@ , "speed-test" , "sql-formatter" , "stackdriver-statsd-backend" -, "stylelint" , "svelte-check" , "svelte-language-server" , "svgo" @@ -245,7 +240,6 @@ , {"tedicross": "git+https://github.com/TediCross/TediCross.git#v0.8.7"} , "teck-programmer" , "tern" -, "terser" , "textlint" , "textlint-plugin-latex" , "textlint-rule-abbr-within-parentheses" diff --git a/nixpkgs/pkgs/development/node-packages/node-packages.nix b/nixpkgs/pkgs/development/node-packages/node-packages.nix index 834b3ba86a96..13ddaa66f59c 100644 --- a/nixpkgs/pkgs/development/node-packages/node-packages.nix +++ b/nixpkgs/pkgs/development/node-packages/node-packages.nix @@ -94522,241 +94522,6 @@ in bypassCache = true; reconstructLock = true; }; - vsc-leetcode-cli = nodeEnv.buildNodePackage { - name = "vsc-leetcode-cli"; - packageName = "vsc-leetcode-cli"; - version = "2.8.1"; - src = fetchurl { - url = "https://registry.npmjs.org/vsc-leetcode-cli/-/vsc-leetcode-cli-2.8.1.tgz"; - sha512 = "C5q5wGeedHKJzs53/jrVWEeobRteB/libKrVHmLqE3zraKJBgteUN4LUNEYrAjU9O6yxgj/NPEWOLoEdRhwATw=="; - }; - dependencies = [ - sources."abab-1.0.4" - sources."acorn-2.7.0" - sources."acorn-globals-1.0.9" - sources."ajv-6.12.6" - sources."ansi-regex-5.0.1" - sources."ansi-styles-3.2.1" - sources."asn1-0.2.6" - sources."assert-plus-1.0.0" - sources."async-1.5.2" - sources."asynckit-0.4.0" - sources."aws-sign2-0.7.0" - sources."aws4-1.12.0" - sources."balanced-match-1.0.2" - sources."bcrypt-pbkdf-1.0.2" - sources."boolbase-1.0.0" - sources."brace-expansion-1.1.11" - sources."camelcase-5.3.1" - sources."caseless-0.12.0" - sources."chalk-2.4.2" - sources."cheerio-0.20.0" - sources."cli-cursor-2.1.0" - sources."cli-spinners-1.3.1" - sources."cliui-7.0.4" - sources."clone-1.0.4" - sources."color-convert-1.9.3" - sources."color-name-1.1.3" - sources."colors-1.4.0" - sources."combined-stream-1.0.8" - sources."concat-map-0.0.1" - sources."core-util-is-1.0.3" - sources."css-select-1.2.0" - sources."css-what-2.1.3" - sources."cssom-0.3.8" - sources."cssstyle-0.2.37" - sources."cycle-1.0.3" - sources."dashdash-1.14.1" - sources."decamelize-1.2.0" - sources."deep-equal-0.2.2" - sources."deep-is-0.1.4" - sources."defaults-1.0.4" - sources."delayed-stream-1.0.0" - sources."dom-serializer-0.1.1" - sources."domelementtype-1.3.1" - sources."domhandler-2.3.0" - sources."domutils-1.5.1" - sources."ecc-jsbn-0.1.2" - sources."emoji-regex-8.0.0" - sources."entities-1.1.2" - sources."escalade-3.1.1" - sources."escape-string-regexp-1.0.5" - sources."escodegen-1.14.3" - sources."esprima-4.0.1" - sources."estraverse-4.3.0" - sources."esutils-2.0.3" - sources."extend-3.0.2" - sources."extsprintf-1.3.0" - sources."eyes-0.1.8" - sources."fast-deep-equal-3.1.3" - sources."fast-json-stable-stringify-2.1.0" - sources."fast-levenshtein-2.0.6" - sources."find-up-4.1.0" - sources."forever-agent-0.6.1" - sources."form-data-2.3.3" - sources."fs.realpath-1.0.0" - sources."get-caller-file-2.0.5" - sources."getpass-0.1.7" - sources."glob-7.2.3" - sources."har-schema-2.0.0" - sources."har-validator-5.1.5" - sources."has-flag-3.0.0" - sources."he-1.2.0" - (sources."htmlparser2-3.8.3" // { - dependencies = [ - sources."entities-1.0.0" - ]; - }) - sources."http-signature-1.2.0" - sources."i-0.3.7" - sources."inflight-1.0.6" - sources."inherits-2.0.4" - sources."ini-2.0.0" - sources."is-fullwidth-code-point-3.0.0" - sources."is-typedarray-1.0.0" - sources."isarray-0.0.1" - sources."isstream-0.1.2" - sources."jsbn-0.1.1" - sources."jsdom-7.2.2" - sources."json-schema-0.4.0" - sources."json-schema-traverse-0.4.1" - sources."json-stringify-safe-5.0.1" - sources."jsprim-1.4.2" - sources."levn-0.3.0" - sources."locate-path-5.0.0" - sources."lodash-4.17.21" - sources."log-symbols-2.2.0" - sources."mime-db-1.52.0" - sources."mime-types-2.1.35" - sources."mimic-fn-1.2.0" - sources."minimatch-3.1.2" - sources."minimist-1.2.8" - sources."mkdirp-1.0.4" - sources."moment-2.29.4" - sources."mute-stream-0.0.8" - (sources."nconf-0.11.4" // { - dependencies = [ - sources."yargs-16.2.0" - ]; - }) - sources."ncp-1.0.1" - sources."nth-check-1.0.2" - sources."nwmatcher-1.4.4" - sources."oauth-sign-0.9.0" - sources."once-1.4.0" - sources."onetime-2.0.1" - sources."optionator-0.8.3" - (sources."ora-3.0.0" // { - dependencies = [ - sources."ansi-regex-3.0.1" - sources."strip-ansi-4.0.0" - ]; - }) - sources."p-limit-2.3.0" - sources."p-locate-4.1.0" - sources."p-try-2.2.0" - sources."parse5-1.5.1" - sources."path-exists-4.0.0" - sources."path-is-absolute-1.0.1" - sources."performance-now-2.1.0" - sources."pkginfo-0.4.1" - sources."prelude-ls-1.1.2" - sources."prompt-1.0.0" - sources."psl-1.9.0" - sources."punycode-2.3.0" - sources."qs-6.5.3" - sources."read-1.0.7" - sources."readable-stream-1.1.14" - (sources."request-2.88.0" // { - dependencies = [ - sources."punycode-1.4.1" - sources."tough-cookie-2.4.3" - ]; - }) - sources."require-directory-2.1.1" - sources."require-main-filename-2.0.0" - sources."restore-cursor-2.0.0" - sources."revalidator-0.1.8" - sources."rimraf-2.7.1" - sources."safe-buffer-5.2.1" - sources."safer-buffer-2.1.2" - sources."sax-1.2.4" - sources."secure-keys-1.0.0" - sources."set-blocking-2.0.0" - sources."signal-exit-3.0.7" - sources."source-map-0.6.1" - sources."sshpk-1.17.0" - sources."stack-trace-0.0.10" - sources."string-width-4.2.3" - sources."string_decoder-0.10.31" - sources."strip-ansi-6.0.1" - sources."supports-color-5.5.0" - sources."symbol-tree-3.2.4" - sources."tough-cookie-2.5.0" - sources."tr46-0.0.3" - sources."tunnel-agent-0.6.0" - sources."tweetnacl-0.14.5" - sources."type-check-0.3.2" - sources."underscore-1.9.1" - sources."uri-js-4.4.1" - (sources."utile-0.3.0" // { - dependencies = [ - sources."async-0.9.2" - sources."mkdirp-0.5.6" - ]; - }) - sources."uuid-3.4.0" - (sources."verror-1.10.0" // { - dependencies = [ - sources."core-util-is-1.0.2" - ]; - }) - sources."wcwidth-1.0.1" - sources."webidl-conversions-2.0.1" - sources."whatwg-url-compat-0.6.5" - sources."which-module-2.0.1" - (sources."winston-2.1.1" // { - dependencies = [ - sources."async-1.0.0" - sources."colors-1.0.3" - sources."pkginfo-0.3.1" - ]; - }) - sources."word-wrap-1.2.5" - sources."wordwrap-1.0.0" - (sources."wrap-ansi-7.0.0" // { - dependencies = [ - sources."ansi-styles-4.3.0" - sources."color-convert-2.0.1" - sources."color-name-1.1.4" - ]; - }) - sources."wrappy-1.0.2" - sources."xml-name-validator-2.0.1" - sources."y18n-5.0.8" - (sources."yargs-15.4.1" // { - dependencies = [ - sources."ansi-styles-4.3.0" - sources."cliui-6.0.0" - sources."color-convert-2.0.1" - sources."color-name-1.1.4" - sources."wrap-ansi-6.2.0" - sources."y18n-4.0.3" - sources."yargs-parser-18.1.3" - ]; - }) - sources."yargs-parser-20.2.9" - ]; - buildInputs = globalBuildInputs; - meta = { - description = "A cli tool to enjoy leetcode!"; - homepage = "https://github.com/leetcode-tools/leetcode-cli#readme"; - license = "MIT"; - }; - production = true; - bypassCache = true; - reconstructLock = true; - }; lerna = nodeEnv.buildNodePackage { name = "lerna"; packageName = "lerna"; @@ -96775,1119 +96540,6 @@ in bypassCache = true; reconstructLock = true; }; - "markdown-preview-nvim-../../applications/editors/vim/plugins/markdown-preview-nvim" = nodeEnv.buildNodePackage { - name = "markdown-preview"; - packageName = "markdown-preview"; - version = "0.0.10"; - src = ../../applications/editors/vim/plugins/markdown-preview-nvim; - dependencies = [ - sources."@babel/code-frame-7.22.10" - sources."@babel/compat-data-7.22.9" - (sources."@babel/core-7.0.0" // { - dependencies = [ - sources."debug-3.2.7" - ]; - }) - sources."@babel/generator-7.22.10" - sources."@babel/helper-annotate-as-pure-7.22.5" - sources."@babel/helper-builder-binary-assignment-operator-visitor-7.22.10" - (sources."@babel/helper-compilation-targets-7.22.10" // { - dependencies = [ - sources."semver-6.3.1" - ]; - }) - (sources."@babel/helper-create-regexp-features-plugin-7.22.9" // { - dependencies = [ - sources."semver-6.3.1" - ]; - }) - sources."@babel/helper-environment-visitor-7.22.5" - (sources."@babel/helper-function-name-7.22.5" // { - dependencies = [ - sources."@babel/template-7.22.5" - ]; - }) - sources."@babel/helper-hoist-variables-7.22.5" - sources."@babel/helper-member-expression-to-functions-7.22.5" - sources."@babel/helper-module-imports-7.22.5" - sources."@babel/helper-module-transforms-7.22.9" - sources."@babel/helper-optimise-call-expression-7.22.5" - sources."@babel/helper-plugin-utils-7.22.5" - sources."@babel/helper-remap-async-to-generator-7.22.9" - sources."@babel/helper-replace-supers-7.22.9" - sources."@babel/helper-simple-access-7.22.5" - sources."@babel/helper-skip-transparent-expression-wrappers-7.22.5" - sources."@babel/helper-split-export-declaration-7.22.6" - sources."@babel/helper-string-parser-7.22.5" - sources."@babel/helper-validator-identifier-7.22.5" - sources."@babel/helper-validator-option-7.22.5" - (sources."@babel/helper-wrap-function-7.22.10" // { - dependencies = [ - sources."@babel/template-7.22.5" - ]; - }) - (sources."@babel/helpers-7.22.10" // { - dependencies = [ - sources."@babel/template-7.22.5" - ]; - }) - sources."@babel/highlight-7.22.10" - sources."@babel/parser-7.22.10" - sources."@babel/plugin-proposal-async-generator-functions-7.20.7" - sources."@babel/plugin-proposal-class-properties-7.0.0" - sources."@babel/plugin-proposal-json-strings-7.18.6" - sources."@babel/plugin-proposal-object-rest-spread-7.0.0" - sources."@babel/plugin-proposal-optional-catch-binding-7.18.6" - sources."@babel/plugin-proposal-unicode-property-regex-7.18.6" - sources."@babel/plugin-syntax-async-generators-7.8.4" - sources."@babel/plugin-syntax-class-properties-7.12.13" - sources."@babel/plugin-syntax-dynamic-import-7.0.0" - sources."@babel/plugin-syntax-json-strings-7.8.3" - sources."@babel/plugin-syntax-jsx-7.22.5" - sources."@babel/plugin-syntax-object-rest-spread-7.8.3" - sources."@babel/plugin-syntax-optional-catch-binding-7.8.3" - sources."@babel/plugin-transform-arrow-functions-7.22.5" - sources."@babel/plugin-transform-async-to-generator-7.22.5" - sources."@babel/plugin-transform-block-scoped-functions-7.22.5" - sources."@babel/plugin-transform-block-scoping-7.22.10" - sources."@babel/plugin-transform-classes-7.22.6" - (sources."@babel/plugin-transform-computed-properties-7.22.5" // { - dependencies = [ - sources."@babel/template-7.22.5" - ]; - }) - sources."@babel/plugin-transform-destructuring-7.22.10" - sources."@babel/plugin-transform-dotall-regex-7.22.5" - sources."@babel/plugin-transform-duplicate-keys-7.22.5" - sources."@babel/plugin-transform-exponentiation-operator-7.22.5" - sources."@babel/plugin-transform-for-of-7.22.5" - sources."@babel/plugin-transform-function-name-7.22.5" - sources."@babel/plugin-transform-literals-7.22.5" - sources."@babel/plugin-transform-modules-amd-7.22.5" - sources."@babel/plugin-transform-modules-commonjs-7.22.5" - sources."@babel/plugin-transform-modules-systemjs-7.22.5" - sources."@babel/plugin-transform-modules-umd-7.22.5" - sources."@babel/plugin-transform-new-target-7.22.5" - sources."@babel/plugin-transform-object-super-7.22.5" - sources."@babel/plugin-transform-parameters-7.22.5" - sources."@babel/plugin-transform-react-display-name-7.22.5" - sources."@babel/plugin-transform-react-jsx-7.22.5" - sources."@babel/plugin-transform-react-jsx-self-7.22.5" - sources."@babel/plugin-transform-react-jsx-source-7.22.5" - sources."@babel/plugin-transform-regenerator-7.22.10" - (sources."@babel/plugin-transform-runtime-7.0.0" // { - dependencies = [ - sources."resolve-1.22.4" - ]; - }) - sources."@babel/plugin-transform-shorthand-properties-7.22.5" - sources."@babel/plugin-transform-spread-7.22.5" - sources."@babel/plugin-transform-sticky-regex-7.22.5" - sources."@babel/plugin-transform-template-literals-7.22.5" - sources."@babel/plugin-transform-typeof-symbol-7.22.5" - sources."@babel/plugin-transform-unicode-regex-7.22.5" - sources."@babel/preset-env-7.0.0" - sources."@babel/preset-react-7.0.0" - sources."@babel/regjsgen-0.8.0" - (sources."@babel/runtime-7.0.0" // { - dependencies = [ - sources."regenerator-runtime-0.12.1" - ]; - }) - (sources."@babel/runtime-corejs2-7.0.0" // { - dependencies = [ - sources."regenerator-runtime-0.12.1" - ]; - }) - sources."@babel/template-7.0.0" - sources."@babel/traverse-7.22.10" - sources."@babel/types-7.22.10" - sources."@chemzqm/msgpack-lite-0.1.29" - sources."@chemzqm/neovim-5.9.5" - sources."@jridgewell/gen-mapping-0.3.3" - sources."@jridgewell/resolve-uri-3.1.1" - sources."@jridgewell/set-array-1.1.2" - sources."@jridgewell/sourcemap-codec-1.4.15" - sources."@jridgewell/trace-mapping-0.3.19" - sources."@webassemblyjs/ast-1.7.8" - sources."@webassemblyjs/floating-point-hex-parser-1.7.8" - sources."@webassemblyjs/helper-api-error-1.7.8" - sources."@webassemblyjs/helper-buffer-1.7.8" - sources."@webassemblyjs/helper-code-frame-1.7.8" - sources."@webassemblyjs/helper-fsm-1.7.8" - sources."@webassemblyjs/helper-module-context-1.7.8" - sources."@webassemblyjs/helper-wasm-bytecode-1.7.8" - sources."@webassemblyjs/helper-wasm-section-1.7.8" - sources."@webassemblyjs/ieee754-1.7.8" - sources."@webassemblyjs/leb128-1.7.8" - sources."@webassemblyjs/utf8-1.7.8" - sources."@webassemblyjs/wasm-edit-1.7.8" - sources."@webassemblyjs/wasm-gen-1.7.8" - sources."@webassemblyjs/wasm-opt-1.7.8" - sources."@webassemblyjs/wasm-parser-1.7.8" - sources."@webassemblyjs/wast-parser-1.7.8" - sources."@webassemblyjs/wast-printer-1.7.8" - sources."@xtuc/ieee754-1.2.0" - sources."@xtuc/long-4.2.1" - sources."accepts-1.3.8" - sources."acorn-5.7.4" - sources."acorn-dynamic-import-3.0.0" - sources."after-0.8.2" - sources."ajv-6.12.6" - sources."ajv-errors-1.0.1" - sources."ajv-keywords-3.5.2" - sources."ansi-colors-3.2.4" - sources."ansi-escapes-3.2.0" - sources."ansi-html-0.0.7" - sources."ansi-regex-2.1.1" - sources."ansi-styles-3.2.1" - sources."any-promise-1.3.0" - sources."anymatch-3.1.3" - sources."aproba-1.2.0" - sources."argparse-2.0.1" - sources."arr-diff-4.0.0" - sources."arr-flatten-1.1.0" - sources."arr-union-3.1.0" - sources."array-buffer-byte-length-1.0.0" - sources."array-differ-1.0.0" - sources."array-union-1.0.2" - sources."array-uniq-1.0.3" - sources."array-unique-0.3.2" - sources."array.prototype.reduce-1.0.5" - sources."arraybuffer.prototype.slice-1.0.1" - sources."arraybuffer.slice-0.0.7" - sources."arrify-1.0.1" - sources."asap-2.0.6" - (sources."asn1.js-5.4.1" // { - dependencies = [ - sources."bn.js-4.12.0" - ]; - }) - (sources."assert-1.5.0" // { - dependencies = [ - sources."inherits-2.0.1" - sources."util-0.10.3" - ]; - }) - sources."assign-symbols-1.0.0" - sources."async-each-1.0.6" - sources."atob-2.1.2" - (sources."autodll-webpack-plugin-0.4.2" // { - dependencies = [ - sources."find-cache-dir-1.0.0" - sources."pkg-dir-2.0.0" - ]; - }) - sources."available-typed-arrays-1.0.5" - sources."babel-core-7.0.0-bridge.0" - (sources."babel-loader-8.0.2" // { - dependencies = [ - sources."find-cache-dir-1.0.0" - sources."pkg-dir-2.0.0" - ]; - }) - sources."babel-plugin-react-require-3.0.0" - sources."babel-plugin-syntax-jsx-6.18.0" - sources."babel-plugin-transform-react-remove-prop-types-0.4.15" - (sources."babel-runtime-6.26.0" // { - dependencies = [ - sources."regenerator-runtime-0.11.1" - ]; - }) - (sources."babel-types-6.26.0" // { - dependencies = [ - sources."to-fast-properties-1.0.3" - ]; - }) - sources."backo2-1.0.2" - sources."balanced-match-1.0.2" - (sources."base-0.11.2" // { - dependencies = [ - sources."define-property-1.0.0" - ]; - }) - sources."base64-arraybuffer-0.1.4" - sources."base64-js-1.5.1" - sources."base64id-2.0.0" - sources."big.js-3.2.0" - sources."binary-extensions-2.2.0" - sources."bindings-1.5.0" - sources."blob-0.0.5" - sources."bluebird-3.7.2" - sources."bn.js-5.2.1" - sources."brace-expansion-1.1.11" - (sources."braces-2.3.2" // { - dependencies = [ - sources."extend-shallow-2.0.1" - ]; - }) - sources."brorand-1.1.0" - sources."browserify-aes-1.2.0" - sources."browserify-cipher-1.0.1" - sources."browserify-des-1.0.2" - sources."browserify-rsa-4.1.0" - (sources."browserify-sign-4.2.1" // { - dependencies = [ - sources."readable-stream-3.6.2" - sources."safe-buffer-5.2.1" - ]; - }) - sources."browserify-zlib-0.2.0" - sources."browserslist-4.21.10" - sources."buffer-4.9.2" - sources."buffer-from-1.1.2" - sources."buffer-xor-1.0.3" - sources."bufferutil-4.0.7" - sources."builtin-status-codes-3.0.0" - (sources."cacache-11.3.3" // { - dependencies = [ - sources."glob-7.2.3" - ]; - }) - sources."cache-base-1.0.1" - sources."call-bind-1.0.2" - sources."caniuse-lite-1.0.30001522" - sources."case-sensitive-paths-webpack-plugin-2.1.2" - sources."chalk-2.4.2" - sources."chart.js-2.9.4" - sources."chartjs-color-2.4.1" - sources."chartjs-color-string-0.6.0" - (sources."chokidar-3.5.3" // { - dependencies = [ - sources."braces-3.0.2" - sources."fill-range-7.0.1" - sources."is-number-7.0.0" - sources."to-regex-range-5.0.1" - ]; - }) - sources."chownr-1.1.4" - sources."chrome-trace-event-1.0.3" - sources."ci-info-1.6.0" - sources."cipher-base-1.0.4" - (sources."class-utils-0.3.6" // { - dependencies = [ - sources."define-property-0.2.5" - (sources."is-accessor-descriptor-0.1.6" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - (sources."is-data-descriptor-0.1.4" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - sources."is-descriptor-0.1.6" - sources."kind-of-5.1.0" - ]; - }) - sources."cli-cursor-2.1.0" - sources."collection-visit-1.0.0" - sources."color-convert-1.9.3" - sources."color-name-1.1.3" - sources."commander-2.17.1" - sources."commondir-1.0.1" - sources."component-bind-1.0.0" - sources."component-emitter-1.3.0" - sources."component-inherit-0.0.3" - sources."concat-map-0.0.1" - sources."concat-stream-1.6.2" - sources."consola-1.4.5" - sources."console-browserify-1.2.0" - sources."constants-browserify-1.0.0" - sources."convert-source-map-1.9.0" - sources."cookie-0.4.2" - sources."copy-concurrently-1.0.5" - sources."copy-descriptor-0.1.1" - sources."core-js-2.6.12" - sources."core-util-is-1.0.3" - (sources."create-ecdh-4.0.4" // { - dependencies = [ - sources."bn.js-4.12.0" - ]; - }) - sources."create-hash-1.2.0" - sources."create-hmac-1.1.7" - (sources."cross-spawn-5.1.0" // { - dependencies = [ - sources."lru-cache-4.1.5" - sources."yallist-2.1.2" - ]; - }) - sources."crypto-browserify-3.12.0" - sources."cyclist-1.0.2" - sources."date-format-4.0.14" - sources."debug-4.3.4" - sources."decode-uri-component-0.2.2" - sources."define-properties-1.2.0" - sources."define-property-2.0.2" - sources."del-3.0.0" - sources."depd-1.1.1" - sources."des.js-1.1.0" - sources."destroy-1.0.4" - (sources."diffie-hellman-5.0.3" // { - dependencies = [ - sources."bn.js-4.12.0" - ]; - }) - sources."domain-browser-1.2.0" - sources."duplexify-3.7.1" - sources."ee-first-1.1.1" - sources."electron-to-chromium-1.4.499" - (sources."elliptic-6.5.4" // { - dependencies = [ - sources."bn.js-4.12.0" - ]; - }) - sources."emitter-mixin-0.0.3" - sources."emojis-list-2.1.0" - sources."encodeurl-1.0.2" - sources."end-of-stream-1.4.4" - (sources."engine.io-3.6.1" // { - dependencies = [ - sources."debug-4.1.1" - ]; - }) - (sources."engine.io-client-3.5.3" // { - dependencies = [ - sources."debug-3.1.0" - sources."ms-2.0.0" - ]; - }) - sources."engine.io-parser-2.2.1" - (sources."enhanced-resolve-4.5.0" // { - dependencies = [ - sources."memory-fs-0.5.0" - ]; - }) - sources."entities-2.1.0" - sources."errno-0.1.8" - sources."error-ex-1.3.2" - sources."error-stack-parser-2.1.4" - sources."es-abstract-1.22.1" - sources."es-array-method-boxes-properly-1.0.0" - sources."es-set-tostringtag-2.0.1" - sources."es-to-primitive-1.2.1" - sources."escalade-3.1.1" - sources."escape-html-1.0.3" - sources."escape-string-regexp-1.0.5" - sources."eslint-scope-4.0.3" - sources."esprima-4.0.1" - (sources."esrecurse-4.3.0" // { - dependencies = [ - sources."estraverse-5.3.0" - ]; - }) - sources."estraverse-4.3.0" - sources."esutils-2.0.3" - sources."etag-1.8.1" - sources."event-lite-0.1.3" - sources."event-source-polyfill-0.0.12" - sources."events-3.3.0" - sources."evp_bytestokey-1.0.3" - (sources."expand-brackets-2.1.4" // { - dependencies = [ - sources."debug-2.6.9" - sources."define-property-0.2.5" - sources."extend-shallow-2.0.1" - (sources."is-accessor-descriptor-0.1.6" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - (sources."is-data-descriptor-0.1.4" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - sources."is-descriptor-0.1.6" - sources."kind-of-5.1.0" - sources."ms-2.0.0" - ]; - }) - (sources."extend-shallow-3.0.2" // { - dependencies = [ - sources."is-extendable-1.0.1" - ]; - }) - (sources."extglob-2.0.4" // { - dependencies = [ - sources."define-property-1.0.0" - sources."extend-shallow-2.0.1" - ]; - }) - sources."fast-deep-equal-3.1.3" - sources."fast-json-stable-stringify-2.1.0" - sources."figgy-pudding-3.5.2" - sources."figures-2.0.0" - sources."file-uri-to-path-1.0.0" - sources."filesize-3.6.1" - (sources."fill-range-4.0.0" // { - dependencies = [ - sources."extend-shallow-2.0.1" - ]; - }) - sources."find-cache-dir-2.0.0" - (sources."find-up-2.1.0" // { - dependencies = [ - sources."locate-path-2.0.0" - sources."p-limit-1.3.0" - sources."p-locate-2.0.0" - sources."p-try-1.0.0" - ]; - }) - sources."flatted-3.2.7" - sources."flush-write-stream-1.1.1" - sources."for-each-0.3.3" - sources."for-in-1.0.2" - sources."fragment-cache-0.2.1" - sources."fresh-0.5.2" - (sources."friendly-errors-webpack-plugin-1.7.0" // { - dependencies = [ - sources."ansi-styles-2.2.1" - sources."chalk-1.1.3" - sources."supports-color-2.0.0" - ]; - }) - sources."from2-2.3.0" - sources."fs-extra-8.1.0" - sources."fs-write-stream-atomic-1.0.10" - sources."fs.realpath-1.0.0" - sources."fsevents-2.3.3" - sources."function-bind-1.1.1" - sources."function.prototype.name-1.1.5" - sources."functions-have-names-1.2.3" - sources."get-intrinsic-1.2.1" - sources."get-symbol-description-1.0.0" - sources."get-value-2.0.6" - sources."glob-7.1.2" - sources."glob-parent-5.1.2" - sources."globals-11.12.0" - sources."globalthis-1.0.3" - (sources."globby-6.1.0" // { - dependencies = [ - sources."pify-2.3.0" - ]; - }) - sources."gopd-1.0.1" - sources."graceful-fs-4.2.11" - sources."has-1.0.3" - sources."has-ansi-2.0.0" - sources."has-bigints-1.0.2" - (sources."has-binary2-1.0.3" // { - dependencies = [ - sources."isarray-2.0.1" - ]; - }) - sources."has-cors-1.1.0" - sources."has-flag-3.0.0" - sources."has-property-descriptors-1.0.0" - sources."has-proto-1.0.1" - sources."has-symbols-1.0.3" - sources."has-tostringtag-1.0.0" - sources."has-value-1.0.0" - (sources."has-values-1.0.0" // { - dependencies = [ - sources."kind-of-4.0.0" - ]; - }) - (sources."hash-base-3.1.0" // { - dependencies = [ - sources."readable-stream-3.6.2" - sources."safe-buffer-5.2.1" - ]; - }) - sources."hash.js-1.1.7" - sources."highlight.js-10.7.3" - sources."hmac-drbg-1.0.1" - sources."hoist-non-react-statics-2.5.5" - sources."hosted-git-info-2.8.9" - sources."html-entities-1.4.0" - sources."htmlescape-1.1.1" - (sources."http-errors-1.6.2" // { - dependencies = [ - sources."inherits-2.0.3" - ]; - }) - sources."http-status-1.0.1" - sources."https-browserify-1.0.0" - sources."ieee754-1.2.1" - sources."iferr-0.1.5" - sources."imurmurhash-0.1.4" - sources."indexof-0.0.1" - sources."inflight-1.0.6" - sources."inherits-2.0.4" - sources."int64-buffer-0.1.10" - sources."internal-slot-1.0.5" - sources."invariant-2.2.4" - sources."is-accessor-descriptor-1.0.0" - sources."is-array-buffer-3.0.2" - sources."is-arrayish-0.2.1" - sources."is-bigint-1.0.4" - sources."is-binary-path-2.1.0" - sources."is-boolean-object-1.1.2" - sources."is-buffer-1.1.6" - sources."is-callable-1.2.7" - sources."is-ci-1.2.1" - sources."is-core-module-2.13.0" - sources."is-data-descriptor-1.0.0" - sources."is-date-object-1.0.5" - sources."is-descriptor-1.0.2" - sources."is-extendable-0.1.1" - sources."is-extglob-2.1.1" - sources."is-fullwidth-code-point-2.0.0" - sources."is-glob-4.0.3" - sources."is-negative-zero-2.0.2" - (sources."is-number-3.0.0" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - sources."is-number-object-1.0.7" - sources."is-path-cwd-1.0.0" - sources."is-path-in-cwd-1.0.1" - sources."is-path-inside-1.0.1" - sources."is-plain-object-2.0.4" - sources."is-regex-1.1.4" - sources."is-shared-array-buffer-1.0.2" - sources."is-string-1.0.7" - sources."is-symbol-1.0.4" - sources."is-typed-array-1.1.12" - sources."is-weakref-1.0.2" - sources."is-windows-1.0.2" - sources."isarray-1.0.0" - sources."isexe-2.0.0" - sources."isobject-3.0.1" - sources."js-levenshtein-1.1.6" - sources."js-tokens-4.0.0" - (sources."js-yaml-3.14.1" // { - dependencies = [ - sources."argparse-1.0.10" - ]; - }) - sources."jsesc-2.5.2" - sources."json-parse-better-errors-1.0.2" - sources."json-schema-traverse-0.4.1" - sources."json5-0.5.1" - sources."jsonfile-4.0.0" - sources."junk-1.0.3" - sources."kind-of-6.0.3" - sources."launch-editor-2.2.1" - sources."linkify-it-3.0.3" - (sources."load-json-file-2.0.0" // { - dependencies = [ - sources."pify-2.3.0" - ]; - }) - sources."loader-runner-2.4.0" - sources."loader-utils-1.1.0" - sources."locate-path-3.0.0" - sources."lodash-4.17.21" - sources."log-update-2.3.0" - sources."log4js-6.9.1" - sources."loose-envify-1.4.0" - sources."lru-cache-5.1.1" - sources."make-dir-1.3.0" - sources."map-cache-0.2.2" - sources."map-visit-1.0.0" - sources."markdown-it-12.3.2" - sources."markdown-it-anchor-5.3.0" - sources."markdown-it-deflist-2.1.0" - sources."markdown-it-emoji-1.4.0" - sources."markdown-it-footnote-3.0.3" - sources."markdown-it-task-lists-2.1.1" - sources."markdown-it-toc-done-right-4.2.0" - sources."maximatch-0.1.0" - sources."md-it-meta-0.0.2" - sources."md5.js-1.3.5" - sources."mdurl-1.0.1" - sources."memory-fs-0.4.1" - sources."micromatch-3.1.10" - (sources."miller-rabin-4.0.1" // { - dependencies = [ - sources."bn.js-4.12.0" - ]; - }) - sources."mime-1.4.1" - sources."mime-db-1.52.0" - sources."mime-types-2.1.35" - sources."mimic-fn-1.2.0" - sources."minimalistic-assert-1.0.1" - sources."minimalistic-crypto-utils-1.0.1" - sources."minimatch-3.1.2" - sources."minimist-1.2.0" - sources."mississippi-3.0.0" - (sources."mixin-deep-1.3.2" // { - dependencies = [ - sources."is-extendable-1.0.1" - ]; - }) - (sources."mkdirp-0.5.6" // { - dependencies = [ - sources."minimist-1.2.8" - ]; - }) - sources."mkdirp-then-1.2.0" - sources."moment-2.29.4" - sources."move-concurrently-1.0.1" - sources."ms-2.1.2" - sources."msgpack-lite-0.1.26" - sources."nan-2.17.0" - sources."nanoid-1.2.1" - sources."nanomatch-1.2.13" - sources."negotiator-0.6.3" - sources."neo-async-2.6.2" - sources."next-7.0.3" - sources."next-routes-1.4.2" - sources."node-gyp-build-4.6.0" - (sources."node-libs-browser-2.2.1" // { - dependencies = [ - sources."punycode-1.4.1" - ]; - }) - sources."node-releases-2.0.13" - (sources."normalize-package-data-2.5.0" // { - dependencies = [ - sources."resolve-1.22.4" - ]; - }) - sources."normalize-path-3.0.0" - sources."object-assign-4.1.1" - (sources."object-copy-0.1.0" // { - dependencies = [ - sources."define-property-0.2.5" - sources."is-accessor-descriptor-0.1.6" - sources."is-data-descriptor-0.1.4" - (sources."is-descriptor-0.1.6" // { - dependencies = [ - sources."kind-of-5.1.0" - ]; - }) - sources."kind-of-3.2.2" - ]; - }) - sources."object-inspect-1.12.3" - sources."object-keys-1.1.1" - sources."object-visit-1.0.1" - sources."object.assign-4.1.4" - sources."object.getownpropertydescriptors-2.1.6" - sources."object.pick-1.3.0" - sources."on-finished-2.3.0" - sources."once-1.4.0" - sources."onetime-2.0.1" - sources."os-browserify-0.3.0" - sources."p-limit-2.3.0" - sources."p-locate-3.0.0" - sources."p-map-1.2.0" - sources."p-try-2.2.0" - sources."pako-1.0.11" - sources."parallel-transform-1.2.0" - sources."parse-asn1-5.1.6" - sources."parse-json-2.2.0" - sources."parseqs-0.0.6" - sources."parseuri-0.0.6" - sources."pascalcase-0.1.1" - sources."path-browserify-0.0.1" - sources."path-dirname-1.0.2" - sources."path-exists-3.0.0" - sources."path-is-absolute-1.0.1" - sources."path-is-inside-1.0.2" - sources."path-parse-1.0.7" - sources."path-to-regexp-2.1.0" - (sources."path-type-2.0.0" // { - dependencies = [ - sources."pify-2.3.0" - ]; - }) - sources."pbkdf2-3.1.2" - sources."picocolors-1.0.0" - sources."picomatch-2.3.1" - sources."pify-3.0.0" - sources."pinkie-2.0.4" - sources."pinkie-promise-2.0.1" - (sources."pkg-dir-3.0.0" // { - dependencies = [ - sources."find-up-3.0.0" - ]; - }) - sources."plantuml-encoder-1.4.0" - sources."posix-character-classes-0.1.1" - sources."pretty-time-1.1.0" - sources."process-0.11.10" - sources."process-nextick-args-2.0.1" - sources."promise-7.3.1" - sources."promise-inflight-1.0.1" - sources."prop-types-15.6.2" - sources."prop-types-exact-1.2.0" - sources."prr-1.0.1" - sources."pseudomap-1.0.2" - (sources."public-encrypt-4.0.3" // { - dependencies = [ - sources."bn.js-4.12.0" - ]; - }) - sources."pump-3.0.0" - (sources."pumpify-1.5.1" // { - dependencies = [ - sources."pump-2.0.1" - ]; - }) - sources."punycode-2.3.0" - sources."querystring-0.2.0" - sources."querystring-es3-0.2.1" - sources."randombytes-2.1.0" - sources."randomfill-1.0.4" - sources."range-parser-1.2.1" - sources."react-16.14.0" - sources."react-dom-16.14.0" - sources."react-error-overlay-4.0.0" - sources."read-pkg-2.0.0" - sources."readable-stream-2.3.8" - sources."readdirp-3.6.0" - (sources."recursive-copy-2.0.6" // { - dependencies = [ - sources."del-2.2.2" - sources."globby-5.0.0" - sources."pify-2.3.0" - ]; - }) - sources."reflect.ownkeys-0.2.0" - sources."regenerate-1.4.2" - sources."regenerate-unicode-properties-10.1.0" - sources."regenerator-runtime-0.14.0" - (sources."regenerator-transform-0.15.2" // { - dependencies = [ - sources."@babel/runtime-7.22.10" - ]; - }) - sources."regex-not-1.0.2" - sources."regexp.prototype.flags-1.5.0" - sources."regexpu-core-5.3.2" - (sources."regjsparser-0.9.1" // { - dependencies = [ - sources."jsesc-0.5.0" - ]; - }) - sources."remove-trailing-separator-1.1.0" - sources."repeat-element-1.1.4" - sources."repeat-string-1.6.1" - sources."resolve-1.5.0" - sources."resolve-url-0.2.1" - sources."restore-cursor-2.0.0" - sources."ret-0.1.15" - sources."rfdc-1.3.0" - (sources."rimraf-2.7.1" // { - dependencies = [ - sources."glob-7.2.3" - ]; - }) - sources."ripemd160-2.0.2" - sources."run-queue-1.0.3" - (sources."safe-array-concat-1.0.0" // { - dependencies = [ - sources."isarray-2.0.5" - ]; - }) - sources."safe-buffer-5.1.2" - sources."safe-regex-1.1.0" - sources."safe-regex-test-1.0.0" - sources."safer-buffer-2.1.2" - sources."scheduler-0.19.1" - sources."schema-utils-1.0.0" - sources."semver-5.7.2" - (sources."send-0.16.1" // { - dependencies = [ - sources."debug-2.6.9" - sources."ms-2.0.0" - sources."statuses-1.3.1" - ]; - }) - sources."serialize-javascript-1.4.0" - (sources."set-value-2.0.1" // { - dependencies = [ - sources."extend-shallow-2.0.1" - ]; - }) - sources."setimmediate-1.0.5" - sources."setprototypeof-1.0.3" - sources."sha.js-2.4.11" - sources."shebang-command-1.2.0" - sources."shebang-regex-1.0.0" - sources."shell-quote-1.8.1" - sources."side-channel-1.0.4" - sources."signal-exit-3.0.7" - sources."slash-1.0.0" - sources."slice-ansi-1.0.0" - (sources."snapdragon-0.8.2" // { - dependencies = [ - sources."debug-2.6.9" - sources."define-property-0.2.5" - sources."extend-shallow-2.0.1" - (sources."is-accessor-descriptor-0.1.6" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - (sources."is-data-descriptor-0.1.4" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - sources."is-descriptor-0.1.6" - sources."kind-of-5.1.0" - sources."ms-2.0.0" - ]; - }) - (sources."snapdragon-node-2.1.1" // { - dependencies = [ - sources."define-property-1.0.0" - ]; - }) - (sources."snapdragon-util-3.0.1" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - (sources."socket.io-2.5.0" // { - dependencies = [ - sources."debug-4.1.1" - ]; - }) - sources."socket.io-adapter-1.1.2" - (sources."socket.io-client-2.5.0" // { - dependencies = [ - sources."debug-3.1.0" - sources."isarray-2.0.1" - sources."ms-2.0.0" - sources."socket.io-parser-3.3.3" - ]; - }) - (sources."socket.io-parser-3.4.3" // { - dependencies = [ - sources."component-emitter-1.2.1" - sources."debug-4.1.1" - sources."isarray-2.0.1" - ]; - }) - sources."source-list-map-2.0.1" - sources."source-map-0.5.7" - sources."source-map-resolve-0.5.3" - (sources."source-map-support-0.5.21" // { - dependencies = [ - sources."source-map-0.6.1" - ]; - }) - sources."source-map-url-0.4.1" - sources."spdx-correct-3.2.0" - sources."spdx-exceptions-2.3.0" - sources."spdx-expression-parse-3.0.1" - sources."spdx-license-ids-3.0.13" - sources."split-string-3.1.0" - sources."sprintf-js-1.0.3" - sources."ssri-6.0.2" - sources."stackframe-1.3.4" - (sources."static-extend-0.1.2" // { - dependencies = [ - sources."define-property-0.2.5" - (sources."is-accessor-descriptor-0.1.6" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - (sources."is-data-descriptor-0.1.4" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - sources."is-descriptor-0.1.6" - sources."kind-of-5.1.0" - ]; - }) - sources."statuses-1.5.0" - sources."std-env-1.3.1" - sources."stream-browserify-2.0.2" - sources."stream-each-1.2.3" - sources."stream-http-2.8.3" - sources."stream-shift-1.0.1" - sources."streamroller-3.1.5" - sources."string-hash-1.1.3" - (sources."string-width-2.1.1" // { - dependencies = [ - sources."ansi-regex-3.0.1" - sources."strip-ansi-4.0.0" - ]; - }) - sources."string.prototype.trim-1.2.7" - sources."string.prototype.trimend-1.0.6" - sources."string.prototype.trimstart-1.0.6" - sources."string_decoder-1.1.1" - sources."strip-ansi-3.0.1" - sources."strip-bom-3.0.0" - (sources."styled-jsx-3.1.0" // { - dependencies = [ - sources."convert-source-map-1.5.1" - sources."source-map-0.7.3" - ]; - }) - sources."stylis-3.5.3" - sources."stylis-rule-sheet-0.0.10" - sources."supports-color-5.5.0" - sources."supports-preserve-symlinks-flag-1.0.0" - sources."table-4.0.3" - sources."tapable-1.1.3" - (sources."terser-3.16.1" // { - dependencies = [ - sources."source-map-0.6.1" - ]; - }) - sources."through2-2.0.5" - sources."timers-browserify-2.0.12" - sources."to-array-0.1.4" - sources."to-arraybuffer-1.0.1" - sources."to-fast-properties-2.0.0" - (sources."to-object-path-0.3.0" // { - dependencies = [ - sources."kind-of-3.2.2" - ]; - }) - sources."to-regex-3.0.2" - sources."to-regex-range-2.1.1" - sources."tslib-1.14.1" - sources."tty-browserify-0.0.0" - sources."typed-array-buffer-1.0.0" - sources."typed-array-byte-length-1.0.0" - sources."typed-array-byte-offset-1.0.0" - sources."typed-array-length-1.0.4" - sources."typedarray-0.0.6" - sources."uc.micro-1.0.6" - (sources."uglify-es-3.3.10" // { - dependencies = [ - sources."commander-2.14.1" - sources."source-map-0.6.1" - ]; - }) - (sources."uglifyjs-webpack-plugin-1.3.0" // { - dependencies = [ - sources."cacache-10.0.4" - sources."find-cache-dir-1.0.0" - sources."lru-cache-4.1.5" - sources."mississippi-2.0.0" - sources."pkg-dir-2.0.0" - sources."pump-2.0.1" - sources."schema-utils-0.4.7" - sources."source-map-0.6.1" - sources."ssri-5.3.0" - sources."yallist-2.1.2" - ]; - }) - sources."unbox-primitive-1.0.2" - sources."unfetch-3.0.0" - sources."unicode-canonical-property-names-ecmascript-2.0.0" - sources."unicode-match-property-ecmascript-2.0.0" - sources."unicode-match-property-value-ecmascript-2.1.0" - sources."unicode-property-aliases-ecmascript-2.1.0" - sources."union-value-1.0.1" - sources."unique-filename-1.1.1" - sources."unique-slug-2.0.2" - sources."universalify-0.1.2" - (sources."unset-value-1.0.0" // { - dependencies = [ - (sources."has-value-0.3.1" // { - dependencies = [ - sources."isobject-2.1.0" - ]; - }) - sources."has-values-0.1.4" - ]; - }) - sources."upath-1.2.0" - sources."update-browserslist-db-1.0.11" - sources."uri-js-4.4.1" - sources."urix-0.1.0" - (sources."url-0.11.0" // { - dependencies = [ - sources."punycode-1.3.2" - ]; - }) - sources."use-3.1.1" - sources."utf-8-validate-5.0.10" - (sources."util-0.11.1" // { - dependencies = [ - sources."inherits-2.0.3" - ]; - }) - sources."util-deprecate-1.0.2" - sources."util.promisify-1.1.2" - sources."uuid-3.4.0" - sources."validate-npm-package-license-3.0.4" - sources."vm-browserify-1.1.2" - sources."watchpack-1.7.5" - (sources."watchpack-chokidar2-2.0.1" // { - dependencies = [ - sources."anymatch-2.0.0" - sources."binary-extensions-1.13.1" - sources."chokidar-2.1.8" - sources."fsevents-1.2.13" - sources."glob-parent-3.1.0" - sources."is-binary-path-1.0.1" - sources."is-glob-3.1.0" - sources."normalize-path-2.1.1" - sources."readdirp-2.2.1" - ]; - }) - (sources."webpack-4.20.2" // { - dependencies = [ - sources."schema-utils-0.4.7" - sources."source-map-0.6.1" - sources."webpack-sources-1.4.3" - ]; - }) - (sources."webpack-dev-middleware-3.4.0" // { - dependencies = [ - sources."mime-2.6.0" - ]; - }) - sources."webpack-hot-middleware-2.22.3" - sources."webpack-log-2.0.0" - sources."webpack-merge-4.2.2" - (sources."webpack-sources-1.2.0" // { - dependencies = [ - sources."source-map-0.6.1" - ]; - }) - sources."webpackbar-2.6.3" - sources."which-1.3.1" - sources."which-boxed-primitive-1.0.2" - sources."which-typed-array-1.1.11" - sources."worker-farm-1.5.2" - (sources."wrap-ansi-3.0.1" // { - dependencies = [ - sources."ansi-regex-3.0.1" - sources."strip-ansi-4.0.0" - ]; - }) - sources."wrappy-1.0.2" - (sources."write-file-webpack-plugin-4.3.2" // { - dependencies = [ - sources."debug-3.2.7" - ]; - }) - sources."ws-7.4.6" - sources."xmlhttprequest-ssl-1.6.3" - sources."xtend-4.0.2" - sources."y18n-4.0.3" - sources."yallist-3.1.1" - sources."yeast-0.1.2" - ]; - buildInputs = globalBuildInputs; - meta = { - description = "markdown preview plugin for (neo)vim"; - license = "MIT"; - }; - production = true; - bypassCache = true; - reconstructLock = true; - }; mastodon-bot = nodeEnv.buildNodePackage { name = "mastodon-bot"; packageName = "mastodon-bot"; @@ -98426,374 +97078,6 @@ in bypassCache = true; reconstructLock = true; }; - near-cli = nodeEnv.buildNodePackage { - name = "near-cli"; - packageName = "near-cli"; - version = "3.4.2"; - src = fetchurl { - url = "https://registry.npmjs.org/near-cli/-/near-cli-3.4.2.tgz"; - sha512 = "0EaNAw5SKSIIJrJajG32CNMGfBtZTgIrOVKSXBTWpvo+F0OozsbvuO4UG3ZSRNThXHijxybp58SqPiU3JuzXGA=="; - }; - dependencies = [ - (sources."@babel/code-frame-7.22.10" // { - dependencies = [ - sources."ansi-styles-3.2.1" - sources."chalk-2.4.2" - sources."color-convert-1.9.3" - sources."color-name-1.1.3" - sources."has-flag-3.0.0" - sources."supports-color-5.5.0" - ]; - }) - sources."@babel/helper-validator-identifier-7.22.5" - (sources."@babel/highlight-7.22.10" // { - dependencies = [ - sources."ansi-styles-3.2.1" - sources."chalk-2.4.2" - sources."color-convert-1.9.3" - sources."color-name-1.1.3" - sources."has-flag-3.0.0" - sources."supports-color-5.5.0" - ]; - }) - sources."@jest/environment-27.5.1" - sources."@jest/fake-timers-27.5.1" - sources."@jest/types-27.5.1" - sources."@ledgerhq/devices-8.0.7" - sources."@ledgerhq/errors-6.14.0" - sources."@ledgerhq/hw-transport-6.28.8" - sources."@ledgerhq/hw-transport-node-hid-6.27.21" - sources."@ledgerhq/hw-transport-node-hid-noevents-6.27.19" - (sources."@ledgerhq/hw-transport-u2f-5.36.0-deprecated" // { - dependencies = [ - sources."@ledgerhq/devices-5.51.1" - sources."@ledgerhq/errors-5.50.0" - sources."@ledgerhq/hw-transport-5.51.1" - sources."@ledgerhq/logs-5.50.0" - ]; - }) - (sources."@ledgerhq/hw-transport-webhid-5.51.1" // { - dependencies = [ - sources."@ledgerhq/devices-5.51.1" - sources."@ledgerhq/errors-5.50.0" - sources."@ledgerhq/hw-transport-5.51.1" - sources."@ledgerhq/logs-5.50.0" - ]; - }) - (sources."@ledgerhq/hw-transport-webusb-5.53.1" // { - dependencies = [ - sources."@ledgerhq/devices-5.51.1" - sources."@ledgerhq/errors-5.50.0" - sources."@ledgerhq/hw-transport-5.51.1" - sources."@ledgerhq/logs-5.50.0" - ]; - }) - sources."@ledgerhq/logs-6.10.1" - sources."@segment/loosely-validate-event-2.0.0" - sources."@sindresorhus/is-0.14.0" - sources."@sinonjs/commons-1.8.6" - sources."@sinonjs/fake-timers-8.1.0" - sources."@szmarczak/http-timer-1.1.2" - sources."@types/istanbul-lib-coverage-2.0.4" - sources."@types/istanbul-lib-report-3.0.0" - sources."@types/istanbul-reports-3.0.1" - sources."@types/node-20.5.3" - sources."@types/stack-utils-2.0.1" - sources."@types/w3c-web-usb-1.0.6" - sources."@types/yargs-16.0.5" - sources."@types/yargs-parser-21.0.0" - sources."analytics-node-6.2.0" - sources."ansi-align-3.0.1" - sources."ansi-regex-5.0.1" - sources."ansi-styles-4.3.0" - sources."ascii-table-0.0.9" - sources."asynckit-0.4.0" - sources."axios-0.27.2" - sources."axios-retry-3.2.0" - sources."balanced-match-1.0.2" - sources."base-x-3.0.9" - sources."base64-js-1.5.1" - sources."bindings-1.5.0" - (sources."bip39-3.0.2" // { - dependencies = [ - sources."@types/node-11.11.6" - ]; - }) - sources."bip39-light-1.0.7" - sources."bl-4.1.0" - sources."bn.js-5.2.1" - sources."borsh-0.6.0" - sources."boxen-5.1.2" - sources."brace-expansion-1.1.11" - sources."braces-3.0.2" - sources."bs58-4.0.1" - sources."buffer-5.7.1" - (sources."cacheable-request-6.1.0" // { - dependencies = [ - sources."get-stream-5.2.0" - sources."lowercase-keys-2.0.0" - ]; - }) - sources."camelcase-6.3.0" - sources."capability-0.2.5" - sources."chalk-4.1.2" - sources."charenc-0.0.2" - sources."chownr-1.1.4" - sources."ci-info-2.0.0" - sources."cipher-base-1.0.4" - sources."cli-boxes-2.2.1" - sources."cliui-7.0.4" - sources."clone-response-1.0.3" - sources."color-convert-2.0.1" - sources."color-name-1.1.4" - sources."combined-stream-1.0.8" - sources."component-type-1.2.1" - sources."concat-map-0.0.1" - sources."configstore-5.0.1" - sources."create-hash-1.2.0" - sources."create-hmac-1.1.7" - sources."crypt-0.0.2" - sources."crypto-random-string-2.0.0" - (sources."debug-4.3.1" // { - dependencies = [ - sources."ms-2.1.2" - ]; - }) - sources."decompress-response-3.3.0" - sources."deep-extend-0.6.0" - sources."deep-is-0.1.4" - sources."defer-to-connect-1.1.3" - sources."define-lazy-prop-2.0.0" - sources."delayed-stream-1.0.0" - sources."depd-2.0.0" - sources."detect-libc-2.0.2" - sources."dot-prop-5.3.0" - sources."duplexer3-0.1.5" - sources."emoji-regex-8.0.0" - sources."encoding-0.1.13" - sources."end-of-stream-1.4.4" - sources."error-polyfill-0.1.3" - sources."escalade-3.1.1" - sources."escape-goat-2.1.1" - sources."escape-string-regexp-1.0.5" - sources."events-3.3.0" - sources."expand-template-2.0.3" - sources."file-uri-to-path-1.0.0" - sources."fill-range-7.0.1" - sources."flagged-respawn-1.0.1" - sources."follow-redirects-1.15.2" - sources."form-data-4.0.0" - sources."fs-constants-1.0.0" - sources."fs.realpath-1.0.0" - sources."get-caller-file-2.0.5" - sources."get-stream-4.1.0" - sources."github-from-package-0.0.0" - sources."glob-7.2.3" - sources."global-dirs-3.0.1" - sources."got-9.6.0" - sources."graceful-fs-4.2.11" - sources."has-flag-4.0.0" - sources."has-yarn-2.1.0" - sources."hash-base-3.1.0" - sources."homedir-polyfill-1.0.3" - sources."http-cache-semantics-4.1.1" - (sources."http-errors-1.8.1" // { - dependencies = [ - sources."depd-1.1.2" - ]; - }) - sources."iconv-lite-0.6.3" - sources."ieee754-1.2.1" - sources."import-lazy-2.1.0" - sources."imurmurhash-0.1.4" - sources."inflight-1.0.6" - sources."inherits-2.0.4" - sources."ini-2.0.0" - sources."ip-regex-4.3.0" - sources."is-buffer-1.1.6" - sources."is-ci-2.0.0" - sources."is-docker-2.2.1" - sources."is-fullwidth-code-point-3.0.0" - sources."is-installed-globally-0.4.0" - sources."is-npm-5.0.0" - sources."is-number-7.0.0" - sources."is-obj-2.0.0" - sources."is-path-inside-3.0.3" - sources."is-retry-allowed-1.2.0" - sources."is-typedarray-1.0.0" - sources."is-url-1.2.4" - sources."is-wsl-2.2.0" - sources."is-yarn-global-0.3.0" - sources."is2-2.0.9" - sources."jest-environment-node-27.5.1" - sources."jest-message-util-27.5.1" - sources."jest-mock-27.5.1" - (sources."jest-util-27.5.1" // { - dependencies = [ - sources."ci-info-3.8.0" - ]; - }) - sources."join-component-1.1.0" - sources."js-sha256-0.9.0" - sources."js-tokens-4.0.0" - sources."json-buffer-3.0.0" - sources."keyv-3.1.0" - sources."latest-version-5.1.0" - sources."lodash-4.17.21" - sources."lodash.isstring-4.0.1" - sources."lowercase-keys-1.0.1" - sources."lru-cache-6.0.0" - (sources."make-dir-3.1.0" // { - dependencies = [ - sources."semver-6.3.1" - ]; - }) - sources."md5-2.3.0" - sources."md5.js-1.3.5" - sources."micromatch-4.0.5" - sources."mime-db-1.52.0" - sources."mime-types-2.1.35" - sources."mimic-response-1.0.1" - sources."minimatch-3.1.2" - sources."minimist-1.2.8" - sources."mkdirp-classic-0.5.3" - sources."ms-2.1.3" - sources."mustache-4.2.0" - sources."napi-build-utils-1.0.2" - sources."ncp-2.0.0" - (sources."near-api-js-0.44.2" // { - dependencies = [ - sources."bn.js-5.2.0" - ]; - }) - sources."near-hd-key-1.2.1" - sources."near-ledger-js-0.2.1" - sources."near-seed-phrase-0.2.0" - sources."node-abi-3.47.0" - sources."node-addon-api-3.2.1" - sources."node-fetch-2.6.13" - sources."node-gyp-build-4.6.0" - sources."node-hid-2.1.2" - sources."normalize-url-4.5.1" - sources."o3-1.0.3" - sources."once-1.4.0" - sources."open-8.4.2" - sources."p-cancelable-1.1.0" - (sources."package-json-6.5.0" // { - dependencies = [ - sources."semver-6.3.1" - ]; - }) - sources."parse-passwd-1.0.0" - sources."path-is-absolute-1.0.1" - sources."pbkdf2-3.1.2" - sources."picomatch-2.3.1" - sources."platform-1.3.6" - sources."prebuild-install-7.1.1" - sources."prepend-http-2.0.0" - (sources."pretty-format-27.5.1" // { - dependencies = [ - sources."ansi-styles-5.2.0" - ]; - }) - sources."pump-3.0.0" - sources."pupa-2.1.1" - sources."randombytes-2.1.0" - (sources."rc-1.2.8" // { - dependencies = [ - sources."ini-1.3.8" - ]; - }) - sources."react-is-17.0.2" - sources."readable-stream-3.6.2" - sources."registry-auth-token-4.2.2" - sources."registry-url-5.1.0" - sources."remove-trailing-slash-0.1.1" - sources."require-directory-2.1.1" - sources."responselike-1.0.2" - sources."rimraf-3.0.2" - sources."ripemd160-2.0.2" - sources."rxjs-6.6.7" - sources."safe-buffer-5.2.1" - sources."safer-buffer-2.1.2" - sources."semver-7.5.4" - (sources."semver-diff-3.1.1" // { - dependencies = [ - sources."semver-6.3.1" - ]; - }) - sources."setprototypeof-1.2.0" - sources."sha.js-2.4.11" - sources."signal-exit-3.0.7" - sources."simple-concat-1.0.1" - (sources."simple-get-4.0.1" // { - dependencies = [ - sources."decompress-response-6.0.0" - sources."mimic-response-3.1.0" - ]; - }) - sources."slash-3.0.0" - (sources."stack-utils-2.0.6" // { - dependencies = [ - sources."escape-string-regexp-2.0.0" - ]; - }) - sources."statuses-1.5.0" - sources."stoppable-1.1.0" - sources."string-width-4.2.3" - sources."string_decoder-1.3.0" - sources."strip-ansi-6.0.1" - sources."strip-json-comments-2.0.1" - sources."supports-color-7.2.0" - sources."tar-fs-2.1.1" - sources."tar-stream-2.2.0" - sources."tcp-port-used-1.0.2" - sources."text-encoding-utf-8-1.0.2" - sources."to-readable-stream-1.0.0" - sources."to-regex-range-5.0.1" - sources."toidentifier-1.0.1" - sources."tr46-0.0.3" - sources."tslib-1.14.1" - sources."tunnel-agent-0.6.0" - sources."tweetnacl-1.0.3" - sources."type-detect-4.0.8" - sources."type-fest-0.20.2" - sources."typedarray-to-buffer-3.1.5" - sources."u2f-api-0.2.7" - sources."u3-0.1.1" - sources."unique-string-2.0.0" - sources."update-notifier-5.1.0" - sources."url-parse-lax-3.0.0" - (sources."usb-2.9.0" // { - dependencies = [ - sources."node-addon-api-6.1.0" - ]; - }) - sources."util-deprecate-1.0.2" - sources."uuid-8.3.2" - sources."v8flags-3.2.0" - sources."webidl-conversions-3.0.1" - sources."whatwg-url-5.0.0" - sources."widest-line-3.1.0" - sources."wrap-ansi-7.0.0" - sources."wrappy-1.0.2" - sources."write-file-atomic-3.0.3" - sources."xdg-basedir-4.0.0" - sources."y18n-5.0.8" - sources."yallist-4.0.0" - sources."yargs-16.2.0" - sources."yargs-parser-20.2.9" - ]; - buildInputs = globalBuildInputs; - meta = { - description = "General purpose command line tools for interacting with NEAR Protocol"; - homepage = "https://github.com/near/near-cli#readme"; - license = "(MIT AND Apache-2.0)"; - }; - production = true; - bypassCache = true; - reconstructLock = true; - }; neovim = nodeEnv.buildNodePackage { name = "neovim"; packageName = "neovim"; @@ -104017,174 +102301,6 @@ in bypassCache = true; reconstructLock = true; }; - quicktype = nodeEnv.buildNodePackage { - name = "quicktype"; - packageName = "quicktype"; - version = "23.0.71"; - src = fetchurl { - url = "https://registry.npmjs.org/quicktype/-/quicktype-23.0.71.tgz"; - sha512 = "MPPTnromb8qSKPU5UdLyp9Kzkj8YhmmOfw5y1ZvBLnzNjVG2QVqlwyLkUL9NTfmKszb0/047p3hiznEnFGn4EA=="; - }; - dependencies = [ - (sources."@75lb/deep-merge-1.1.1" // { - dependencies = [ - sources."typical-7.1.1" - ]; - }) - sources."@cspotcode/source-map-support-0.8.1" - sources."@glideapps/ts-necessities-2.1.3" - sources."@jridgewell/resolve-uri-3.1.1" - sources."@jridgewell/sourcemap-codec-1.4.15" - sources."@jridgewell/trace-mapping-0.3.9" - (sources."@mark.probst/typescript-json-schema-0.55.0" // { - dependencies = [ - sources."typescript-4.9.4" - ]; - }) - sources."@swc/core-1.3.78" - sources."@swc/core-darwin-arm64-1.3.78" - sources."@swc/core-darwin-x64-1.3.78" - sources."@swc/core-linux-arm-gnueabihf-1.3.78" - sources."@swc/core-linux-arm64-gnu-1.3.78" - sources."@swc/core-linux-arm64-musl-1.3.78" - sources."@swc/core-linux-x64-gnu-1.3.78" - sources."@swc/core-linux-x64-musl-1.3.78" - sources."@swc/core-win32-arm64-msvc-1.3.78" - sources."@swc/core-win32-ia32-msvc-1.3.78" - sources."@swc/core-win32-x64-msvc-1.3.78" - sources."@swc/helpers-0.5.1" - sources."@swc/wasm-1.3.78" - sources."@tsconfig/node10-1.0.9" - sources."@tsconfig/node12-1.0.11" - sources."@tsconfig/node14-1.0.3" - sources."@tsconfig/node16-1.0.4" - sources."@types/json-schema-7.0.12" - sources."@types/node-16.18.43" - sources."@types/urijs-1.19.19" - sources."abort-controller-3.0.0" - sources."acorn-8.10.0" - sources."acorn-walk-8.2.0" - sources."ansi-regex-5.0.1" - sources."ansi-styles-4.3.0" - sources."arg-4.1.3" - sources."array-back-3.1.0" - sources."balanced-match-1.0.2" - sources."base64-js-1.5.1" - sources."brace-expansion-1.1.11" - sources."browser-or-node-2.1.1" - sources."buffer-6.0.3" - sources."chalk-4.1.2" - sources."chalk-template-0.4.0" - sources."cliui-8.0.1" - sources."collection-utils-1.0.1" - sources."color-convert-2.0.1" - sources."color-name-1.1.4" - sources."command-line-args-5.2.1" - (sources."command-line-usage-7.0.1" // { - dependencies = [ - sources."array-back-6.2.2" - sources."typical-7.1.1" - ]; - }) - sources."concat-map-0.0.1" - sources."create-require-1.1.1" - sources."cross-fetch-4.0.0" - sources."diff-4.0.2" - sources."emoji-regex-8.0.0" - sources."encoding-0.1.13" - sources."escalade-3.1.1" - sources."event-target-shim-5.0.1" - sources."events-3.3.0" - sources."find-replace-3.0.0" - sources."fs.realpath-1.0.0" - sources."get-caller-file-2.0.5" - sources."glob-7.2.3" - sources."graphql-0.11.7" - sources."has-flag-4.0.0" - sources."iconv-lite-0.6.3" - sources."ieee754-1.2.1" - sources."inflight-1.0.6" - sources."inherits-2.0.4" - sources."is-fullwidth-code-point-3.0.0" - sources."is-url-1.2.4" - sources."iterall-1.1.3" - sources."js-base64-3.7.5" - sources."lodash-4.17.21" - sources."lodash.assignwith-4.2.0" - sources."lodash.camelcase-4.3.0" - sources."make-error-1.3.6" - sources."minimatch-3.1.2" - sources."moment-2.29.4" - sources."node-fetch-2.6.13" - sources."once-1.4.0" - sources."pako-1.0.11" - sources."path-equal-1.2.5" - sources."path-is-absolute-1.0.1" - sources."pluralize-8.0.0" - sources."process-0.11.10" - sources."quicktype-core-23.0.71" - sources."quicktype-graphql-input-23.0.71" - sources."quicktype-typescript-input-23.0.71" - sources."readable-stream-4.4.2" - sources."require-directory-2.1.1" - sources."safe-buffer-5.2.1" - sources."safe-stable-stringify-2.4.3" - sources."safer-buffer-2.1.2" - sources."stream-chain-2.2.5" - sources."stream-json-1.8.0" - sources."stream-read-all-3.0.1" - (sources."string-to-stream-3.0.1" // { - dependencies = [ - sources."readable-stream-3.6.2" - ]; - }) - sources."string-width-4.2.3" - sources."string_decoder-1.3.0" - sources."strip-ansi-6.0.1" - sources."supports-color-7.2.0" - (sources."table-layout-3.0.2" // { - dependencies = [ - sources."array-back-6.2.2" - sources."typical-7.1.1" - ]; - }) - sources."tiny-inflate-1.0.3" - sources."tr46-0.0.3" - sources."ts-node-10.9.1" - sources."tslib-2.6.2" - sources."typescript-4.9.5" - sources."typical-4.0.0" - sources."unicode-properties-1.4.1" - (sources."unicode-trie-2.0.0" // { - dependencies = [ - sources."pako-0.2.9" - ]; - }) - sources."urijs-1.19.11" - sources."util-deprecate-1.0.2" - sources."v8-compile-cache-lib-3.0.1" - sources."webidl-conversions-3.0.1" - sources."whatwg-url-5.0.0" - sources."wordwrap-1.0.0" - sources."wordwrapjs-5.1.0" - sources."wrap-ansi-7.0.0" - sources."wrappy-1.0.2" - sources."y18n-5.0.8" - sources."yaml-2.3.1" - sources."yargs-17.7.2" - sources."yargs-parser-21.1.1" - sources."yn-3.1.1" - ]; - buildInputs = globalBuildInputs; - meta = { - description = "![](https://raw.githubusercontent.com/quicktype/quicktype/master/media/quicktype-logo.svg?sanitize=true)"; - homepage = "https://github.com/quicktype/quicktype#readme"; - license = "Apache-2.0"; - }; - production = true; - bypassCache = true; - reconstructLock = true; - }; react-native-cli = nodeEnv.buildNodePackage { name = "react-native-cli"; packageName = "react-native-cli"; @@ -107026,208 +105142,6 @@ in bypassCache = true; reconstructLock = true; }; - stylelint = nodeEnv.buildNodePackage { - name = "stylelint"; - packageName = "stylelint"; - version = "15.10.3"; - src = fetchurl { - url = "https://registry.npmjs.org/stylelint/-/stylelint-15.10.3.tgz"; - sha512 = "aBQMMxYvFzJJwkmg+BUUg3YfPyeuCuKo2f+LOw7yYbU8AZMblibwzp9OV4srHVeQldxvSFdz0/Xu8blq2AesiA=="; - }; - dependencies = [ - sources."@babel/code-frame-7.22.10" - sources."@babel/helper-validator-identifier-7.22.5" - sources."@babel/highlight-7.22.10" - sources."@csstools/css-parser-algorithms-2.3.1" - sources."@csstools/css-tokenizer-2.2.0" - sources."@csstools/media-query-list-parser-2.1.4" - sources."@csstools/selector-specificity-3.0.0" - sources."@nodelib/fs.scandir-2.1.5" - sources."@nodelib/fs.stat-2.0.5" - sources."@nodelib/fs.walk-1.2.8" - sources."@types/minimist-1.2.2" - sources."@types/normalize-package-data-2.4.1" - sources."ajv-8.12.0" - sources."ansi-regex-5.0.1" - sources."ansi-styles-3.2.1" - sources."argparse-2.0.1" - sources."array-union-2.1.0" - sources."arrify-1.0.1" - sources."astral-regex-2.0.0" - sources."balanced-match-2.0.0" - (sources."brace-expansion-1.1.11" // { - dependencies = [ - sources."balanced-match-1.0.2" - ]; - }) - sources."braces-3.0.2" - sources."callsites-3.1.0" - sources."camelcase-6.3.0" - sources."camelcase-keys-7.0.2" - sources."chalk-2.4.2" - sources."color-convert-1.9.3" - sources."color-name-1.1.3" - sources."colord-2.9.3" - sources."concat-map-0.0.1" - sources."cosmiconfig-8.2.0" - sources."css-functions-list-3.2.0" - sources."css-tree-2.3.1" - sources."cssesc-3.0.0" - sources."debug-4.3.4" - sources."decamelize-5.0.1" - (sources."decamelize-keys-1.1.1" // { - dependencies = [ - sources."decamelize-1.2.0" - sources."map-obj-1.0.1" - ]; - }) - sources."dir-glob-3.0.1" - sources."emoji-regex-8.0.0" - sources."error-ex-1.3.2" - sources."escape-string-regexp-1.0.5" - sources."fast-deep-equal-3.1.3" - sources."fast-glob-3.3.1" - sources."fastest-levenshtein-1.0.16" - sources."fastq-1.15.0" - sources."file-entry-cache-6.0.1" - sources."fill-range-7.0.1" - sources."find-up-5.0.0" - sources."flat-cache-3.0.4" - sources."flatted-3.2.7" - sources."fs.realpath-1.0.0" - sources."function-bind-1.1.1" - sources."glob-7.2.3" - sources."glob-parent-5.1.2" - sources."global-modules-2.0.0" - sources."global-prefix-3.0.0" - sources."globby-11.1.0" - sources."globjoin-0.1.4" - sources."hard-rejection-2.1.0" - sources."has-1.0.3" - sources."has-flag-3.0.0" - sources."hosted-git-info-4.1.0" - sources."html-tags-3.3.1" - sources."ignore-5.2.4" - (sources."import-fresh-3.3.0" // { - dependencies = [ - sources."resolve-from-4.0.0" - ]; - }) - sources."import-lazy-4.0.0" - sources."imurmurhash-0.1.4" - sources."indent-string-5.0.0" - sources."inflight-1.0.6" - sources."inherits-2.0.4" - sources."ini-1.3.8" - sources."is-arrayish-0.2.1" - sources."is-core-module-2.13.0" - sources."is-extglob-2.1.1" - sources."is-fullwidth-code-point-3.0.0" - sources."is-glob-4.0.3" - sources."is-number-7.0.0" - sources."is-plain-obj-1.1.0" - sources."is-plain-object-5.0.0" - sources."isexe-2.0.0" - sources."js-tokens-4.0.0" - sources."js-yaml-4.1.0" - sources."json-parse-even-better-errors-2.3.1" - sources."json-schema-traverse-1.0.0" - sources."kind-of-6.0.3" - sources."known-css-properties-0.28.0" - sources."lines-and-columns-1.2.4" - sources."locate-path-6.0.0" - sources."lodash.truncate-4.4.2" - sources."lru-cache-6.0.0" - sources."map-obj-4.3.0" - sources."mathml-tag-names-2.1.3" - sources."mdn-data-2.0.30" - sources."meow-10.1.5" - sources."merge2-1.4.1" - sources."micromatch-4.0.5" - sources."min-indent-1.0.1" - sources."minimatch-3.1.2" - sources."minimist-options-4.1.0" - sources."ms-2.1.2" - sources."nanoid-3.3.6" - sources."normalize-package-data-3.0.3" - sources."normalize-path-3.0.0" - sources."once-1.4.0" - sources."p-limit-3.1.0" - sources."p-locate-5.0.0" - sources."parent-module-1.0.1" - sources."parse-json-5.2.0" - sources."path-exists-4.0.0" - sources."path-is-absolute-1.0.1" - sources."path-type-4.0.0" - sources."picocolors-1.0.0" - sources."picomatch-2.3.1" - sources."postcss-8.4.28" - sources."postcss-resolve-nested-selector-0.1.1" - sources."postcss-safe-parser-6.0.0" - sources."postcss-selector-parser-6.0.13" - sources."postcss-value-parser-4.2.0" - sources."punycode-2.3.0" - sources."queue-microtask-1.2.3" - sources."quick-lru-5.1.1" - sources."read-pkg-6.0.0" - sources."read-pkg-up-8.0.0" - sources."redent-4.0.0" - sources."require-from-string-2.0.2" - sources."resolve-from-5.0.0" - sources."reusify-1.0.4" - sources."rimraf-3.0.2" - sources."run-parallel-1.2.0" - sources."semver-7.5.4" - sources."signal-exit-4.1.0" - sources."slash-3.0.0" - (sources."slice-ansi-4.0.0" // { - dependencies = [ - sources."ansi-styles-4.3.0" - sources."color-convert-2.0.1" - sources."color-name-1.1.4" - ]; - }) - sources."source-map-js-1.0.2" - sources."spdx-correct-3.2.0" - sources."spdx-exceptions-2.3.0" - sources."spdx-expression-parse-3.0.1" - sources."spdx-license-ids-3.0.13" - sources."string-width-4.2.3" - sources."strip-ansi-6.0.1" - sources."strip-indent-4.0.0" - sources."style-search-0.1.0" - sources."supports-color-5.5.0" - (sources."supports-hyperlinks-3.0.0" // { - dependencies = [ - sources."has-flag-4.0.0" - sources."supports-color-7.2.0" - ]; - }) - sources."svg-tags-1.0.0" - sources."table-6.8.1" - sources."to-regex-range-5.0.1" - sources."trim-newlines-4.1.1" - sources."type-fest-1.4.0" - sources."uri-js-4.4.1" - sources."util-deprecate-1.0.2" - sources."validate-npm-package-license-3.0.4" - sources."which-1.3.1" - sources."wrappy-1.0.2" - sources."write-file-atomic-5.0.1" - sources."yallist-4.0.0" - sources."yargs-parser-20.2.9" - sources."yocto-queue-0.1.0" - ]; - buildInputs = globalBuildInputs; - meta = { - description = "A mighty CSS linter that helps you avoid errors and enforce conventions."; - homepage = "https://stylelint.io"; - license = "MIT"; - }; - production = true; - bypassCache = true; - reconstructLock = true; - }; svelte-check = nodeEnv.buildNodePackage { name = "svelte-check"; packageName = "svelte-check"; @@ -108285,37 +106199,6 @@ in bypassCache = true; reconstructLock = true; }; - terser = nodeEnv.buildNodePackage { - name = "terser"; - packageName = "terser"; - version = "5.19.2"; - src = fetchurl { - url = "https://registry.npmjs.org/terser/-/terser-5.19.2.tgz"; - sha512 = "qC5+dmecKJA4cpYxRa5aVkKehYsQKc+AHeKl0Oe62aYjBL8ZA33tTljktDHJSaxxMnbI5ZYw+o/S2DxxLu8OfA=="; - }; - dependencies = [ - sources."@jridgewell/gen-mapping-0.3.3" - sources."@jridgewell/resolve-uri-3.1.1" - sources."@jridgewell/set-array-1.1.2" - sources."@jridgewell/source-map-0.3.5" - sources."@jridgewell/sourcemap-codec-1.4.15" - sources."@jridgewell/trace-mapping-0.3.19" - sources."acorn-8.10.0" - sources."buffer-from-1.1.2" - sources."commander-2.20.3" - sources."source-map-0.6.1" - sources."source-map-support-0.5.21" - ]; - buildInputs = globalBuildInputs; - meta = { - description = "JavaScript parser, mangler/compressor and beautifier toolkit for ES6+"; - homepage = "https://terser.org"; - license = "BSD-2-Clause"; - }; - production = true; - bypassCache = true; - reconstructLock = true; - }; textlint = nodeEnv.buildNodePackage { name = "textlint"; packageName = "textlint"; diff --git a/nixpkgs/pkgs/development/node-packages/overrides.nix b/nixpkgs/pkgs/development/node-packages/overrides.nix index c58c474e5a2d..036b73c3e8b3 100644 --- a/nixpkgs/pkgs/development/node-packages/overrides.nix +++ b/nixpkgs/pkgs/development/node-packages/overrides.nix @@ -204,14 +204,6 @@ final: prev: { ''; }); - near-cli = prev.near-cli.override { - nativeBuildInputs = with pkgs; [ - libusb1 - final.prebuild-install - final.node-gyp-build - pkg-config - ]; - }; node-gyp = prev.node-gyp.override { nativeBuildInputs = [ pkgs.buildPackages.makeWrapper ]; diff --git a/nixpkgs/pkgs/development/ocaml-modules/pp_loc/default.nix b/nixpkgs/pkgs/development/ocaml-modules/pp_loc/default.nix new file mode 100644 index 000000000000..147dd49f5d84 --- /dev/null +++ b/nixpkgs/pkgs/development/ocaml-modules/pp_loc/default.nix @@ -0,0 +1,22 @@ +{ lib, fetchurl, buildDunePackage }: + +buildDunePackage rec { + pname = "pp_loc"; + version = "2.1.0"; + + minimalOCamlVersion = "4.08"; + + src = fetchurl { + url = "https://github.com/Armael/pp_loc/releases/download/v${version}/pp_loc-${version}.tbz"; + hash = "sha256-L3NlBdQx6BpP6FGtMQ/ynsTNIMj9N+8FDZ5vEFC6p8s="; + }; + + doCheck = true; + + meta = { + description = "Quote and highlight input fragments at a given source location"; + license = lib.licenses.mit; + maintainers = [ lib.maintainers.vbgl ]; + homepage = "https://armael.github.io/pp_loc/pp_loc/"; + }; +} diff --git a/nixpkgs/pkgs/development/ocaml-modules/tar/default.nix b/nixpkgs/pkgs/development/ocaml-modules/tar/default.nix index 031bd4d5b141..3a21fd21a0c3 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/tar/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/tar/default.nix @@ -2,20 +2,18 @@ , fetchurl , buildDunePackage , camlp-streams -, ppx_cstruct , cstruct , decompress }: buildDunePackage rec { pname = "tar"; - version = "2.2.2"; + version = "2.5.1"; src = fetchurl { url = "https://github.com/mirage/ocaml-tar/releases/download/v${version}/tar-${version}.tbz"; - hash = "sha256-Q+41LPFZFHi9sXKFV3F13FZZNO3KXRSElEmr+nH58Uw="; + hash = "sha256-00QPSIZnoFvhZEnDcdEDJUqhE0uKLxNMM2pUE8aMPfQ="; }; - duneVersion = "3"; minimalOCamlVersion = "4.08"; propagatedBuildInputs = [ @@ -24,10 +22,6 @@ buildDunePackage rec { decompress ]; - buildInputs = [ - ppx_cstruct - ]; - doCheck = true; meta = { diff --git a/nixpkgs/pkgs/development/ocaml-modules/tar/unix.nix b/nixpkgs/pkgs/development/ocaml-modules/tar/unix.nix index 9426a6aaf10f..92b5a9237f5d 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/tar/unix.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/tar/unix.nix @@ -3,12 +3,12 @@ , tar , cstruct-lwt , lwt +, git }: buildDunePackage rec { pname = "tar-unix"; inherit (tar) version src doCheck; - duneVersion = "3"; propagatedBuildInputs = [ tar @@ -16,6 +16,10 @@ buildDunePackage rec { lwt ]; + nativeCheckInputs = [ + git + ]; + meta = tar.meta // { description = "Decode and encode tar format files from Unix"; }; diff --git a/nixpkgs/pkgs/development/php-packages/memcache/default.nix b/nixpkgs/pkgs/development/php-packages/memcache/default.nix new file mode 100644 index 000000000000..6cb205c61ff6 --- /dev/null +++ b/nixpkgs/pkgs/development/php-packages/memcache/default.nix @@ -0,0 +1,31 @@ +{ buildPecl, lib, fetchFromGitHub, php, zlib, pkg-config }: + +buildPecl rec { + pname = "memcache"; + version = "8.2"; + + src = fetchFromGitHub { + owner = "websupport-sk"; + repo = "pecl-memcache"; + rev = version; + hash = "sha256-77GvQ59XUpIZmdYZP6IhtjdkYwXKuNBSG+LBScz2BtI="; + }; + + internalDeps = [ + php.extensions.session + ]; + + configureFlags = [ + "--with-zlib-dir=${zlib.dev}" + ]; + + nativeBuildInputs = [ pkg-config ]; + buildInputs = [ zlib ]; + + meta = with lib; { + description = "PHP extension for interfacing with memcached"; + license = licenses.php301; + homepage = "https://github.com/websupport-sk/pecl-memcache"; + maintainers = teams.php.members ++ [ maintainers.krzaczek ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/acunetix/default.nix b/nixpkgs/pkgs/development/python-modules/acunetix/default.nix new file mode 100644 index 000000000000..b28a6beefbc7 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/acunetix/default.nix @@ -0,0 +1,42 @@ +{ lib +, aiofiles +, buildPythonPackage +, fetchFromGitHub +, pythonOlder +, requests +}: + +buildPythonPackage rec { + pname = "acunetix"; + version = "0.0.7"; + format = "setuptools"; + + disabled = pythonOlder "3.7"; + + src = fetchFromGitHub { + owner = "hikariatama"; + repo = "acunetix"; + # https://github.com/hikariatama/acunetix/issues/1 + rev = "67584746731b9f7abd1cf10ff8161eb3085800ce"; + hash = "sha256-ycdCz8CNSP0USxv657jf6Vz4iF//reCeO2tG+und86A="; + }; + + propagatedBuildInputs = [ + aiofiles + requests + ]; + + # Module has no tests + doCheck = false; + + pythonImportsCheck = [ + "acunetix" + ]; + + meta = with lib; { + description = "Acunetix Web Vulnerability Scanner SDK for Python"; + homepage = "https://github.com/hikariatama/acunetix"; + license = licenses.agpl3Only; + maintainers = with maintainers; [ fab ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/aioaladdinconnect/default.nix b/nixpkgs/pkgs/development/python-modules/aioaladdinconnect/default.nix index 0095813f32cf..f1bee9f07ea1 100644 --- a/nixpkgs/pkgs/development/python-modules/aioaladdinconnect/default.nix +++ b/nixpkgs/pkgs/development/python-modules/aioaladdinconnect/default.nix @@ -7,7 +7,7 @@ buildPythonPackage rec { pname = "aioaladdinconnect"; - version = "0.1.57"; + version = "0.1.58"; format = "setuptools"; disabled = pythonOlder "3.7"; @@ -15,7 +15,7 @@ buildPythonPackage rec { src = fetchPypi { pname = "AIOAladdinConnect"; inherit version; - hash = "sha256-NPcrGViqrUcjuzqmsI0bXxqxcyoZ9Xp/5z4BPemdjrY="; + hash = "sha256-ymynaOKvnqqHIEuQc+5CagsaH5cHnQit8ileoUO6G+I="; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/aiohomekit/default.nix b/nixpkgs/pkgs/development/python-modules/aiohomekit/default.nix index e083abc1d7ea..afe7223f91f5 100644 --- a/nixpkgs/pkgs/development/python-modules/aiohomekit/default.nix +++ b/nixpkgs/pkgs/development/python-modules/aiohomekit/default.nix @@ -19,7 +19,7 @@ buildPythonPackage rec { pname = "aiohomekit"; - version = "3.0.2"; + version = "3.0.3"; format = "pyproject"; disabled = pythonOlder "3.9"; @@ -28,7 +28,7 @@ buildPythonPackage rec { owner = "Jc2k"; repo = pname; rev = "refs/tags/${version}"; - hash = "sha256-EE8+VoZ755wd8s3Gm0lziu+1r4rAFgdjEtqI0apoZ7E="; + hash = "sha256-6fNsiHddnsdjei0/wqx5ifWhM3bALlYG5Gli69+FmnM="; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/aiounifi/default.nix b/nixpkgs/pkgs/development/python-modules/aiounifi/default.nix index 45591837df44..a906f8311f81 100644 --- a/nixpkgs/pkgs/development/python-modules/aiounifi/default.nix +++ b/nixpkgs/pkgs/development/python-modules/aiounifi/default.nix @@ -15,7 +15,7 @@ buildPythonPackage rec { pname = "aiounifi"; - version = "61"; + version = "62"; format = "pyproject"; disabled = pythonOlder "3.11"; @@ -24,7 +24,7 @@ buildPythonPackage rec { owner = "Kane610"; repo = pname; rev = "refs/tags/v${version}"; - hash = "sha256-tzP20KDPCq1/fJY+OfEpo3LMbP662ROh2aPI4nmDp0Y="; + hash = "sha256-5XCF67YuelS4RDUxfImSAELfdb3rJWGprIYQeQPp+yk="; }; postPatch = '' diff --git a/nixpkgs/pkgs/development/python-modules/aiovodafone/default.nix b/nixpkgs/pkgs/development/python-modules/aiovodafone/default.nix index 46e635fce012..2a6c040afefb 100644 --- a/nixpkgs/pkgs/development/python-modules/aiovodafone/default.nix +++ b/nixpkgs/pkgs/development/python-modules/aiovodafone/default.nix @@ -10,7 +10,7 @@ buildPythonPackage rec { pname = "aiovodafone"; - version = "0.1.0"; + version = "0.2.0"; format = "pyproject"; disabled = pythonOlder "3.10"; @@ -19,7 +19,7 @@ buildPythonPackage rec { owner = "chemelli74"; repo = "aiovodafone"; rev = "refs/tags/v${version}"; - hash = "sha256-VO+lQK+0bSQqnFiLzRMnVTpTJRjv2fZhDbIoTiMFWFI="; + hash = "sha256-KIYVGPJSOWEWXuYQXmRgtXwL3kI371jvx7vbfTni2jI="; }; postPatch = '' diff --git a/nixpkgs/pkgs/development/python-modules/amcrest/default.nix b/nixpkgs/pkgs/development/python-modules/amcrest/default.nix index 83ccc797cf12..1de7da6f829f 100644 --- a/nixpkgs/pkgs/development/python-modules/amcrest/default.nix +++ b/nixpkgs/pkgs/development/python-modules/amcrest/default.nix @@ -14,14 +14,16 @@ buildPythonPackage rec { pname = "amcrest"; - version = "1.9.7"; - disabled = pythonOlder "3.6"; + version = "1.9.8"; + format = "setuptools"; + + disabled = pythonOlder "3.7"; src = fetchFromGitHub { owner = "tchellomello"; repo = "python-amcrest"; - rev = version; - hash = "sha256-An7MnGtZsmEZU/y6E0sivdexFD6HJRTB1juXqHfbDzE="; + rev = "refs/tags/${version}"; + hash = "sha256-v0jWEZo06vltEq//suGrvJ/AeeDxUG5CCFhbf03q34w="; }; propagatedBuildInputs = [ @@ -38,11 +40,14 @@ buildPythonPackage rec { responses ]; - pythonImportsCheck = [ "amcrest" ]; + pythonImportsCheck = [ + "amcrest" + ]; meta = with lib; { description = "Python module for Amcrest and Dahua Cameras"; homepage = "https://github.com/tchellomello/python-amcrest"; + changelog = "https://github.com/tchellomello/python-amcrest/releases/tag/${version}"; license = with licenses; [ gpl2Only ]; maintainers = with maintainers; [ fab ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/ansible-compat/default.nix b/nixpkgs/pkgs/development/python-modules/ansible-compat/default.nix index 479ff401a425..b6c88d2df162 100644 --- a/nixpkgs/pkgs/development/python-modules/ansible-compat/default.nix +++ b/nixpkgs/pkgs/development/python-modules/ansible-compat/default.nix @@ -13,14 +13,14 @@ buildPythonPackage rec { pname = "ansible-compat"; - version = "4.1.8"; + version = "4.1.10"; format = "pyproject"; disabled = pythonOlder "3.7"; src = fetchPypi { inherit pname version; - hash = "sha256-9YE19dEj4I/bfhGEm4KUXhkA+MiZughZ1LQbJcdsqVU="; + hash = "sha256-K+jHtRDS4V7tHp70QyCdZ9muyPQnAmuIk21FNf9Zhj0="; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/ansible/core.nix b/nixpkgs/pkgs/development/python-modules/ansible/core.nix index be0a887cd8a8..633811c34c52 100644 --- a/nixpkgs/pkgs/development/python-modules/ansible/core.nix +++ b/nixpkgs/pkgs/development/python-modules/ansible/core.nix @@ -28,11 +28,11 @@ buildPythonPackage rec { pname = "ansible-core"; - version = "2.15.3"; + version = "2.15.4"; src = fetchPypi { inherit pname version; - hash = "sha256-JhvAGhUnT8WmlQ1bkrmqG318bo91Q8kUUF5b/ZdEeTo="; + hash = "sha256-waiq7emF955ZMrohY2OTeffYAlv9myg3jbFkmk71Qe0="; }; # ansible_connection is already wrapped, so don't pass it through diff --git a/nixpkgs/pkgs/development/python-modules/argh/default.nix b/nixpkgs/pkgs/development/python-modules/argh/default.nix index 556d26b29f08..bdc296f432a0 100644 --- a/nixpkgs/pkgs/development/python-modules/argh/default.nix +++ b/nixpkgs/pkgs/development/python-modules/argh/default.nix @@ -10,12 +10,12 @@ buildPythonPackage rec { pname = "argh"; - version = "0.28.1"; + version = "0.29.3"; format = "pyproject"; src = fetchPypi { inherit pname version; - hash = "sha256-sgkwhvDoCaPswktkohRTCe6PVtA0k2zVnlfFWKNXMp0="; + hash = "sha256-WOQ4zpFpqqLm3hR+POs0zqz+JlVqIwb1Di1G9Sd5rLE="; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/async-upnp-client/default.nix b/nixpkgs/pkgs/development/python-modules/async-upnp-client/default.nix index 7f6130414488..acebba712643 100644 --- a/nixpkgs/pkgs/development/python-modules/async-upnp-client/default.nix +++ b/nixpkgs/pkgs/development/python-modules/async-upnp-client/default.nix @@ -15,7 +15,7 @@ buildPythonPackage rec { pname = "async-upnp-client"; - version = "0.35.0"; + version = "0.35.1"; format = "setuptools"; disabled = pythonOlder "3.8"; @@ -24,7 +24,7 @@ buildPythonPackage rec { owner = "StevenLooman"; repo = "async_upnp_client"; rev = "refs/tags/${version}"; - hash = "sha256-U1PkOu257ppSsoPQr4oYdNKkUrm1WKAPuuMy1pjLx8A="; + hash = "sha256-owg9oZv/smovJPoCjr9Y0TK4Ap5IMD7cNagtkYkJk1c="; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/authheaders/default.nix b/nixpkgs/pkgs/development/python-modules/authheaders/default.nix index 5ad2e29976a5..7d4a4075439e 100644 --- a/nixpkgs/pkgs/development/python-modules/authheaders/default.nix +++ b/nixpkgs/pkgs/development/python-modules/authheaders/default.nix @@ -12,7 +12,7 @@ buildPythonPackage rec { pname = "authheaders"; - version = "0.15.2"; + version = "0.15.3"; format = "setuptools"; disabled = pythonOlder "3.7"; @@ -21,7 +21,7 @@ buildPythonPackage rec { owner = "ValiMail"; repo = "authentication-headers"; rev = "refs/tags/${version}"; - hash = "sha256-vtLt7JUdLF0gBWgMzP65UAR6A9BnTech5n0alFErcSQ="; + hash = "sha256-96fCx5uN7yegTrCN+LSjtu4u3RL+dcxV/Puyo0eziI8="; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/autoslot/default.nix b/nixpkgs/pkgs/development/python-modules/autoslot/default.nix new file mode 100644 index 000000000000..c234b35e0e58 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/autoslot/default.nix @@ -0,0 +1,47 @@ +{ lib +, buildPythonPackage +, fetchFromGitHub +, flit-core +, pytestCheckHook +, pythonOlder +}: + +buildPythonPackage rec { + pname = "autoslot"; + version = "2022.12.1"; + format = "pyproject"; + + disabled = pythonOlder "3.7"; + + src = fetchFromGitHub { + owner = "cjrh"; + repo = "autoslot"; + rev = "refs/tags/v${version}"; + hash = "sha256-fG4rRwRubJt2aXChEsMybEKal6LscZI7GA2uwtK5Vtg="; + }; + + postPatch = '' + substituteInPlace pyproject.toml \ + --replace 'requires = ["flit"]' 'requires = ["flit_core"]' \ + --replace 'build-backend = "flit.buildapi"' 'build-backend = "flit_core.buildapi"' + ''; + + nativeBuildInputs = [ + flit-core + ]; + + nativeCheckInputs = [ + pytestCheckHook + ]; + + pythonImportsCheck = [ + "autoslot" + ]; + + meta = with lib; { + description = "Automatic __slots__ for your Python classes"; + homepage = "https://github.com/cjrh/autoslot"; + license = licenses.asl20; + maintainers = with maintainers; [ fab ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/black/default.nix b/nixpkgs/pkgs/development/python-modules/black/default.nix index 6019db6c7d7e..76890aeda286 100644 --- a/nixpkgs/pkgs/development/python-modules/black/default.nix +++ b/nixpkgs/pkgs/development/python-modules/black/default.nix @@ -26,14 +26,14 @@ buildPythonPackage rec { pname = "black"; - version = "23.3.0"; + version = "23.9.1"; format = "pyproject"; - disabled = pythonOlder "3.7"; + disabled = pythonOlder "3.8"; src = fetchPypi { inherit pname version; - hash = "sha256-HHuNYG5yikHqHMvXJkZ35JTofPYw45kmLO2S1KjayUA="; + hash = "sha256-JLaz/1xtnqCKiIj2l36uhY4fNA1yYM9W1wpJgjI2ti0="; }; nativeBuildInputs = [ @@ -50,7 +50,6 @@ buildPythonPackage rec { platformdirs ] ++ lib.optionals (pythonOlder "3.11") [ tomli - ] ++ lib.optionals (pythonOlder "3.10") [ typing-extensions ]; diff --git a/nixpkgs/pkgs/development/python-modules/bokeh/default.nix b/nixpkgs/pkgs/development/python-modules/bokeh/default.nix index 3b7234cdc955..adca1fe308b0 100644 --- a/nixpkgs/pkgs/development/python-modules/bokeh/default.nix +++ b/nixpkgs/pkgs/development/python-modules/bokeh/default.nix @@ -1,43 +1,68 @@ -{ buildPythonPackage +{ lib +, stdenv +, buildPythonPackage , fetchPypi -, futures ? null -, isPy27 -, isPyPy +, fetchFromGitHub +, pythonOlder +, substituteAll +, colorama +, contourpy , jinja2 -, lib , mock , numpy , nodejs , packaging +, pandas , pillow -#, pytestCheckHook# -, pytest -, python-dateutil -, pyyaml -, selenium -, six -, substituteAll , tornado -, typing-extensions -, pytz -, flaky -, networkx +, pytestCheckHook +, pyyaml +, setuptools +, setuptools-git-versioning +, xyzservices , beautifulsoup4 -, requests +, channels +, click +, colorcet +, coverage +, firefox +, geckodriver +, isort +, json5 , nbconvert -, icalendar -, pandas -, pythonImportsCheckHook +, networkx +, psutil +, pygments +, pygraphviz +, pytest +, pytest-asyncio +, pytest-xdist +, pytest-timeout +, requests +, scipy +, selenium +, toml +, typing-extensions }: buildPythonPackage rec { pname = "bokeh"; # update together with panel which is not straightforward - version = "2.4.3"; + version = "3.2.2"; + format = "pyproject"; + + disabled = pythonOlder "3.9"; src = fetchPypi { inherit pname version; - hash = "sha256-7zOAEWGvN5Zlq3o0aE8iCYYeOu/VyAOiH7u5nZSHSwM="; + hash = "sha256-spWbhSTWnsTniGvDZAdEXwqS4fGVMNO/xARSNqG3pv8="; + }; + + src_test = fetchFromGitHub { + owner = "bokeh"; + repo = pname; + rev = "refs/tags/${version}"; + hash = "sha256-PK9iLOCcivr4oF9Riq73dzxGfxzWRk3bdrCCpRrTv5g="; }; patches = [ @@ -48,48 +73,58 @@ buildPythonPackage rec { }) ]; - disabled = isPyPy || isPy27; - nativeBuildInputs = [ - pythonImportsCheckHook - ]; - - pythonImportsCheck = [ - "bokeh" + colorama + nodejs + setuptools + setuptools-git-versioning ]; nativeCheckInputs = [ - mock - pytest - pillow - selenium - pytz - flaky - networkx + pytestCheckHook beautifulsoup4 - requests + channels + click + colorcet + coverage + firefox + geckodriver + isort + json5 nbconvert - icalendar - pandas + networkx + psutil + pygments + pygraphviz + pytest + pytest-asyncio + pytest-xdist + pytest-timeout + requests + scipy + selenium + toml + typing-extensions ]; propagatedBuildInputs = [ - pillow jinja2 - python-dateutil - six - pyyaml - tornado + contourpy numpy packaging - typing-extensions - ] - ++ lib.optionals ( isPy27 ) [ - futures + pandas + pillow + pyyaml + tornado + xyzservices ]; - # This test suite is a complete pain. Somehow it can't find its fixtures. - doCheck = false; + doCheck = false; # need more work + pytestFlagsArray = "tests/test_defaults.py"; + pythonImportsCheck = [ "bokeh" ]; + preCheck = '' + cp -rv ''${src_test}/tests/* ./tests/ + ''; meta = { description = "Statistical and novel interactive HTML plots for Python"; diff --git a/nixpkgs/pkgs/development/python-modules/bokeh/hardcode-nodejs-npmjs-paths.patch b/nixpkgs/pkgs/development/python-modules/bokeh/hardcode-nodejs-npmjs-paths.patch index f8f33c0021f2..4ebfc7e3f2d6 100644 --- a/nixpkgs/pkgs/development/python-modules/bokeh/hardcode-nodejs-npmjs-paths.patch +++ b/nixpkgs/pkgs/development/python-modules/bokeh/hardcode-nodejs-npmjs-paths.patch @@ -1,9 +1,8 @@ -diff --git a/bokeh/util/compiler.py b/bokeh/util/compiler.py -index a752aad7d..8af05ff63 100644 ---- a/bokeh/util/compiler.py -+++ b/bokeh/util/compiler.py -@@ -442,8 +442,8 @@ def _detect_nodejs(): - raise RuntimeError('node.js v%s or higher is needed to allow compilation of custom models ' % version + +diff -ru a/src/bokeh/util/compiler.py b/src/bokeh/util/compiler.py +--- a/src/bokeh/util/compiler.py ++++ b/src/bokeh/util/compiler.py +@@ -411,8 +411,8 @@ + raise RuntimeError(f'node.js v{version_repr} or higher is needed to allow compilation of custom models ' + '("conda install nodejs" or follow https://nodejs.org/en/download/)') -_nodejs = None @@ -11,5 +10,5 @@ index a752aad7d..8af05ff63 100644 +_nodejs = "@node_bin@" +_npmjs = "@npm_bin@" - def _nodejs_path(): + def _nodejs_path() -> str: global _nodejs diff --git a/nixpkgs/pkgs/development/python-modules/cachier/default.nix b/nixpkgs/pkgs/development/python-modules/cachier/default.nix new file mode 100644 index 000000000000..2a1fe461d51c --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/cachier/default.nix @@ -0,0 +1,87 @@ +{ lib +, buildPythonPackage +, pythonOlder +, fetchPypi +, pythonRelaxDepsHook +, setuptools +, watchdog +, portalocker +, pathtools +, pytestCheckHook +, pymongo +, dnspython +, pymongo-inmemory +, pandas +}: + +buildPythonPackage rec { + pname = "cachier"; + version = "2.2.1"; + format = "setuptools"; + + disabled = pythonOlder "3.8"; + + src = fetchPypi { + inherit pname version; + hash = "sha256-nm98LT87Z7yErKvIqMp93OEX9TDojqqtItgryHgSQJQ="; + }; + + pythonRemoveDeps = [ "setuptools" ]; + + nativeBuildInputs = [ + pythonRelaxDepsHook + setuptools + ]; + + propagatedBuildInputs = [ + watchdog + portalocker + pathtools + ]; + + preCheck = '' + substituteInPlace pytest.ini \ + --replace \ + "--cov" \ + "#--cov" + ''; + + nativeCheckInputs = [ + pytestCheckHook + pymongo + dnspython + pymongo-inmemory + pandas + ]; + + disabledTests = [ + # touches network + "test_mongetter_default_param" + "test_stale_after_applies_dynamically" + "test_next_time_applies_dynamically" + "test_wait_for_calc_" + "test_precache_value" + "test_ignore_self_in_methods" + "test_mongo_index_creation" + "test_mongo_core" + + # don't test formatting + "test_flake8" + ]; + + preBuild = '' + export HOME="$(mktemp -d)" + ''; + + pythonImportsCheck = [ + "cachier" + "cachier.scripts" + ]; + + meta = { + homepage = "https://github.com/python-cachier/cachier"; + description = "Persistent, stale-free, local and cross-machine caching for functions"; + maintainers = with lib.maintainers; [ pbsds ]; + license = lib.licenses.mit; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/checkdmarc/default.nix b/nixpkgs/pkgs/development/python-modules/checkdmarc/default.nix index e7cedec9791b..6c261cff35fd 100644 --- a/nixpkgs/pkgs/development/python-modules/checkdmarc/default.nix +++ b/nixpkgs/pkgs/development/python-modules/checkdmarc/default.nix @@ -16,7 +16,7 @@ buildPythonPackage rec { pname = "checkdmarc"; - version = "4.8.0"; + version = "4.8.4"; format = "pyproject"; disabled = pythonOlder "3.7"; @@ -24,9 +24,8 @@ buildPythonPackage rec { src = fetchFromGitHub { owner = "domainaware"; repo = "checkdmarc"; - # https://github.com/domainaware/checkdmarc/issues/102 - rev = "d0364ceef3cfd41052273913369e3831cb6fe4fd"; - hash = "sha256-OSljewDeyJtoxkCQjPU9wIsNhhxumHmeu9GHvRD4DRY="; + rev = "refs/tags/${version}"; + hash = "sha256-NNB5dYQzzdNapjP4mtpCW08BzfZ+FFRESUtpxCOzrdk="; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/chispa/default.nix b/nixpkgs/pkgs/development/python-modules/chispa/default.nix index 89accbd70ec5..352a27c515dc 100644 --- a/nixpkgs/pkgs/development/python-modules/chispa/default.nix +++ b/nixpkgs/pkgs/development/python-modules/chispa/default.nix @@ -8,7 +8,7 @@ buildPythonPackage rec { pname = "chispa"; - version = "0.8.3"; + version = "0.9.3"; format = "pyproject"; disabled = pythonOlder "3.7"; @@ -16,8 +16,8 @@ buildPythonPackage rec { src = fetchFromGitHub { owner = "MrPowers"; repo = "chispa"; - rev = "v${version}"; - hash = "sha256-1ePx8VbU8pMd5EsZhFp6qyMptlUxpoCvJfuDm9xXOdc="; + rev = "refs/tags/v${version}"; + hash = "sha256-C+fodrQ7PztGzFHAi9SF+rkwtf4bdjDE2u0uORDXBbE="; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/clarifai-grpc/default.nix b/nixpkgs/pkgs/development/python-modules/clarifai-grpc/default.nix index cec151526074..d9dbcf86fe53 100644 --- a/nixpkgs/pkgs/development/python-modules/clarifai-grpc/default.nix +++ b/nixpkgs/pkgs/development/python-modules/clarifai-grpc/default.nix @@ -10,14 +10,14 @@ buildPythonPackage rec { pname = "clarifai-grpc"; - version = "9.5.0"; + version = "9.8.0"; format = "setuptools"; disabled = pythonOlder "3.8"; src = fetchPypi { inherit pname version; - hash = "sha256-amMUgRXtvcrALfWwat7W0W60FJQnEeMvHhyxxBCMqUg="; + hash = "sha256-hUx+dUx0Lkz6sEZizHqH8ONk2r19D9MIVuefhBmjEiQ="; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/dbus-fast/default.nix b/nixpkgs/pkgs/development/python-modules/dbus-fast/default.nix index d511ccfb12fa..5dc9b5675a4d 100644 --- a/nixpkgs/pkgs/development/python-modules/dbus-fast/default.nix +++ b/nixpkgs/pkgs/development/python-modules/dbus-fast/default.nix @@ -13,7 +13,7 @@ buildPythonPackage rec { pname = "dbus-fast"; - version = "2.0.1"; + version = "2.7.0"; format = "pyproject"; disabled = pythonOlder "3.7"; @@ -22,7 +22,7 @@ buildPythonPackage rec { owner = "Bluetooth-Devices"; repo = pname; rev = "refs/tags/v${version}"; - hash = "sha256-gaV9IbifHlxY0d8sDvWnRBDyOnWc4gqsRHTgeyyeVQs="; + hash = "sha256-o75N/msocSYBe3tTLYGJbqMnbiQb/t3nfJIDDr6kPxM="; }; # The project can build both an optimized cython version and an unoptimized diff --git a/nixpkgs/pkgs/development/python-modules/django-google-analytics-app/default.nix b/nixpkgs/pkgs/development/python-modules/django-google-analytics-app/default.nix new file mode 100644 index 000000000000..ad9262f844a5 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/django-google-analytics-app/default.nix @@ -0,0 +1,54 @@ +{ lib +, beautifulsoup4 +, buildPythonPackage +, celery +, django +, fetchFromGitHub +, importlib-metadata +, python +, pythonOlder +, requests +, structlog +}: + +buildPythonPackage rec { + pname = "django-google-analytics-app"; + version = "6.0.0"; + format = "setuptools"; + + disabled = pythonOlder "3.7"; + + src = fetchFromGitHub { + owner = "praekeltfoundation"; + repo = "django-google-analytics"; + rev = "refs/tags/${version}"; + hash = "sha256-0KLfGZY8qq5JGb+LJXpQRS76+qXtrf/hv6QLenm+BhQ="; + }; + + propagatedBuildInputs = [ + beautifulsoup4 + celery + django + importlib-metadata + requests + structlog + ]; + + checkPhase = '' + runHook preCheck + ${python.interpreter} -m django check --settings=test_settings + runHook postCheck + ''; + + pythonImportsCheck = [ + "google_analytics" + ]; + + meta = with lib; { + description = "Django Google Analytics brings the power of server side/non-js Google Analytics to your Django projects"; + homepage = "https://github.com/praekeltfoundation/django-google-analytics/"; + changelog = "https://github.com/praekeltfoundation/django-google-analytics/releases/tag/${version}"; + license = licenses.bsd3; + maintainers = with maintainers; [ derdennisop ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/django-simple-history/default.nix b/nixpkgs/pkgs/development/python-modules/django-simple-history/default.nix new file mode 100644 index 000000000000..5ed17c5f6425 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/django-simple-history/default.nix @@ -0,0 +1,50 @@ +{ lib +, buildPythonPackage +, django +, fetchFromGitHub +, pytest-django +, python +, pythonOlder +, setuptools-scm +}: + +buildPythonPackage rec { + pname = "django-simple-history"; + version = "3.4.0"; + format = "setuptools"; + + disabled = pythonOlder "3.8"; + + src = fetchFromGitHub { + owner = "jazzband"; + repo = "django-simple-history"; + rev = "refs/tags/${version}"; + hash = "sha256-XY6YNajwX5z3AXkYYGFtrURDqxub9EQwu52jQ7CZwrI="; + }; + + env.SETUPTOOLS_SCM_PRETEND_VERSION = version; + + nativeBuildInputs = [ + setuptools-scm + ]; + + propagatedBuildInputs = [ + django + ]; + + checkPhase = '' + ${python.interpreter} runtests.py + ''; + + pythonImportsCheck = [ + "simple_history" + ]; + + meta = with lib; { + description = "django-simple-history stores Django model state on every create/update/delete"; + homepage = "https://github.com/jazzband/django-simple-history/"; + changelog = "https://github.com/jazzband/django-simple-history/releases/tag/${version}"; + license = licenses.bsd3; + maintainers = with maintainers; [ derdennisop ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/flux-led/default.nix b/nixpkgs/pkgs/development/python-modules/flux-led/default.nix index 7a288582e935..252c602f9371 100644 --- a/nixpkgs/pkgs/development/python-modules/flux-led/default.nix +++ b/nixpkgs/pkgs/development/python-modules/flux-led/default.nix @@ -9,7 +9,7 @@ buildPythonPackage rec { pname = "flux-led"; - version = "1.0.2"; + version = "1.0.4"; format = "setuptools"; disabled = pythonOlder "3.7"; @@ -18,7 +18,7 @@ buildPythonPackage rec { owner = "Danielhiversen"; repo = "flux_led"; rev = "refs/tags/${version}"; - hash = "sha256-DfC92gqPP9Lky4gX2v8/AbZgM7uRCKjRQC2nS/sDHsY="; + hash = "sha256-enYo2hZ1C8jqO+8xZhSmIOJQAyrtVUJ9S/e2Bxzhv0I="; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/google-cloud-datacatalog/default.nix b/nixpkgs/pkgs/development/python-modules/google-cloud-datacatalog/default.nix index 5b8df01d4353..6d7d35d67823 100644 --- a/nixpkgs/pkgs/development/python-modules/google-cloud-datacatalog/default.nix +++ b/nixpkgs/pkgs/development/python-modules/google-cloud-datacatalog/default.nix @@ -14,14 +14,14 @@ buildPythonPackage rec { pname = "google-cloud-datacatalog"; - version = "3.15.0"; + version = "3.15.1"; format = "setuptools"; disabled = pythonOlder "3.7"; src = fetchPypi { inherit pname version; - hash = "sha256-TY/HrTDlo6cq50bSaRVuZyt1rThB9BPiQW0RS98gIo8="; + hash = "sha256-XihIFu8TUrZgQqJ43LJVB0vCIjf89MpGfmDXS5yUuoM="; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/google-cloud-datastore/default.nix b/nixpkgs/pkgs/development/python-modules/google-cloud-datastore/default.nix index f50b07371ffb..b6148c30746c 100644 --- a/nixpkgs/pkgs/development/python-modules/google-cloud-datastore/default.nix +++ b/nixpkgs/pkgs/development/python-modules/google-cloud-datastore/default.nix @@ -15,14 +15,14 @@ buildPythonPackage rec { pname = "google-cloud-datastore"; - version = "2.17.0"; + version = "2.18.0"; format = "setuptools"; disabled = pythonOlder "3.7"; src = fetchPypi { inherit pname version; - hash = "sha256-/q+th07TdqnR8Imer8llDSH9siKPkN6bh0GoBsITtCI="; + hash = "sha256-Y7MbZ23LJ4amUNI9Mk2PiGxOFFhq/dDP5uJgpz8SRI4="; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/google-cloud-pubsub/default.nix b/nixpkgs/pkgs/development/python-modules/google-cloud-pubsub/default.nix index 79beffc5125d..943d55dab406 100644 --- a/nixpkgs/pkgs/development/python-modules/google-cloud-pubsub/default.nix +++ b/nixpkgs/pkgs/development/python-modules/google-cloud-pubsub/default.nix @@ -16,14 +16,14 @@ buildPythonPackage rec { pname = "google-cloud-pubsub"; - version = "2.18.3"; + version = "2.18.4"; format = "setuptools"; disabled = pythonOlder "3.7"; src = fetchPypi { inherit pname version; - hash = "sha256-tAcSM55fgbegNbC+iyrpBmhKQWLhGaK5qzO8mUuhty8="; + hash = "sha256-Muth/UwdxshC9ZTWnZr6gFROOzJ6pkChZOtvsCAery0="; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/gps3/default.nix b/nixpkgs/pkgs/development/python-modules/gps3/default.nix index 76321182dcb6..95e1c136031e 100644 --- a/nixpkgs/pkgs/development/python-modules/gps3/default.nix +++ b/nixpkgs/pkgs/development/python-modules/gps3/default.nix @@ -5,13 +5,13 @@ buildPythonPackage rec { pname = "gps3"; - version = "0.33.3"; + version = "unstable-2017-11-01"; src = fetchFromGitHub { - owner = "onkelbeh"; + owner = "wadda"; repo = pname; - rev = version; - sha256 = "0a0qpk7d2b1cld58qcdn6bxrkil6ascs51af01dy4p83062h1hi6"; + rev = "91adcd7073b891b135b2a46d039ce2125cf09a09"; + hash = "sha256-sVK61l8YunKAGFTSAq/m5aUGFfnizwhqTYbdznBIKfk="; }; # Project has no tests @@ -20,7 +20,7 @@ buildPythonPackage rec { meta = with lib; { description = "Python client for GPSD"; - homepage = "https://github.com/onkelbeh/gps3"; + homepage = "https://github.com/wadda/gps3"; license = with licenses; [ mit ]; maintainers = with maintainers; [ fab ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/griffe/default.nix b/nixpkgs/pkgs/development/python-modules/griffe/default.nix index e94498a5c6d9..c94cda768501 100644 --- a/nixpkgs/pkgs/development/python-modules/griffe/default.nix +++ b/nixpkgs/pkgs/development/python-modules/griffe/default.nix @@ -12,7 +12,7 @@ buildPythonPackage rec { pname = "griffe"; - version = "0.36.1"; + version = "0.36.2"; format = "pyproject"; disabled = pythonOlder "3.8"; @@ -21,7 +21,7 @@ buildPythonPackage rec { owner = "mkdocstrings"; repo = pname; rev = "refs/tags/${version}"; - hash = "sha256-miv7CDpBJ5hUyPzQWLqSndmUYR9Lmaonrilod6uhBo8="; + hash = "sha256-21u6QnmFoa3rCeFMkxdEh4OYtE4QmBr5O9PwV5tKgxg="; }; SETUPTOOLS_SCM_PRETEND_VERSION = version; diff --git a/nixpkgs/pkgs/development/python-modules/grpcio-channelz/default.nix b/nixpkgs/pkgs/development/python-modules/grpcio-channelz/default.nix index cee3a2e159dc..2e3b8b894c4b 100644 --- a/nixpkgs/pkgs/development/python-modules/grpcio-channelz/default.nix +++ b/nixpkgs/pkgs/development/python-modules/grpcio-channelz/default.nix @@ -8,12 +8,12 @@ buildPythonPackage rec { pname = "grpcio-channelz"; - version = "1.56.2"; + version = "1.58.0"; format = "setuptools"; src = fetchPypi { inherit pname version; - hash = "sha256-PlPGrD16Iy5vCsuVsFQ3FHd+wu0FJCFbo7isvYtVAQU="; + hash = "sha256-NWhuF3z+FGHJ+d99r+Uq4iTIppXkgwQYu0ASIyE8DB0="; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/hass-nabucasa/default.nix b/nixpkgs/pkgs/development/python-modules/hass-nabucasa/default.nix index 9bc33f5c7e01..b93ddbaf1dfd 100644 --- a/nixpkgs/pkgs/development/python-modules/hass-nabucasa/default.nix +++ b/nixpkgs/pkgs/development/python-modules/hass-nabucasa/default.nix @@ -17,7 +17,7 @@ buildPythonPackage rec { pname = "hass-nabucasa"; - version = "0.70.0"; + version = "0.71.0"; format = "setuptools"; disabled = pythonOlder "3.8"; @@ -26,7 +26,7 @@ buildPythonPackage rec { owner = "nabucasa"; repo = pname; rev = "refs/tags/${version}"; - hash = "sha256-lELb39N5pAZFeANgzg5TBmC+gmY/wgXbE7wlvdlJe2A="; + hash = "sha256-2v8LEVYY7PEzcIMaXcy9h+8O2KrU0zTKyZb2IrO35JQ="; }; postPatch = '' diff --git a/nixpkgs/pkgs/development/python-modules/hatasmota/default.nix b/nixpkgs/pkgs/development/python-modules/hatasmota/default.nix index 29cbed491c1c..f8e45949dbe7 100644 --- a/nixpkgs/pkgs/development/python-modules/hatasmota/default.nix +++ b/nixpkgs/pkgs/development/python-modules/hatasmota/default.nix @@ -8,7 +8,7 @@ buildPythonPackage rec { pname = "hatasmota"; - version = "0.7.1"; + version = "0.7.3"; format = "setuptools"; disabled = pythonOlder "3.6"; @@ -17,7 +17,7 @@ buildPythonPackage rec { owner = "emontnemery"; repo = pname; rev = "refs/tags/${version}"; - hash = "sha256-biQEAuRxz8q0d40IFElf06Iu6RzwikV4kYZOoj9gbWA="; + hash = "sha256-lsb92JsqIhq7zaNaolgV8dtSFIq+Enklb6hlBvT7/Ig="; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/hypothesmith/default.nix b/nixpkgs/pkgs/development/python-modules/hypothesmith/default.nix index 61aae4e4f74e..d6ce47e38050 100644 --- a/nixpkgs/pkgs/development/python-modules/hypothesmith/default.nix +++ b/nixpkgs/pkgs/development/python-modules/hypothesmith/default.nix @@ -7,15 +7,19 @@ , parso , pytestCheckHook , pytest-xdist +, pythonOlder }: buildPythonPackage rec { pname = "hypothesmith"; - version = "0.2.3"; + version = "0.3.0"; + format = "setuptools"; + + disabled = pythonOlder "3.8"; src = fetchPypi { inherit pname version; - hash = "sha256-vc6EXsmE5uP+0h5l0ugrjrxt5cpeuTZJ39dgNMWQakY="; + hash = "sha256-Uj2gTAY7hzko1sKO8WUGz2S/MXdwOYN+F+a73G4szNs="; }; patches = [ @@ -56,6 +60,7 @@ buildPythonPackage rec { meta = with lib; { description = "Hypothesis strategies for generating Python programs, something like CSmith"; homepage = "https://github.com/Zac-HD/hypothesmith"; + changelog = "https://github.com/Zac-HD/hypothesmith/blob/master/CHANGELOG.md"; license = licenses.mpl20; maintainers = with maintainers; [ ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/identify/default.nix b/nixpkgs/pkgs/development/python-modules/identify/default.nix index bd8872d779c6..ffcd7ba3f01c 100644 --- a/nixpkgs/pkgs/development/python-modules/identify/default.nix +++ b/nixpkgs/pkgs/development/python-modules/identify/default.nix @@ -9,7 +9,7 @@ buildPythonPackage rec { pname = "identify"; - version = "2.5.27"; + version = "2.5.28"; format = "setuptools"; disabled = pythonOlder "3.8"; @@ -18,7 +18,7 @@ buildPythonPackage rec { owner = "pre-commit"; repo = pname; rev = "refs/tags/v${version}"; - hash = "sha256-qhYSKmHV2OGGUqfFbUiZkmUQrjSQ4I+ZX5C+D8sKj0g="; + hash = "sha256-pGSXXsA+gIIIZbnwa22EmizZT65MqZrWd3+o47VatBs="; }; nativeCheckInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/igraph/default.nix b/nixpkgs/pkgs/development/python-modules/igraph/default.nix index 6f7f5d256202..f73b77699c91 100644 --- a/nixpkgs/pkgs/development/python-modules/igraph/default.nix +++ b/nixpkgs/pkgs/development/python-modules/igraph/default.nix @@ -10,7 +10,7 @@ buildPythonPackage rec { pname = "igraph"; - version = "0.10.6"; + version = "0.10.8"; disabled = pythonOlder "3.7"; @@ -20,7 +20,7 @@ buildPythonPackage rec { owner = "igraph"; repo = "python-igraph"; rev = "refs/tags/${version}"; - hash = "sha256-xdzk/gcHL/kFpZabdP7Cq4lUv0aEwpevgLJYqfb2KGY="; + hash = "sha256-EpWkFKN8fhKkzR2g9Uv0/LxSwi4TkraH5rjde7yR+C8="; }; postPatch = '' diff --git a/nixpkgs/pkgs/development/python-modules/intake/default.nix b/nixpkgs/pkgs/development/python-modules/intake/default.nix index 46c7ab88248a..e194cfa06086 100644 --- a/nixpkgs/pkgs/development/python-modules/intake/default.nix +++ b/nixpkgs/pkgs/development/python-modules/intake/default.nix @@ -26,7 +26,7 @@ buildPythonPackage rec { pname = "intake"; - version = "0.7.0"; + version = "unstable-2023-08-24"; format = "setuptools"; disabled = pythonOlder "3.7"; @@ -34,8 +34,8 @@ buildPythonPackage rec { src = fetchFromGitHub { owner = pname; repo = pname; - rev = "refs/tags/${version}"; - hash = "sha256-2LUblA8eVCOfVJ6BJayralNiv6EFt6MzR5ptKksVNA4="; + rev = "81b1567a2030adfb22b856b4f63cefe35de68983"; + hash = "sha256-S2PoUN0Bao5VULfHhgbXXowopPLm/njAHO3dIM8ILno="; }; propagatedBuildInputs = [ @@ -79,6 +79,8 @@ buildPythonPackage rec { --replace "'pytest-runner'" "" ''; + __darwinAllowLocalNetworking = true; + preCheck = '' export HOME=$(mktemp -d); export PATH="$PATH:$out/bin"; @@ -106,7 +108,7 @@ buildPythonPackage rec { "test_ndarray" "test_python" # Timing-based, flaky on darwin and possibly others - "TestServerV1Source.test_idle_timer" + "test_idle_timer" # arrow-cpp-13 related "test_read" "test_pickle" diff --git a/nixpkgs/pkgs/development/python-modules/invoke/default.nix b/nixpkgs/pkgs/development/python-modules/invoke/default.nix index e388cccf5932..bf23cfbbcdd5 100644 --- a/nixpkgs/pkgs/development/python-modules/invoke/default.nix +++ b/nixpkgs/pkgs/development/python-modules/invoke/default.nix @@ -7,12 +7,12 @@ buildPythonPackage rec { pname = "invoke"; - version = "2.0.0"; + version = "2.2.0"; format = "setuptools"; src = fetchPypi { inherit pname version; - hash = "sha256-erXdnNdreH1WCnixqYENJSNnq1lZhcUGEnAr4h1nHdc="; + hash = "sha256-7my7EBrxqFnH/oTyomTAWQILDLf+NTX5QkMAq1aPa9U="; }; postPatch = '' @@ -34,6 +34,7 @@ buildPythonPackage rec { ''; meta = with lib; { + changelog = "https://www.pyinvoke.org/changelog.html"; description = "Pythonic task execution"; homepage = "https://www.pyinvoke.org/"; license = licenses.bsd2; diff --git a/nixpkgs/pkgs/development/python-modules/ipymarkup/default.nix b/nixpkgs/pkgs/development/python-modules/ipymarkup/default.nix new file mode 100644 index 000000000000..1731c3c2075b --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/ipymarkup/default.nix @@ -0,0 +1,29 @@ +{ lib +, buildPythonPackage +, fetchPypi +, intervaltree +}: + +buildPythonPackage rec { + pname = "ipymarkup"; + version = "0.9.0"; + format = "setuptools"; + + src = fetchPypi { + inherit pname version; + hash = "sha256-P0v6EP1mKTIBr4SEp+a8tyO/LjPZpqoAiCZxr5yiaRE="; + }; + + propagatedBuildInputs = [ intervaltree ]; + pythonImportCheck = [ "ipymarkup" ]; + + # Upstream has no tests: + doCheck = false; + + meta = with lib; { + description = "Collection of NLP visualizations for NER and syntax tree markup"; + homepage = "https://github.com/natasha/ipymarkup"; + license = licenses.mit; + maintainers = with maintainers; [ npatsakula ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/langchain/default.nix b/nixpkgs/pkgs/development/python-modules/langchain/default.nix index df04d5b4659c..865ce51b07db 100644 --- a/nixpkgs/pkgs/development/python-modules/langchain/default.nix +++ b/nixpkgs/pkgs/development/python-modules/langchain/default.nix @@ -43,6 +43,7 @@ , librosa , lxml , manifest-ml +, markdownify , neo4j , networkx , nlpcloud @@ -85,7 +86,7 @@ buildPythonPackage rec { pname = "langchain"; - version = "0.0.268"; + version = "0.0.285"; format = "pyproject"; disabled = pythonOlder "3.8"; @@ -94,7 +95,7 @@ buildPythonPackage rec { owner = "hwchase17"; repo = "langchain"; rev = "refs/tags/v${version}"; - hash = "sha256-x5cYtOY91JpW3vV7Q6JNNRoTFKGMu93TqBAhnhQ6pHE="; + hash = "sha256-3vOfwn8qvPd9dPRnsX14bVSLQQKHLPS5r15S8yAQFpw="; }; sourceRoot = "${src.name}/libs/langchain"; @@ -264,6 +265,7 @@ buildPythonPackage rec { nativeCheckInputs = [ freezegun + markdownify pandas pytest-asyncio pytest-mock diff --git a/nixpkgs/pkgs/development/python-modules/langsmith/default.nix b/nixpkgs/pkgs/development/python-modules/langsmith/default.nix index eeae23611148..cdb89dc49121 100644 --- a/nixpkgs/pkgs/development/python-modules/langsmith/default.nix +++ b/nixpkgs/pkgs/development/python-modules/langsmith/default.nix @@ -1,6 +1,7 @@ { lib , buildPythonPackage , fetchFromGitHub +, freezegun , poetry-core , pydantic , pytest-asyncio @@ -11,7 +12,7 @@ buildPythonPackage rec { pname = "langsmith"; - version = "0.0.24"; + version = "0.0.35"; format = "pyproject"; disabled = pythonOlder "3.8"; @@ -20,7 +21,7 @@ buildPythonPackage rec { owner = "langchain-ai"; repo = "langsmith-sdk"; rev = "refs/tags/v${version}"; - hash = "sha256-Uv6zzSWs+Fvb0ztwgkbkZcaNJOFpt8pWh88HZHsTris="; + hash = "sha256-TR4vBsRImMLs7CTlBt1NHL+n65jXxBNbOY7wIlfFBfM="; }; sourceRoot = "${src.name}/python"; @@ -35,6 +36,7 @@ buildPythonPackage rec { ]; nativeCheckInputs = [ + freezegun pytest-asyncio pytestCheckHook ]; diff --git a/nixpkgs/pkgs/development/python-modules/libtmux/default.nix b/nixpkgs/pkgs/development/python-modules/libtmux/default.nix index aab31436fb2b..f94608c1b452 100644 --- a/nixpkgs/pkgs/development/python-modules/libtmux/default.nix +++ b/nixpkgs/pkgs/development/python-modules/libtmux/default.nix @@ -12,14 +12,14 @@ buildPythonPackage rec { pname = "libtmux"; - version = "0.23.1"; + version = "0.23.2"; format = "pyproject"; src = fetchFromGitHub { owner = "tmux-python"; repo = pname; rev = "refs/tags/v${version}"; - hash = "sha256-uMsQFDPLsphS6T55sDMKFawYgAycp8u9NizF5ZHnMqQ="; + hash = "sha256-W1gBhukBooPo8uej6i8i3UxLuDeBBeSX5xU50SyjjlA="; }; postPatch = '' diff --git a/nixpkgs/pkgs/development/python-modules/libvirt/default.nix b/nixpkgs/pkgs/development/python-modules/libvirt/default.nix index 9c9509b559d8..abc87f9d787c 100644 --- a/nixpkgs/pkgs/development/python-modules/libvirt/default.nix +++ b/nixpkgs/pkgs/development/python-modules/libvirt/default.nix @@ -2,13 +2,13 @@ buildPythonPackage rec { pname = "libvirt"; - version = "9.6.0"; + version = "9.7.0"; src = fetchFromGitLab { owner = "libvirt"; repo = "libvirt-python"; rev = "v${version}"; - hash = "sha256-DIyvd13BeKP4HzgHz1FGUTau19MJgBKPiHnpK5nq0os="; + hash = "sha256-DFZPZx+jkxdNha+h50IXxl6wOwA1VjudRICgxD2V4+k="; }; nativeBuildInputs = [ pkg-config ]; diff --git a/nixpkgs/pkgs/development/python-modules/litellm/default.nix b/nixpkgs/pkgs/development/python-modules/litellm/default.nix new file mode 100644 index 000000000000..1e75776f0c32 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/litellm/default.nix @@ -0,0 +1,53 @@ +{ lib +, buildPythonPackage +, fetchFromGitHub +, poetry-core +, importlib-metadata +, openai +, python-dotenv +, tiktoken +}: +let + version = "0.1.590"; +in +buildPythonPackage rec { + pname = "litellm"; + format = "pyproject"; + inherit version; + + src = fetchFromGitHub { + owner = "BerriAI"; + repo = "litellm"; + rev = "7cb96e86b4753008cbf8d116aca514750e98d360"; + hash = "sha256-ITMcwGjelNfNGnfBmmdu0Xwph4u0mxiFSfHnysUxWCQ="; + }; + + postPatch = '' + rm -rf dist + ''; + + nativeBuildInputs = [ + poetry-core + ]; + + propagatedBuildInputs = [ + importlib-metadata + openai + python-dotenv + tiktoken + ]; + + # the import check phase fails trying to do a network request to openai + # pythonImportsCheck = [ "litellm" ]; + + # no tests + doCheck = false; + + meta = with lib; { + description = "Use any LLM as a drop in replacement for gpt-3.5-turbo. Use Azure, OpenAI, Cohere, Anthropic, Ollama, VLLM, Sagemaker, HuggingFace, Replicate (100+ LLMs)"; + homepage = "https://github.com/BerriAI/litellm"; + license = licenses.mit; + changelog = "https://github.com/BerriAI/litellm/releases/tag/v${version}"; + maintainers = with maintainers; [ happysalada ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/livelossplot/default.nix b/nixpkgs/pkgs/development/python-modules/livelossplot/default.nix index 74047e657dd1..dd7a19c22484 100644 --- a/nixpkgs/pkgs/development/python-modules/livelossplot/default.nix +++ b/nixpkgs/pkgs/development/python-modules/livelossplot/default.nix @@ -13,18 +13,15 @@ buildPythonPackage rec { pname = "livelossplot"; - version = "0.5.4"; + version = "0.5.5"; disabled = pythonOlder "3.6"; - # version number in source is wrong in this release - postPatch = ''substituteInPlace ${pname}/version.py --replace "0.5.3" "0.5.4"''; - src = fetchFromGitHub { owner = "stared"; repo = pname; rev = "v${version}"; - sha256 = "IV6YAidoqVoKvpy+LNNHTPpobiDoGX59bHqJcBtaydk="; + sha256 = "sha256-YU8vX4SubI6txmC/i5fOjcvWfuDFm8+SPmie8Eb1qRs="; }; propagatedBuildInputs = [ bokeh ipython matplotlib numpy ]; diff --git a/nixpkgs/pkgs/development/python-modules/mcuuid/default.nix b/nixpkgs/pkgs/development/python-modules/mcuuid/default.nix new file mode 100644 index 000000000000..39236f792be7 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/mcuuid/default.nix @@ -0,0 +1,36 @@ +{ lib +, buildPythonPackage +, fetchFromGitHub +, requests +}: + +buildPythonPackage rec { + pname = "mcuuid"; + version = "1.1.0"; + format = "setuptools"; + + src = fetchFromGitHub { + owner = "clerie"; + repo = "mcuuid"; + rev = "refs/tags/${version}"; + hash = "sha256-YwM7CdZVXpUXKXUzFL3AtoDhekLDIvZ/q8taLsHihNk="; + }; + + propagatedBuildInputs = [ + requests + ]; + + # upstream code does not provide tests + doCheck = false; + + pythonImportsCheck = [ + "mcuuid" + ]; + + meta = with lib; { + description = "Getting Minecraft player information from Mojang API"; + homepage = "https://github.com/clerie/mcuuid"; + license = with licenses; [ mit ]; + maintainers = with maintainers; [ clerie ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/millheater/default.nix b/nixpkgs/pkgs/development/python-modules/millheater/default.nix index b5153d7c4028..adf1eca9d576 100644 --- a/nixpkgs/pkgs/development/python-modules/millheater/default.nix +++ b/nixpkgs/pkgs/development/python-modules/millheater/default.nix @@ -8,7 +8,7 @@ buildPythonPackage rec { pname = "millheater"; - version = "0.11.2"; + version = "0.11.5"; format = "setuptools"; disabled = pythonOlder "3.10"; @@ -17,7 +17,7 @@ buildPythonPackage rec { owner = "Danielhiversen"; repo = "pymill"; rev = "refs/tags/${version}"; - hash = "sha256-PsNT/mZ4Dun4s9QpGRyEuVxYcM5AXaUS28UsSOowOb4="; + hash = "sha256-rDEzMxXsbHvxAmPx1IFC5S8jG8LO8TNuNq/ISkdPWsU="; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/mkdocstrings-python/default.nix b/nixpkgs/pkgs/development/python-modules/mkdocstrings-python/default.nix index b7afeffe9d86..3bf71e64642d 100644 --- a/nixpkgs/pkgs/development/python-modules/mkdocstrings-python/default.nix +++ b/nixpkgs/pkgs/development/python-modules/mkdocstrings-python/default.nix @@ -11,7 +11,7 @@ buildPythonPackage rec { pname = "mkdocstrings-python"; - version = "1.6.2"; + version = "1.6.3"; format = "pyproject"; disabled = pythonOlder "3.8"; @@ -20,7 +20,7 @@ buildPythonPackage rec { owner = "mkdocstrings"; repo = "python"; rev = "refs/tags/${version}"; - hash = "sha256-zbF+fqgXb8BAN+Nf2pRV/SeOXnJXLXJBIWZyZ6a9zP4="; + hash = "sha256-jppuuzROhVqNHm44gITpnC+xSN4s3ueY00N9v+IoJfE="; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/natasha/default.nix b/nixpkgs/pkgs/development/python-modules/natasha/default.nix new file mode 100644 index 000000000000..ca49c2653b68 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/natasha/default.nix @@ -0,0 +1,35 @@ +{ lib +, buildPythonPackage +, fetchPypi +, pymorphy2 +, razdel +, navec +, slovnet +, yagry +, ipymarkup +, pytestCheckHook +}: + +buildPythonPackage rec { + pname = "natasha"; + version = "1.6.0"; + format = "setuptools"; + + src = fetchPypi { + inherit pname version; + hash = "sha256-Rgguazgq06a8B9jeRnfHD5VTR+Xrd+8OCsQUfaGLEq0="; + }; + + propagatedBuildInputs = [ pymorphy2 navec razdel slovnet yagry ipymarkup ]; + + nativeCheckInputs = [ pytestCheckHook ]; + pytestFlagsArray = [ "tests/" ]; + pythonImportCheck = [ "natasha" ]; + + meta = with lib; { + description = "NLP framework for Russian language"; + homepage = "https://github.com/natasha/natasha"; + license = licenses.mit; + maintainers = with maintainers; [ npatsakula ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/navec/default.nix b/nixpkgs/pkgs/development/python-modules/navec/default.nix new file mode 100644 index 000000000000..02a55e5d6619 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/navec/default.nix @@ -0,0 +1,32 @@ +{ lib +, buildPythonPackage +, fetchPypi +, numpy +, razdel +, gensim +, pytestCheckHook +}: + +buildPythonPackage rec { + pname = "navec"; + version = "0.10.0"; + format = "setuptools"; + + src = fetchPypi { + inherit pname version; + hash = "sha256-TyNHSxwnmvbGBfhOeHPofEfKWLDFOKP50w2QxgnJ/SE="; + }; + + propagatedBuildInputs = [ numpy razdel ]; + nativeCheckInputs = [ pytestCheckHook gensim ]; + # TODO: remove when gensim usage will be fixed in `navec`. + disabledTests = [ "test_gensim" ]; + pythonImportCheck = [ "navec" ]; + + meta = with lib; { + description = "Compact high quality word embeddings for Russian language"; + homepage = "https://github.com/natasha/navec"; + license = licenses.mit; + maintainers = with maintainers; [ npatsakula ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/netutils/default.nix b/nixpkgs/pkgs/development/python-modules/netutils/default.nix index bee05b436cdc..c1f43e64f8f0 100644 --- a/nixpkgs/pkgs/development/python-modules/netutils/default.nix +++ b/nixpkgs/pkgs/development/python-modules/netutils/default.nix @@ -13,7 +13,7 @@ buildPythonPackage rec { pname = "netutils"; - version = "1.5.0"; + version = "1.6.0"; format = "pyproject"; disabled = pythonOlder "3.8"; @@ -22,7 +22,7 @@ buildPythonPackage rec { owner = "networktocode"; repo = pname; rev = "refs/tags/v${version}"; - hash = "sha256-uUw48EBUpEUw+A8wxw3qXrnqmFWQzg/zb+8qAGRSlUw="; + hash = "sha256-ocajE7E4xIatEmv58/9gEpWF2plJdiZXjk6ajD2vTzw="; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/optuna/default.nix b/nixpkgs/pkgs/development/python-modules/optuna/default.nix index e9e60f8c9fbc..f3b41af02096 100644 --- a/nixpkgs/pkgs/development/python-modules/optuna/default.nix +++ b/nixpkgs/pkgs/development/python-modules/optuna/default.nix @@ -1,93 +1,140 @@ { lib , buildPythonPackage , fetchFromGitHub -, pytest -, mock -, bokeh -, plotly -, chainer -, xgboost -, mpi4py -, lightgbm -, keras -, mxnet -, scikit-optimize -, tensorflow +, pytestCheckHook +, pythonOlder +, alembic +, boto3 +, botorch +, catboost , cma -, sqlalchemy -, numpy -, scipy -, six -, cliff +, cmaes , colorlog +, distributed +, fakeredis +, fastai +, lightgbm +, matplotlib +, mlflow +, moto +, numpy +, packaging , pandas -, alembic +, plotly +, pytest-xdist +, pytorch-lightning +, pyyaml +, redis +, scikit-learn +, scikit-optimize +, scipy +, setuptools +, shap +, sqlalchemy +, tensorflow +, torch +, torchaudio +, torchvision , tqdm -, typing -, pythonOlder -, isPy27 +, wandb +, wheel +, xgboost }: buildPythonPackage rec { pname = "optuna"; - version = "3.1.0"; - disabled = isPy27; + version = "3.3.0"; + format = "pyproject"; + + disabled = pythonOlder "3.7"; src = fetchFromGitHub { owner = "optuna"; - repo = pname; + repo = "optuna"; rev = "refs/tags/v${version}"; - hash = "sha256-dNS3LEWP/Ul1z60iZirFEX30Frc5ZFQLNTgUkT9vLNQ="; + hash = "sha256-uHv8uEJOQO1+AeNSxBtnCt6gDQHLT1RToF4hfolVVX0="; }; - nativeCheckInputs = [ - pytest - mock - bokeh - plotly - chainer - xgboost - mpi4py - lightgbm - keras - mxnet - scikit-optimize - tensorflow - cma + nativeBuildInputs = [ + setuptools + wheel ]; propagatedBuildInputs = [ - sqlalchemy - numpy - scipy - six - cliff - colorlog - pandas alembic + cmaes + colorlog + numpy + packaging + sqlalchemy tqdm - ] ++ lib.optionals (pythonOlder "3.5") [ - typing + pyyaml ]; - configurePhase = lib.optionalString (! pythonOlder "3.5") '' - substituteInPlace setup.py \ - --replace "'typing'," "" - ''; + passthru.optional-dependencies = { + integration = [ + botorch + catboost + cma + distributed + fastai + lightgbm + mlflow + pandas + # pytorch-ignite + pytorch-lightning + scikit-learn + scikit-optimize + shap + tensorflow + torch + torchaudio + torchvision + wandb + xgboost + ]; + optional = [ + boto3 + botorch + matplotlib + pandas + plotly + redis + scikit-learn + ]; + }; - checkPhase = '' - pytest --ignore tests/test_cli.py \ - --ignore tests/integration_tests/test_chainermn.py \ - --ignore tests/integration_tests/test_pytorch_lightning.py \ - --ignore tests/integration_tests/test_pytorch_ignite.py \ - --ignore tests/integration_tests/test_fastai.py + preCheck = '' + export PATH=$out/bin:$PATH ''; + nativeCheckInputs = [ + fakeredis + moto + pytest-xdist + pytestCheckHook + scipy + ] ++ fakeredis.optional-dependencies.lua + ++ passthru.optional-dependencies.optional; + + pytestFlagsArray = [ + "-m 'not integration'" + ]; + + disabledTestPaths = [ + # require unpackaged kaleido and building it is a bit difficult + "tests/visualization_tests" + ]; + + pythonImportsCheck = [ + "optuna" + ]; + meta = with lib; { - broken = true; # Dashboard broken, other build failures. description = "A hyperparameter optimization framework"; homepage = "https://optuna.org/"; + changelog = "https://github.com/optuna/optuna/releases/tag/${src.rev}"; license = licenses.mit; - maintainers = [ ]; + maintainers = with maintainers; [ natsukium ]; }; } diff --git a/nixpkgs/pkgs/development/python-modules/panel/default.nix b/nixpkgs/pkgs/development/python-modules/panel/default.nix index 0af1060b5d00..3df9474d3780 100644 --- a/nixpkgs/pkgs/development/python-modules/panel/default.nix +++ b/nixpkgs/pkgs/development/python-modules/panel/default.nix @@ -16,7 +16,7 @@ buildPythonPackage rec { pname = "panel"; - version = "0.14.4"; + version = "1.2.2"; format = "wheel"; @@ -25,7 +25,7 @@ buildPythonPackage rec { # tries to fetch even more artifacts src = fetchPypi { inherit pname version format; - hash = "sha256-3U/PL8cnbNPw3xEM56YZesQEDXTE79yMCSsjdxwfUU0="; + hash = "sha256-RMRjxcUp6MTs001wdNfC/e6diOcgtqrSaVIOSQfPgTs="; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/pipenv-poetry-migrate/default.nix b/nixpkgs/pkgs/development/python-modules/pipenv-poetry-migrate/default.nix index f48ffb81e586..a003c2bf464f 100644 --- a/nixpkgs/pkgs/development/python-modules/pipenv-poetry-migrate/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pipenv-poetry-migrate/default.nix @@ -11,7 +11,7 @@ buildPythonPackage rec { pname = "pipenv-poetry-migrate"; - version = "0.4.0"; + version = "0.5.0"; format = "pyproject"; disabled = pythonOlder "3.8"; @@ -20,7 +20,7 @@ buildPythonPackage rec { owner = "yhino"; repo = "pipenv-poetry-migrate"; rev = "refs/tags/v${version}"; - hash = "sha256-QNp+KYOJIKV1fROmIhnWgDXFU8CymXkS2p90bOEPeoQ="; + hash = "sha256-z5pBkB5J8FnuebMW4bPpk0cT2nd5bH/4PBR12g0lEQw="; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/plugwise/default.nix b/nixpkgs/pkgs/development/python-modules/plugwise/default.nix index 7c7d36167fea..f451b1f30c56 100644 --- a/nixpkgs/pkgs/development/python-modules/plugwise/default.nix +++ b/nixpkgs/pkgs/development/python-modules/plugwise/default.nix @@ -20,7 +20,7 @@ buildPythonPackage rec { pname = "plugwise"; - version = "0.31.9"; + version = "0.32.2"; format = "setuptools"; disabled = pythonOlder "3.7"; @@ -29,7 +29,7 @@ buildPythonPackage rec { owner = pname; repo = "python-plugwise"; rev = "refs/tags/v${version}"; - hash = "sha256-IRetWNKVzWsrHOphdgV5xmdiubwDx8KOUA7x+BmTt9A="; + hash = "sha256-kJ7HbGwmA6/OtSxpkvajf+VzjYK+uq6kMaja9CmVBt4="; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/pontos/default.nix b/nixpkgs/pkgs/development/python-modules/pontos/default.nix index 080ba047ad82..ae20790af63c 100644 --- a/nixpkgs/pkgs/development/python-modules/pontos/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pontos/default.nix @@ -18,7 +18,7 @@ buildPythonPackage rec { pname = "pontos"; - version = "23.8.5"; + version = "23.9.0"; format = "pyproject"; disabled = pythonOlder "3.9"; @@ -27,7 +27,7 @@ buildPythonPackage rec { owner = "greenbone"; repo = pname; rev = "refs/tags/v${version}"; - hash = "sha256-mWnQIQEG1kTytAarhdNf2AI2Sq4TSfNtCN3riklNAeQ="; + hash = "sha256-7AU2K4XQ7B29IY53+uh0yre8RaOZ2GFc8hpyLWQilTE="; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/pybind11/default.nix b/nixpkgs/pkgs/development/python-modules/pybind11/default.nix index 7ba24e4bd526..fe29cde9e522 100644 --- a/nixpkgs/pkgs/development/python-modules/pybind11/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pybind11/default.nix @@ -93,6 +93,8 @@ in buildPythonPackage rec { "test_cross_module_exception_translator" ]; + hardeningDisable = lib.optional stdenv.hostPlatform.isMusl "fortify"; + meta = with lib; { homepage = "https://github.com/pybind/pybind11"; changelog = "https://github.com/pybind/pybind11/blob/${src.rev}/docs/changelog.rst"; diff --git a/nixpkgs/pkgs/development/python-modules/pyenphase/default.nix b/nixpkgs/pkgs/development/python-modules/pyenphase/default.nix index cd5ac622c0b7..ca106a91fac4 100644 --- a/nixpkgs/pkgs/development/python-modules/pyenphase/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pyenphase/default.nix @@ -18,7 +18,7 @@ buildPythonPackage rec { pname = "pyenphase"; - version = "1.11.0"; + version = "1.11.4"; format = "pyproject"; disabled = pythonOlder "3.11"; @@ -27,7 +27,7 @@ buildPythonPackage rec { owner = "pyenphase"; repo = "pyenphase"; rev = "refs/tags/v${version}"; - hash = "sha256-b2rT7H9FmeM5RD1TZhXqyqgvBdTWwZHg7Hui5OpXAX8="; + hash = "sha256-ZFK7Pyn8YsxdxPICtDXx2L+3t/xG3x2HC+F0plDbvHk="; }; postPatch = '' diff --git a/nixpkgs/pkgs/development/python-modules/pyfiglet/default.nix b/nixpkgs/pkgs/development/python-modules/pyfiglet/default.nix index e9671e03cb3b..61420455dac3 100644 --- a/nixpkgs/pkgs/development/python-modules/pyfiglet/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pyfiglet/default.nix @@ -1,12 +1,12 @@ { lib, buildPythonPackage, fetchPypi }: buildPythonPackage rec { - version = "0.8.post1"; + version = "1.0.1"; pname = "pyfiglet"; src = fetchPypi { inherit pname version; - sha256 = "c6c2321755d09267b438ec7b936825a4910fec696292139e664ca8670e103639"; + sha256 = "sha256-x9kIim+CG99pxY2XVzeAhadogWJrIwjmu9pwcSFgfxg="; }; doCheck = false; diff --git a/nixpkgs/pkgs/development/python-modules/pygmt/default.nix b/nixpkgs/pkgs/development/python-modules/pygmt/default.nix index a6e4c9a613aa..31b9fdd7c3a1 100644 --- a/nixpkgs/pkgs/development/python-modules/pygmt/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pygmt/default.nix @@ -18,16 +18,16 @@ buildPythonPackage rec { pname = "pygmt"; - version = "0.9.0"; + version = "0.10.0"; format = "pyproject"; - disabled = pythonOlder "3.8"; + disabled = pythonOlder "3.9"; src = fetchFromGitHub { owner = "GenericMappingTools"; repo = "pygmt"; rev = "refs/tags/v${version}"; - hash = "sha256-XDIAFIU+chewMDEoQDYqSYvK1tT9afh44w3Yd7ILZIc="; + hash = "sha256-+bkjqHjJIwk44u226q6xqeGmwMWleyc4lRfMZdDjVBA="; }; postPatch = '' diff --git a/nixpkgs/pkgs/development/python-modules/pymongo-inmemory/default.nix b/nixpkgs/pkgs/development/python-modules/pymongo-inmemory/default.nix new file mode 100644 index 000000000000..98cbf453e554 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/pymongo-inmemory/default.nix @@ -0,0 +1,65 @@ +{ lib +, buildPythonPackage +, pythonOlder +, fetchFromGitHub +, fetchpatch +, poetry-core +, pymongo +, pytestCheckHook +}: + +buildPythonPackage rec { + pname = "pymongo-inmemory"; + version = "0.3.1"; + format = "pyproject"; + + disabled = pythonOlder "3.7"; + + src = fetchFromGitHub { + owner = "kaizendorks"; + repo = "pymongo_inmemory"; + rev = "refs/tags/v${version}"; + hash = "sha256-1v36cI6JjDZA/uJE85NSMNnoyKI1VCgDrymfnCkpVqU="; + }; + + postPatch = '' + # move cache location from nix store to home + substituteInPlace pymongo_inmemory/context.py \ + --replace \ + 'CACHE_FOLDER = path.join(path.dirname(__file__), "..", ".cache")' \ + 'CACHE_FOLDER = os.environ.get("XDG_CACHE_HOME", os.environ["HOME"] + "/.cache") + "/pymongo-inmemory"' + + # fix a broken assumption arising from the above fix + substituteInPlace pymongo_inmemory/_utils.py \ + --replace \ + 'os.mkdir(current_path)' \ + 'os.makedirs(current_path)' + ''; + + nativeBuildInputs = [ + poetry-core + ]; + + propagatedBuildInputs = [ + pymongo + ]; + + nativeCheckInputs = [ + pytestCheckHook + ]; + + preCheck = '' + export HOME="$(mktemp -d)" + ''; + + pythonImportsCheck = [ + "pymongo_inmemory" + ]; + + meta = { + homepage = "https://github.com/kaizendorks/pymongo_inmemory"; + description = "A mongo mocking library with an ephemeral MongoDB running in memory"; + maintainers = with lib.maintainers; [ pbsds ]; + license = lib.licenses.mit; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/pynetbox/default.nix b/nixpkgs/pkgs/development/python-modules/pynetbox/default.nix index 333f88e3f563..b6de298bea56 100644 --- a/nixpkgs/pkgs/development/python-modules/pynetbox/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pynetbox/default.nix @@ -10,14 +10,14 @@ buildPythonPackage rec { pname = "pynetbox"; - version = "7.0.1"; + version = "7.1.0"; format = "setuptools"; src = fetchFromGitHub { owner = "netbox-community"; repo = pname; rev = "refs/tags/v${version}"; - hash = "sha256-RAUM79lDz7oNV7Li987Sz7JoNz/feO6BsEcWO0u/Ub8="; + hash = "sha256-E79n4E386bSxDRzxcjCIvK0Z3r78HsFjGIXqjqQ1zyE="; }; SETUPTOOLS_SCM_PRETEND_VERSION = version; diff --git a/nixpkgs/pkgs/development/python-modules/pynetgear/default.nix b/nixpkgs/pkgs/development/python-modules/pynetgear/default.nix index f941d9fe9d12..1a20de636537 100644 --- a/nixpkgs/pkgs/development/python-modules/pynetgear/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pynetgear/default.nix @@ -7,7 +7,7 @@ buildPythonPackage rec { pname = "pynetgear"; - version = "0.10.9"; + version = "0.10.10"; format = "setuptools"; disabled = pythonOlder "3.7"; @@ -16,7 +16,7 @@ buildPythonPackage rec { owner = "MatMaul"; repo = pname; rev = "refs/tags/${version}"; - hash = "sha256-VYiXFdUD4q6d7KraA26SFV29k53AoluCj7ACMgNQcLU="; + hash = "sha256-5Lj2cK/SOGgaPu8dI9X3Leg4dPAY7tdIHCzFnNaube8="; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/pytesseract/default.nix b/nixpkgs/pkgs/development/python-modules/pytesseract/default.nix index c1bfd320119b..1d77af888020 100644 --- a/nixpkgs/pkgs/development/python-modules/pytesseract/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pytesseract/default.nix @@ -11,14 +11,14 @@ buildPythonPackage rec { pname = "pytesseract"; - version = "0.3.11"; + version = "0.3.12"; format = "pyproject"; src = fetchFromGitHub { owner = "madmaze"; repo = pname; rev = "refs/tags/v${version}"; - hash = "sha256-8obU1QFlboQnFjb3JUkVG+tt0wDlRffVH/PBmN1r3dk="; + hash = "sha256-19eLgcvmEFGiyu6v/EzLG8w+jFQL/5rbfDaiQqAGq5g="; }; patches = [ diff --git a/nixpkgs/pkgs/development/python-modules/pytest-cid/default.nix b/nixpkgs/pkgs/development/python-modules/pytest-cid/default.nix index c46d4409a4e3..29cf253fad2f 100644 --- a/nixpkgs/pkgs/development/python-modules/pytest-cid/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pytest-cid/default.nix @@ -9,15 +9,15 @@ buildPythonPackage rec { pname = "pytest-cid"; - version = "1.1.1"; + version = "1.1.2"; format = "flit"; disabled = pythonOlder "3.5"; src = fetchFromGitHub { owner = "ntninja"; repo = pname; - rev = "1ff9ec43ac9eaf76352ea7e7a060cd081cb8b68a"; # Version has no git tag - hash = "sha256-H2RtMGYWukowTTfqZSx+hikxzkqw1v5bA4AfZfiVl8U="; + rev = "refs/tags/v${version}"; + hash = "sha256-dcL/i5+scmdXh7lfE8+32w9PdHWf+mkunJL1vpJ5+Co="; }; postPatch = '' diff --git a/nixpkgs/pkgs/development/python-modules/python-ironicclient/default.nix b/nixpkgs/pkgs/development/python-modules/python-ironicclient/default.nix index 386bf8179ae1..d8a28d81fcb4 100644 --- a/nixpkgs/pkgs/development/python-modules/python-ironicclient/default.nix +++ b/nixpkgs/pkgs/development/python-modules/python-ironicclient/default.nix @@ -20,11 +20,11 @@ buildPythonPackage rec { pname = "python-ironicclient"; - version = "5.3.0"; + version = "5.4.0"; src = fetchPypi { inherit pname version; - hash = "sha256-veDhwpSXPtoi27tKI6xebH4haAeq+sUsEEk9TxQSbg4="; + hash = "sha256-Q9yGuYf9TS7RCo9aV1hnNSrHoll7AOUiSpzRYxi+JXU="; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/python-rapidjson/default.nix b/nixpkgs/pkgs/development/python-modules/python-rapidjson/default.nix index 8ac9281c31a1..3a44ee54a2f3 100644 --- a/nixpkgs/pkgs/development/python-modules/python-rapidjson/default.nix +++ b/nixpkgs/pkgs/development/python-modules/python-rapidjson/default.nix @@ -2,7 +2,6 @@ , buildPythonPackage , fetchFromGitHub , fetchpatch -, fetchPypi , pythonOlder , rapidjson , pytestCheckHook @@ -16,8 +15,8 @@ let src = fetchFromGitHub { owner = "Tencent"; repo = "rapidjson"; - rev = "083f359f5c36198accc2b9360ce1e32a333231d9"; - hash = "sha256-8O5KwZcvoEkpE+O0Twn2CKHjV2AYh8qnSaBofoWEBs8="; + rev = "5e17dbed34eef33af8f3e734820b5dc547a2a3aa"; + hash = "sha256-CTy42X6P6+Gz4WbJ3tCpAw3qqlJ+mU1PaWW9LGG+6nU="; }; patches = [ (fetchpatch { @@ -30,15 +29,17 @@ let cmakeFlags = old.cmakeFlags ++ [ "-DCMAKE_CTEST_ARGUMENTS=-E;valgrind_unittest" ]; }); in buildPythonPackage rec { - version = "1.10"; + version = "1.11"; pname = "python-rapidjson"; disabled = pythonOlder "3.7"; format = "setuptools"; - src = fetchPypi { - inherit pname version; - hash = "sha256-rP7L9e25HscqIKEl3n9WuML2Fh7/TGU4LI7mokhNNUA="; + src = fetchFromGitHub { + owner = "python-rapidjson"; + repo = "python-rapidjson"; + rev = "refs/tags/v${version}"; + hash = "sha256-Jnnr4MCopx2YJTqbHqSCzPBzUl0T8SqcznRGSI14d2Q="; }; setupPyBuildFlags = [ @@ -55,7 +56,7 @@ in buildPythonPackage rec { ]; meta = with lib; { - changelog = "https://github.com/python-rapidjson/python-rapidjson/blob/v${version}/CHANGES.rst"; + changelog = "https://github.com/python-rapidjson/python-rapidjson/blob/${src.rev}/CHANGES.rst"; homepage = "https://github.com/python-rapidjson/python-rapidjson"; description = "Python wrapper around rapidjson"; license = licenses.mit; diff --git a/nixpkgs/pkgs/development/python-modules/pyunifiprotect/default.nix b/nixpkgs/pkgs/development/python-modules/pyunifiprotect/default.nix index e9ec506d6d4b..65dc66a3fc7a 100644 --- a/nixpkgs/pkgs/development/python-modules/pyunifiprotect/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pyunifiprotect/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { pname = "pyunifiprotect"; - version = "4.10.6"; + version = "4.20.0"; format = "pyproject"; disabled = pythonOlder "3.9"; @@ -40,7 +40,7 @@ buildPythonPackage rec { owner = "briis"; repo = pname; rev = "refs/tags/v${version}"; - hash = "sha256-vO60QMr+J3tE7ZIU7fZP27jMuPeCJH56Hbhjek5ZfXI="; + hash = "sha256-d4pMswABy/KFO2adwufSRRsj879O894nphh3MEjZOl0="; }; postPatch = '' diff --git a/nixpkgs/pkgs/development/python-modules/qutip/default.nix b/nixpkgs/pkgs/development/python-modules/qutip/default.nix index 43f3b9d8cfa6..0b58eafa398d 100644 --- a/nixpkgs/pkgs/development/python-modules/qutip/default.nix +++ b/nixpkgs/pkgs/development/python-modules/qutip/default.nix @@ -18,7 +18,7 @@ buildPythonPackage rec { pname = "qutip"; - version = "4.7.2"; + version = "4.7.3"; format = "setuptools"; disabled = pythonOlder "3.7"; @@ -27,7 +27,7 @@ buildPythonPackage rec { owner = pname; repo = pname; rev = "refs/tags/v${version}"; - hash = "sha256-qItj+MSiFKBgRiz/1+AWsmMzdaQs6rFT1FWWHbReudY="; + hash = "sha256-cpzUHjZBpAbNEnYRuY1wUZouAEAgBaN9rWdxRSfI3bs="; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/rapidfuzz/default.nix b/nixpkgs/pkgs/development/python-modules/rapidfuzz/default.nix index 422f90d71f72..07f2bdfb469b 100644 --- a/nixpkgs/pkgs/development/python-modules/rapidfuzz/default.nix +++ b/nixpkgs/pkgs/development/python-modules/rapidfuzz/default.nix @@ -18,7 +18,7 @@ buildPythonPackage rec { pname = "rapidfuzz"; - version = "3.2.0"; + version = "3.3.0"; format = "pyproject"; disabled = pythonOlder "3.7"; @@ -27,7 +27,7 @@ buildPythonPackage rec { owner = "maxbachmann"; repo = "RapidFuzz"; rev = "refs/tags/v${version}"; - hash = "sha256-Lt5m1SdZBzId6nvXXrEDQR3ZdA3yjoj15o3/nPeXPPs="; + hash = "sha256-5JlEd7X0I/OmL260v2OMVI3h99TIpglv6Nt3EciEBEM="; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/razdel/default.nix b/nixpkgs/pkgs/development/python-modules/razdel/default.nix new file mode 100644 index 000000000000..c870ba819ba1 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/razdel/default.nix @@ -0,0 +1,27 @@ +{ lib +, buildPythonPackage +, fetchPypi +, pytestCheckHook +}: + +buildPythonPackage rec { + pname = "razdel"; + version = "0.5.0"; + format = "setuptools"; + + src = fetchPypi { + inherit pname version; + hash = "sha256-QzTA/f401OiIzw7YVJaMnfFPClR9+Qmnf0Y0+f/mJuY="; + }; + + nativeCheckInputs = [ pytestCheckHook ]; + pytestFlagsArray = [ "razdel" ]; + pythonImportCheck = [ "razdel" ]; + + meta = with lib; { + description = "Rule-based system for Russian sentence and word tokenization"; + homepage = "https://github.com/natasha/razdel"; + license = licenses.mit; + maintainers = with maintainers; [ npatsakula ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/rope/default.nix b/nixpkgs/pkgs/development/python-modules/rope/default.nix index 1168529eea68..c014314685b9 100644 --- a/nixpkgs/pkgs/development/python-modules/rope/default.nix +++ b/nixpkgs/pkgs/development/python-modules/rope/default.nix @@ -10,7 +10,7 @@ buildPythonPackage rec { pname = "rope"; - version = "1.6.0"; + version = "1.9.0"; format = "pyproject"; disabled = pythonOlder "3.7"; @@ -19,7 +19,7 @@ buildPythonPackage rec { owner = "python-rope"; repo = pname; rev = "refs/tags/${version}"; - hash = "sha256-avNCti288dY9pl5AVTmUzZU/vb6WDkXEtELNlEi6L/o="; + hash = "sha256-j65C3x3anhH23D4kic5j++r/Ft0RqgZ/jFrNrNHVcXA="; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/sagemaker/default.nix b/nixpkgs/pkgs/development/python-modules/sagemaker/default.nix index 39e1aa5154d8..b14c9f29fbb8 100644 --- a/nixpkgs/pkgs/development/python-modules/sagemaker/default.nix +++ b/nixpkgs/pkgs/development/python-modules/sagemaker/default.nix @@ -26,16 +26,16 @@ buildPythonPackage rec { pname = "sagemaker"; - version = "2.177.1"; + version = "2.184.0.post0"; format = "setuptools"; - disabled = pythonOlder "3.6"; + disabled = pythonOlder "3.8"; src = fetchFromGitHub { owner = "aws"; repo = "sagemaker-python-sdk"; rev = "refs/tags/v${version}"; - hash = "sha256-Jqbk3DiV5K+TRXXSgCdoqjvddh6V2qc7mf7LotJdqys="; + hash = "sha256-gQQsHJ9b5ZbbPW0nJRdudSwaL+Hc8kwBpK9um8QWQio="; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/scikit-build-core/default.nix b/nixpkgs/pkgs/development/python-modules/scikit-build-core/default.nix index b1fb11573eb7..7cf4f9943992 100644 --- a/nixpkgs/pkgs/development/python-modules/scikit-build-core/default.nix +++ b/nixpkgs/pkgs/development/python-modules/scikit-build-core/default.nix @@ -21,13 +21,13 @@ buildPythonPackage rec { pname = "scikit-build-core"; - version = "0.4.8"; + version = "0.5.0"; format = "pyproject"; src = fetchPypi { pname = "scikit_build_core"; inherit version; - hash = "sha256-n6wcrBo4uhFoGQt72Y9irs8GzUbbcYXsjCeyfg2krUs="; + hash = "sha256-pCqVAps0tc+JKFU0LZuURcd0y3l/yyTI/EwvtCsY38o="; }; postPatch = '' diff --git a/nixpkgs/pkgs/development/python-modules/sensirion-ble/default.nix b/nixpkgs/pkgs/development/python-modules/sensirion-ble/default.nix index 68e9f2302b60..86f81f67814b 100644 --- a/nixpkgs/pkgs/development/python-modules/sensirion-ble/default.nix +++ b/nixpkgs/pkgs/development/python-modules/sensirion-ble/default.nix @@ -11,7 +11,7 @@ buildPythonPackage rec { pname = "sensirion-ble"; - version = "0.1.0"; + version = "0.1.1"; format = "pyproject"; disabled = pythonOlder "3.8"; @@ -20,7 +20,7 @@ buildPythonPackage rec { owner = "akx"; repo = "sensirion-ble"; rev = "refs/tags/v${version}"; - hash = "sha256-7l76/Bci1ztt2CfwytLOySK6IL8IDijpB0AYhksRP7o="; + hash = "sha256-VeUfrQ/1Hqs9yueUKcv/ZpCDEEy84VDcZpuTT4fXSGw="; }; postPatch = '' diff --git a/nixpkgs/pkgs/development/python-modules/shiv/default.nix b/nixpkgs/pkgs/development/python-modules/shiv/default.nix index 0aa5931cbf2b..57e67567c7c8 100644 --- a/nixpkgs/pkgs/development/python-modules/shiv/default.nix +++ b/nixpkgs/pkgs/development/python-modules/shiv/default.nix @@ -11,12 +11,12 @@ buildPythonPackage rec { pname = "shiv"; - version = "1.0.3"; + version = "1.0.4"; format = "pyproject"; src = fetchPypi { inherit pname version; - hash = "sha256-vxRv8/Oryi6xIU6GAY82EkocItk1QO71JAMhys19f1c="; + hash = "sha256-j2n3gXolRalMyOB6jsWXN1z4biwb0OWD7nU9bzH4UGA="; }; propagatedBuildInputs = [ click pip setuptools wheel ]; diff --git a/nixpkgs/pkgs/development/python-modules/simplefix/default.nix b/nixpkgs/pkgs/development/python-modules/simplefix/default.nix index 9a5ce3ec4c8c..1f90a3b0ef97 100644 --- a/nixpkgs/pkgs/development/python-modules/simplefix/default.nix +++ b/nixpkgs/pkgs/development/python-modules/simplefix/default.nix @@ -2,13 +2,13 @@ buildPythonPackage rec { pname = "simplefix"; - version = "1.0.15"; + version = "1.0.16"; src = fetchFromGitHub { repo = "simplefix"; owner = "da4089"; - rev = "v${version}"; - hash = "sha256-GQHMotxNRuRv6zXhrD02T+aFgfYe3RnvUGADsBeSPbA="; + rev = "refs/tags/v${version}"; + hash = "sha256-dkwmWCOeTAoeSY8+1wg7RWX/d57JWc8bGagzrEPMAIU="; }; checkPhase = '' diff --git a/nixpkgs/pkgs/development/python-modules/slovnet/default.nix b/nixpkgs/pkgs/development/python-modules/slovnet/default.nix new file mode 100644 index 000000000000..83f431a6a8c5 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/slovnet/default.nix @@ -0,0 +1,35 @@ +{ lib +, buildPythonPackage +, fetchPypi +, numpy +, razdel +, navec +, pytestCheckHook +}: + +buildPythonPackage rec { + pname = "slovnet"; + version = "0.6.0"; + format = "setuptools"; + + src = fetchPypi { + inherit pname version; + hash = "sha256-AtIle9ybnMHSQr007iyGHGSPcIPveJj+FGirzDge95k="; + }; + + propagatedBuildInputs = [ numpy navec razdel ]; + nativeCheckInputs = [ pytestCheckHook ]; + pytestFlagsArray = [ "tests/" ]; + disabledTestPaths = [ + # Tries to download model binary artifacts: + "tests/test_api.py" + ]; + pythonImportCheck = [ "slovnet" ]; + + meta = with lib; { + description = "Deep-learning based NLP modeling for Russian language"; + homepage = "https://github.com/natasha/slovnet"; + license = licenses.mit; + maintainers = with maintainers; [ npatsakula ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/sqlite-utils/default.nix b/nixpkgs/pkgs/development/python-modules/sqlite-utils/default.nix index 4edb02182b11..281bcdb74528 100644 --- a/nixpkgs/pkgs/development/python-modules/sqlite-utils/default.nix +++ b/nixpkgs/pkgs/development/python-modules/sqlite-utils/default.nix @@ -17,14 +17,14 @@ buildPythonPackage rec { pname = "sqlite-utils"; - version = "3.35"; + version = "3.35.1"; format = "setuptools"; disabled = pythonOlder "3.7"; src = fetchPypi { inherit pname version; - hash = "sha256-j2/n+NEncs1c9FlHA6mNzQw3wP1oIN0gVBunS5/KNjo="; + hash = "sha256-4PA+aXawW9t6XFZFSXGg6YD8Ftv9NRK7073KxPDkNw4="; }; postPatch = '' diff --git a/nixpkgs/pkgs/development/python-modules/stanza/default.nix b/nixpkgs/pkgs/development/python-modules/stanza/default.nix index 495dc2260809..0300f9c559d8 100644 --- a/nixpkgs/pkgs/development/python-modules/stanza/default.nix +++ b/nixpkgs/pkgs/development/python-modules/stanza/default.nix @@ -14,7 +14,7 @@ buildPythonPackage rec { pname = "stanza"; - version = "1.5.0"; + version = "1.5.1"; format = "setuptools"; disabled = pythonOlder "3.6"; @@ -23,7 +23,7 @@ buildPythonPackage rec { owner = "stanfordnlp"; repo = pname; rev = "refs/tags/v${version}"; - hash = "sha256-sFGAVavY16UQNJmW467+Ekojws59UMcAoCc1t9wWHM4="; + hash = "sha256-c7FaqI/8h6loLJJ9xOaJCyepWp+bc6IcqQlpGlW7u6g="; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/tensorflow/bin.nix b/nixpkgs/pkgs/development/python-modules/tensorflow/bin.nix index 0fc684b83c87..dae6816a906c 100644 --- a/nixpkgs/pkgs/development/python-modules/tensorflow/bin.nix +++ b/nixpkgs/pkgs/development/python-modules/tensorflow/bin.nix @@ -49,9 +49,6 @@ in buildPythonPackage { inherit (packages) version; format = "wheel"; - # Python 3.11 still unsupported - disabled = pythonAtLeast "3.11"; - src = let pyVerNoDot = lib.strings.stringAsChars (x: lib.optionalString (x != ".") x) python.pythonVersion; platform = if stdenv.isDarwin then "mac" else "linux"; @@ -152,14 +149,23 @@ in buildPythonPackage { "$out/${python.sitePackages}/tensorflow/compiler/tf2tensorrt/" "$out/${python.sitePackages}/tensorflow/compiler/tf2xla/ops/" "$out/${python.sitePackages}/tensorflow/lite/experimental/microfrontend/python/ops/" + "$out/${python.sitePackages}/tensorflow/lite/python/analyzer_wrapper/" "$out/${python.sitePackages}/tensorflow/lite/python/interpreter_wrapper/" + "$out/${python.sitePackages}/tensorflow/lite/python/metrics/" "$out/${python.sitePackages}/tensorflow/lite/python/optimize/" "$out/${python.sitePackages}/tensorflow/python/" - "$out/${python.sitePackages}/tensorflow/python/framework/" "$out/${python.sitePackages}/tensorflow/python/autograph/impl/testing" + "$out/${python.sitePackages}/tensorflow/python/client" "$out/${python.sitePackages}/tensorflow/python/data/experimental/service" "$out/${python.sitePackages}/tensorflow/python/framework" + "$out/${python.sitePackages}/tensorflow/python/grappler" + "$out/${python.sitePackages}/tensorflow/python/lib/core" + "$out/${python.sitePackages}/tensorflow/python/lib/io" + "$out/${python.sitePackages}/tensorflow/python/platform" "$out/${python.sitePackages}/tensorflow/python/profiler/internal" + "$out/${python.sitePackages}/tensorflow/python/saved_model" + "$out/${python.sitePackages}/tensorflow/python/util" + "$out/${python.sitePackages}/tensorflow/tsl/python/lib/core" "${rpath}" ) diff --git a/nixpkgs/pkgs/development/python-modules/tensorflow/binary-hashes.nix b/nixpkgs/pkgs/development/python-modules/tensorflow/binary-hashes.nix index e2242ef76d98..37138e455386 100644 --- a/nixpkgs/pkgs/development/python-modules/tensorflow/binary-hashes.nix +++ b/nixpkgs/pkgs/development/python-modules/tensorflow/binary-hashes.nix @@ -1,39 +1,51 @@ { -version = "2.12.0"; +version = "2.13.0"; linux_py_38_cpu = { - url = "https://storage.googleapis.com/tensorflow/linux/cpu/tensorflow_cpu-2.12.0-cp38-cp38-manylinux_2_17_x86_64.manylinux2014_x86_64.whl"; - sha256 = "1lqdb3n8dp2f1vignddfqfbbghidkbrq9g78fqkjir8g318zf1m4"; + url = "https://storage.googleapis.com/tensorflow/linux/cpu/tensorflow_cpu-2.13.0-cp38-cp38-manylinux_2_17_x86_64.manylinux2014_x86_64.whl"; + sha256 = "14pnklfp24hpybl5yqvvi2rxxhmc35rkjsijq86acakx0bx6afkw"; }; linux_py_39_cpu = { - url = "https://storage.googleapis.com/tensorflow/linux/cpu/tensorflow_cpu-2.12.0-cp39-cp39-manylinux_2_17_x86_64.manylinux2014_x86_64.whl"; - sha256 = "1k595l4gpmar9rg4kim2i41fv25i8p018fdmgxcb5v6836d5ns2m"; + url = "https://storage.googleapis.com/tensorflow/linux/cpu/tensorflow_cpu-2.13.0-cp39-cp39-manylinux_2_17_x86_64.manylinux2014_x86_64.whl"; + sha256 = "1vqvapsb0fqihkl3xgjy7ywljf7gp5rqdj6iwkv39cfvr9z3rs10"; }; linux_py_310_cpu = { - url = "https://storage.googleapis.com/tensorflow/linux/cpu/tensorflow_cpu-2.12.0-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl"; - sha256 = "1mhq429j9v82jwyqk6dcaz0sh57n0kyf2bfjrjz13xjblssij6rn"; + url = "https://storage.googleapis.com/tensorflow/linux/cpu/tensorflow_cpu-2.13.0-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl"; + sha256 = "00mx8qmxaxq2ldh84g3wh21c5aizlnysfbipfm64v8b7c4753ljs"; +}; +linux_py_311_cpu = { + url = "https://storage.googleapis.com/tensorflow/linux/cpu/tensorflow_cpu-2.13.0-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl"; + sha256 = "0r8fgq06nzfp4rcl4bhxjv57k43n31xc2j27sgn0hfbakxwy483y"; }; linux_py_38_gpu = { - url = "https://storage.googleapis.com/tensorflow/linux/gpu/tensorflow-2.12.0-cp38-cp38-manylinux_2_17_x86_64.manylinux2014_x86_64.whl"; - sha256 = "009wyvzdlzghb39mmrv36fvjrrrbllyadny9jzb7ixzry4r07193"; + url = "https://storage.googleapis.com/tensorflow/linux/gpu/tensorflow-2.13.0-cp38-cp38-manylinux_2_17_x86_64.manylinux2014_x86_64.whl"; + sha256 = "04hrs1v50sp1bgr921xjsgslng3npnn6sq2f23xvfscdqd4aq8i8"; }; linux_py_39_gpu = { - url = "https://storage.googleapis.com/tensorflow/linux/gpu/tensorflow-2.12.0-cp39-cp39-manylinux_2_17_x86_64.manylinux2014_x86_64.whl"; - sha256 = "0l1cwsfw91vfgx8xqwz7cdphj1dy6ppfifm7f7f0n0i6rda6l382"; + url = "https://storage.googleapis.com/tensorflow/linux/gpu/tensorflow-2.13.0-cp39-cp39-manylinux_2_17_x86_64.manylinux2014_x86_64.whl"; + sha256 = "0whwm93adgw2px8x678ik2nmsz8adi8vmj2np40jsbiq5y68pmkj"; }; linux_py_310_gpu = { - url = "https://storage.googleapis.com/tensorflow/linux/gpu/tensorflow-2.12.0-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl"; - sha256 = "0dl3nvr4pk4zknx484qd2mqdkjkms6s4alqrxqkqv2hqa4l9sz9m"; + url = "https://storage.googleapis.com/tensorflow/linux/gpu/tensorflow-2.13.0-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl"; + sha256 = "0am36a7n744xjn2m8hkf2cnncczsxigwazmwqsgkj07qwagbdw78"; +}; +linux_py_311_gpu = { + url = "https://storage.googleapis.com/tensorflow/linux/gpu/tensorflow-2.13.0-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl"; + sha256 = "09cczbh19mw44n526bqc3h83q5hcfdjwlp38mn3ngch22y86gvhr"; }; mac_py_38_cpu = { - url = "https://storage.googleapis.com/tensorflow/mac/cpu/tensorflow-2.12.0-cp38-cp38-macosx_10_15_x86_64.whl"; - sha256 = "0jkxd9ccbq2czn16w3his6k1hxx0nhkrb56bkrskwzss9is4w6d7"; + url = "https://storage.googleapis.com/tensorflow/mac/cpu/tensorflow-2.13.0-cp38-cp38-macosx_10_15_x86_64.whl"; + sha256 = "1fk9ymlm1n8i48dm418g8r3ixw655vk2kms6jzcb6wivlash704l"; }; mac_py_39_cpu = { - url = "https://storage.googleapis.com/tensorflow/mac/cpu/tensorflow-2.12.0-cp39-cp39-macosx_10_15_x86_64.whl"; - sha256 = "0n7rsrxlkn143y80579bm8wwsabg2a9x6sx1h7ksw3s2x4sjdz22"; + url = "https://storage.googleapis.com/tensorflow/mac/cpu/tensorflow-2.13.0-cp39-cp39-macosx_10_15_x86_64.whl"; + sha256 = "10pbhfhjzy7zfd85s18nsf0pnir77097hxkqm11bdmcfjgic023s"; }; mac_py_310_cpu = { - url = "https://storage.googleapis.com/tensorflow/mac/cpu/tensorflow-2.12.0-cp310-cp310-macosx_10_15_x86_64.whl"; - sha256 = "18fj2jxl8b69jrzjkixhyyrml8qjwcid76y15ggzc5ksrkgw0jmy"; + url = "https://storage.googleapis.com/tensorflow/mac/cpu/tensorflow-2.13.0-cp310-cp310-macosx_10_15_x86_64.whl"; + sha256 = "009icsdwvhs2g3csx3jczprgf7rbmggjk8g4qicq0m4qx51m84l9"; +}; +mac_py_311_cpu = { + url = "https://storage.googleapis.com/tensorflow/mac/cpu/tensorflow-2.13.0-cp311-cp311-macosx_10_15_x86_64.whl"; + sha256 = "0sjq82q7q7k80f35rrpkjbrm3fd25rfjfi6s6k3a91njc5k45zvg"; }; } diff --git a/nixpkgs/pkgs/development/python-modules/tensorflow/prefetcher.sh b/nixpkgs/pkgs/development/python-modules/tensorflow/prefetcher.sh index 8cdc11e11fd9..35026dbc35e0 100755 --- a/nixpkgs/pkgs/development/python-modules/tensorflow/prefetcher.sh +++ b/nixpkgs/pkgs/development/python-modules/tensorflow/prefetcher.sh @@ -1,6 +1,6 @@ #!/usr/bin/env bash -version="2.12.0" +version="2.13.0" bucket="https://storage.googleapis.com/tensorflow" @@ -11,12 +11,15 @@ url_and_key_list=( "linux_py_38_cpu $bucket/linux/cpu/tensorflow_cpu-${version}-cp38-cp38-manylinux_2_17_x86_64.manylinux2014_x86_64.whl" "linux_py_39_cpu $bucket/linux/cpu/tensorflow_cpu-${version}-cp39-cp39-manylinux_2_17_x86_64.manylinux2014_x86_64.whl" "linux_py_310_cpu $bucket/linux/cpu/tensorflow_cpu-${version}-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl" +"linux_py_311_cpu $bucket/linux/cpu/tensorflow_cpu-${version}-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl" "linux_py_38_gpu $bucket/linux/gpu/tensorflow-${version}-cp38-cp38-manylinux_2_17_x86_64.manylinux2014_x86_64.whl" "linux_py_39_gpu $bucket/linux/gpu/tensorflow-${version}-cp39-cp39-manylinux_2_17_x86_64.manylinux2014_x86_64.whl" "linux_py_310_gpu $bucket/linux/gpu/tensorflow-${version}-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl" +"linux_py_311_gpu $bucket/linux/gpu/tensorflow-${version}-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl" "mac_py_38_cpu $bucket/mac/cpu/tensorflow-${version}-cp38-cp38-macosx_10_15_x86_64.whl" "mac_py_39_cpu $bucket/mac/cpu/tensorflow-${version}-cp39-cp39-macosx_10_15_x86_64.whl" "mac_py_310_cpu $bucket/mac/cpu/tensorflow-${version}-cp310-cp310-macosx_10_15_x86_64.whl" +"mac_py_311_cpu $bucket/mac/cpu/tensorflow-${version}-cp311-cp311-macosx_10_15_x86_64.whl" ) hashfile=binary-hashes.nix diff --git a/nixpkgs/pkgs/development/python-modules/textnets/default.nix b/nixpkgs/pkgs/development/python-modules/textnets/default.nix index a63fa00e6400..c2e46990c114 100644 --- a/nixpkgs/pkgs/development/python-modules/textnets/default.nix +++ b/nixpkgs/pkgs/development/python-modules/textnets/default.nix @@ -12,6 +12,7 @@ , scipy , setuptools , spacy +, spacy-lookups-data , en_core_web_sm , toolz , tqdm @@ -20,14 +21,14 @@ buildPythonPackage rec { pname = "textnets"; - version = "0.8.8"; + version = "0.9.3"; format = "pyproject"; disabled = pythonOlder "3.8"; src = fetchPypi { inherit pname version; - hash = "sha256-rjXEiaPYctrONIZz1Dd5OSDw5z8D2FPXi5TneKizFUQ="; + hash = "sha256-fx2S43IqpSMsfJow26jB/D27dyUFQ1PlXP1rbUIZPPQ="; }; nativeBuildInputs = [ @@ -43,6 +44,7 @@ buildPythonPackage rec { pandas scipy spacy + spacy-lookups-data toolz tqdm wasabi diff --git a/nixpkgs/pkgs/development/python-modules/textual/default.nix b/nixpkgs/pkgs/development/python-modules/textual/default.nix index 23d332c4cbd1..72999fc61845 100644 --- a/nixpkgs/pkgs/development/python-modules/textual/default.nix +++ b/nixpkgs/pkgs/development/python-modules/textual/default.nix @@ -22,7 +22,7 @@ buildPythonPackage rec { pname = "textual"; - version = "0.35.1"; + version = "0.36.0"; format = "pyproject"; disabled = pythonOlder "3.7"; @@ -31,7 +31,7 @@ buildPythonPackage rec { owner = "Textualize"; repo = pname; rev = "refs/tags/v${version}"; - hash = "sha256-WOYS1bovS6OGmFnJaxvEpqM3jRSzQg1M0vQGv1yfcnw="; + hash = "sha256-GH5GhXHA/6r3UNeM4YW+khyh1HnyUQBFcSNFaJwFz9c="; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/tokentrim/default.nix b/nixpkgs/pkgs/development/python-modules/tokentrim/default.nix new file mode 100644 index 000000000000..c830d29b8a94 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/tokentrim/default.nix @@ -0,0 +1,39 @@ +{ lib +, buildPythonPackage +, fetchFromGitHub +, poetry-core +, tiktoken +}: + +buildPythonPackage { + pname = "tokentrim"; + version = "unstable-2023-09-07"; + format = "pyproject"; + + src = fetchFromGitHub { + owner = "KillianLucas"; + repo = "tokentrim"; + rev = "e98ad3a2ca0e321a7347f76c30be584175495139"; + hash = "sha256-95xitHnbFFaj0xPuLMWvIvuJzoCO3VSd592X1RI9h3A="; + }; + + nativeBuildInputs = [ + poetry-core + ]; + + propagatedBuildInputs = [ + tiktoken + ]; + + pythonImportsCheck = [ "tokentrim" ]; + + # tests connect to openai + doCheck = false; + + meta = with lib; { + description = "Easily trim 'messages' arrays for use with GPTs"; + homepage = "https://github.com/KillianLucas/tokentrim"; + license = licenses.mit; + maintainers = with maintainers; [ happysalada ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/trustme/default.nix b/nixpkgs/pkgs/development/python-modules/trustme/default.nix index 4deb72308fdf..4648dd75a26e 100644 --- a/nixpkgs/pkgs/development/python-modules/trustme/default.nix +++ b/nixpkgs/pkgs/development/python-modules/trustme/default.nix @@ -1,45 +1,48 @@ { lib , buildPythonPackage -, fetchPypi -, isPy3k , cryptography -, futures ? null +, fetchPypi +, idna , pyopenssl -, service-identity , pytestCheckHook -, idna +, pythonOlder +, service-identity }: buildPythonPackage rec { pname = "trustme"; - version = "0.9.0"; + version = "1.1.0"; + format = "setuptools"; + + disabled = pythonOlder "3.8"; src = fetchPypi { inherit pname version; - hash = "sha256-XgeyPXDO7WTzuzauS5q8UjVMFsmNRasDe+4rX7/+WGw="; + hash = "sha256-U3Wtf7QnB0vslWWS4NTuKkz02miTThukvPQhcSa8ReY="; }; + propagatedBuildInputs = [ + cryptography + idna + ]; + nativeCheckInputs = [ pyopenssl pytestCheckHook service-identity ]; - propagatedBuildInputs = [ - cryptography - idna - ] ++ lib.optionals (!isPy3k) [ - futures - ]; - # Some of the tests use localhost networking. __darwinAllowLocalNetworking = true; - pythonImportsCheck = [ "trustme" ]; + pythonImportsCheck = [ + "trustme" + ]; meta = with lib; { description = "High quality TLS certs while you wait, for the discerning tester"; homepage = "https://github.com/python-trio/trustme"; + changelog = "https://trustme.readthedocs.io/en/latest/#change-history"; license = with licenses; [ mit asl20 ]; maintainers = with maintainers; [ catern ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/twisted/default.nix b/nixpkgs/pkgs/development/python-modules/twisted/default.nix index 582a9e0f5636..7fe0bbdb8330 100644 --- a/nixpkgs/pkgs/development/python-modules/twisted/default.nix +++ b/nixpkgs/pkgs/development/python-modules/twisted/default.nix @@ -140,14 +140,9 @@ buildPythonPackage rec { # twisted.python.runtime.platform.supportsINotify() == False substituteInPlace src/twisted/python/_inotify.py --replace \ "ctypes.util.find_library(\"c\")" "'${stdenv.cc.libc}/lib/libc.so.6'" - '' + lib.optionalString (stdenv.isAarch64 && stdenv.isDarwin) '' - echo 'AbortConnectionTests_AsyncioSelectorReactorTests.test_fullWriteBufferAfterByteExchange.skip = "Timeout after 120 seconds"' >> src/twisted/internet/test/test_tcp.py - echo 'AbortConnectionTests_AsyncioSelectorReactorTests.test_resumeProducingAbort.skip = "Timeout after 120 seconds"' >> src/twisted/internet/test/test_tcp.py - - echo 'PosixReactorBaseTests.test_removeAllSkipsInternalReaders.skip = "Fails due to unclosed event loop"' >> src/twisted/internet/test/test_posixbase.py - echo 'PosixReactorBaseTests.test_wakerIsInternalReader.skip = "Fails due to unclosed event loop"' >> src/twisted/internet/test/test_posixbase.py - - echo 'TCPPortTests.test_connectionLostFailed.skip = "Fails due to unclosed event loop"' >> src/twisted/internet/test/test_posixbase.py + '' + lib.optionalString stdenv.isDarwin '' + echo 'ProcessTestsBuilder_AsyncioSelectorReactorTests.test_openFileDescriptors.skip = "invalid syntax"'>> src/twisted/internet/test/test_process.py + echo 'ProcessTestsBuilder_SelectReactorTests.test_openFileDescriptors.skip = "invalid syntax"'>> src/twisted/internet/test/test_process.py ''; # Generate Twisted's plug-in cache. Twisted users must do it as well. See @@ -171,7 +166,7 @@ buildPythonPackage rec { export SOURCE_DATE_EPOCH=315532800 export PATH=$out/bin:$PATH # race conditions when running in paralell - ${python.interpreter} -m twisted.trial twisted + ${python.interpreter} -m twisted.trial -j1 twisted ''; passthru = { diff --git a/nixpkgs/pkgs/development/python-modules/ultraheat-api/default.nix b/nixpkgs/pkgs/development/python-modules/ultraheat-api/default.nix index 25e5ab025289..b478c7c6c0ab 100644 --- a/nixpkgs/pkgs/development/python-modules/ultraheat-api/default.nix +++ b/nixpkgs/pkgs/development/python-modules/ultraheat-api/default.nix @@ -7,7 +7,7 @@ buildPythonPackage rec { pname = "ultraheat-api"; - version = "0.5.1"; + version = "0.5.7"; format = "setuptools"; disabled = pythonOlder "3.7"; @@ -15,7 +15,7 @@ buildPythonPackage rec { src = fetchPypi { pname = "ultraheat_api"; inherit version; - hash = "sha256-7yZATv0cgjRnvD9u34iZtsdsfEkdbAoVWJ19+HHlrzI="; + hash = "sha256-rRQTjV9hyUawMaXBgUx/d6pQjM8ffjcFJE2x08Cf4Gw="; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/uproot/default.nix b/nixpkgs/pkgs/development/python-modules/uproot/default.nix index e0fb91744851..1e5de05abe49 100644 --- a/nixpkgs/pkgs/development/python-modules/uproot/default.nix +++ b/nixpkgs/pkgs/development/python-modules/uproot/default.nix @@ -1,6 +1,7 @@ { lib , buildPythonPackage , fetchFromGitHub +, fetchpatch , pythonOlder , awkward , hatchling @@ -17,7 +18,7 @@ buildPythonPackage rec { pname = "uproot"; - version = "5.0.10"; + version = "5.0.11"; format = "pyproject"; disabled = pythonOlder "3.7"; @@ -26,7 +27,7 @@ buildPythonPackage rec { owner = "scikit-hep"; repo = "uproot5"; rev = "refs/tags/v${version}"; - hash = "sha256-xLyb0isWQro6RlIT7a4IBkB+m0/fF55CRLrYgi5WLrM="; + hash = "sha256-qp1iffElJSAwqaycelnILBzeW8kG7Yy0R1bjMumW8UU="; }; nativeBuildInputs = [ @@ -67,6 +68,8 @@ buildPythonPackage rec { "tests/test_0066-fix-http-fallback-freeze.py" "tests/test_0088-read-with-http.py" "tests/test_0220-contiguous-byte-ranges-in-http.py" + "tests/test_0916-read-from-s3.py" + "tests/test_0930-expressions-in-pandas.py" ]; pythonImportsCheck = [ diff --git a/nixpkgs/pkgs/development/python-modules/vg/default.nix b/nixpkgs/pkgs/development/python-modules/vg/default.nix new file mode 100644 index 000000000000..efda04f78b9d --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/vg/default.nix @@ -0,0 +1,53 @@ +{ lib +, buildPythonPackage +, fetchFromGitHub +, numpy +, poetry-core +, pythonOlder +, pytestCheckHook +, setuptools +}: + +buildPythonPackage rec { + pname = "vg"; + version = "2.0.0"; + format = "pyproject"; + + disabled = pythonOlder "3.7"; + + src = fetchFromGitHub { + owner = "lace"; + repo = "vg"; + rev = "refs/tags/${version}"; + hash = "sha256-ZNUAfkhjmsxD8cH0fR8Htjs+/F/3R9xfe1XgRyndids="; + }; + + postPatch = '' + substituteInPlace pyproject.toml \ + --replace 'requires = ["setuptools", "poetry-core>=1.0.0"]' 'requires = ["poetry-core>=1.0.0"]' + ''; + + nativeBuildInputs = [ + poetry-core + ]; + + propagatedBuildInputs = [ + numpy + ]; + + nativeCheckInputs = [ + pytestCheckHook + ]; + + pythonImportsCheck = [ + "vg" + ]; + + meta = with lib; { + description = "Linear algebra for humans: a very good vector-geometry and linear-algebra toolbelt"; + homepage = "https://github.com/lace/vg"; + changelog = "https://github.com/lace/vg/blob/${version}/CHANGELOG.md"; + license = with licenses; [ bsd2 ]; + maintainers = with maintainers; [ clerie ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/vispy/default.nix b/nixpkgs/pkgs/development/python-modules/vispy/default.nix index c30a9c07d5ee..67c34776ff1a 100644 --- a/nixpkgs/pkgs/development/python-modules/vispy/default.nix +++ b/nixpkgs/pkgs/development/python-modules/vispy/default.nix @@ -17,14 +17,14 @@ buildPythonPackage rec { pname = "vispy"; - version = "0.13.0"; + version = "0.14.0"; format = "setuptools"; disabled = pythonOlder "3.7"; src = fetchPypi { inherit pname version; - hash = "sha256-tZ97z2UoyRS8ps60rZWZhMZgS+o0ZjASpyq4itiInq8="; + hash = "sha256-3vcn528rZd7YhmSoPaUN2peWOWHBbxOk2FCr3UWTD5Q="; }; patches = [ diff --git a/nixpkgs/pkgs/development/python-modules/wikitextparser/default.nix b/nixpkgs/pkgs/development/python-modules/wikitextparser/default.nix new file mode 100644 index 000000000000..b65f18b6c6ec --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/wikitextparser/default.nix @@ -0,0 +1,39 @@ +{ buildPythonPackage +, fetchFromGitHub +, lib +, pytestCheckHook +, regex +, wcwidth +}: + +buildPythonPackage rec { + pname = "wikitextparser"; + version = "0.54.0"; + format = "pyproject"; + + src = fetchFromGitHub { + owner = "5j9"; + repo = "wikitextparser"; + rev = "v${version}"; + hash = "sha256-AGQfjUNxeleuTS200QMdZS8CSD2t4ah5NMm9TIYjVHk="; + }; + + propagatedBuildInputs = [ + wcwidth + regex + ]; + + nativeCheckInputs = [ + pytestCheckHook + ]; + + pythonImportsCheck = [ "wikitextparser" ]; + + meta = { + homepage = "https://github.com/5j9/wikitextparser"; + description = "A simple parsing tool for MediaWiki's wikitext markup"; + changelog = "https://github.com/5j9/wikitextparser/blob/v${version}/CHANGELOG.rst"; + license = lib.licenses.gpl3Only; + maintainers = with lib.maintainers; [ rapiteanu ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/yalexs/default.nix b/nixpkgs/pkgs/development/python-modules/yalexs/default.nix index 98e7cf7e9a85..ed411bc3a7de 100644 --- a/nixpkgs/pkgs/development/python-modules/yalexs/default.nix +++ b/nixpkgs/pkgs/development/python-modules/yalexs/default.nix @@ -17,7 +17,7 @@ buildPythonPackage rec { pname = "yalexs"; - version = "1.8.0"; + version = "1.9.0"; format = "setuptools"; disabled = pythonOlder "3.9"; @@ -26,7 +26,7 @@ buildPythonPackage rec { owner = "bdraco"; repo = pname; rev = "refs/tags/v${version}"; - hash = "sha256-ZxZIv69HooX6SUIdrtAuhOEVPN7E+E/AZ138XmzIYIE="; + hash = "sha256-9rXAFMFpKF+oIKXSFLVCLDfdpMF837xRIEe3aH7ditc="; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/yargy/default.nix b/nixpkgs/pkgs/development/python-modules/yargy/default.nix new file mode 100644 index 000000000000..30ada41f87b0 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/yargy/default.nix @@ -0,0 +1,29 @@ +{ lib +, buildPythonPackage +, fetchPypi +, pymorphy2 +, pytestCheckHook +}: + +buildPythonPackage rec { + pname = "yargy"; + version = "0.16.0"; + format = "setuptools"; + + src = fetchPypi { + inherit pname version; + hash = "sha256-yRfu+zKkDCPEa2yojWiScHLdAKuU6Q/V3GqwpitZtZM="; + }; + + propagatedBuildInputs = [ pymorphy2 ]; + pythonImportCheck = [ "yargy" ]; + nativeCheckInputs = [ pytestCheckHook ]; + pytestFlagsArray = [ "tests" ]; + + meta = with lib; { + description = "Rule-based facts extraction for Russian language"; + homepage = "https://github.com/natasha/yargu"; + license = licenses.mit; + maintainers = with maintainers; [ npatsakula ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/zeroconf/default.nix b/nixpkgs/pkgs/development/python-modules/zeroconf/default.nix index be93601255fd..5e31db44cfbb 100644 --- a/nixpkgs/pkgs/development/python-modules/zeroconf/default.nix +++ b/nixpkgs/pkgs/development/python-modules/zeroconf/default.nix @@ -15,7 +15,7 @@ buildPythonPackage rec { pname = "zeroconf"; - version = "0.103.0"; + version = "0.108.0"; format = "pyproject"; disabled = pythonOlder "3.7"; @@ -24,7 +24,7 @@ buildPythonPackage rec { owner = "jstasiak"; repo = "python-zeroconf"; rev = "refs/tags/${version}"; - hash = "sha256-15nOSQOM1c9zISsTwY2pdRLIp2/sLnBmb/5LMoWHyfo="; + hash = "sha256-XwSWKuj8gRe4PO0GaV+C4C5plDX9vkDhMjmSx1TpINE="; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/zigpy-deconz/default.nix b/nixpkgs/pkgs/development/python-modules/zigpy-deconz/default.nix index b9220505c772..a0bc46b09a02 100644 --- a/nixpkgs/pkgs/development/python-modules/zigpy-deconz/default.nix +++ b/nixpkgs/pkgs/development/python-modules/zigpy-deconz/default.nix @@ -11,7 +11,7 @@ buildPythonPackage rec { pname = "zigpy-deconz"; - version = "0.21.0"; + version = "0.21.1"; format = "setuptools"; disabled = pythonOlder "3.7"; @@ -20,7 +20,7 @@ buildPythonPackage rec { owner = "zigpy"; repo = pname; rev = "refs/tags/${version}"; - hash = "sha256-/XsCQt3JHiPrXJH8w2zDmaMQBLWgcmkbj9RooVYuFw0="; + hash = "sha256-YRJMIpC6Zk5sQjGyzdEbQEeYgFJzIbxe4BReayceu10="; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/zigpy-xbee/default.nix b/nixpkgs/pkgs/development/python-modules/zigpy-xbee/default.nix index a44d3c3265bf..a94eb4e4c545 100644 --- a/nixpkgs/pkgs/development/python-modules/zigpy-xbee/default.nix +++ b/nixpkgs/pkgs/development/python-modules/zigpy-xbee/default.nix @@ -11,7 +11,7 @@ buildPythonPackage rec { pname = "zigpy-xbee"; - version = "0.18.1"; + version = "0.18.2"; # https://github.com/Martiusweb/asynctest/issues/152 # broken by upstream python bug with asynctest and # is used exclusively by home-assistant with python 3.8 @@ -21,7 +21,7 @@ buildPythonPackage rec { owner = "zigpy"; repo = "zigpy-xbee"; rev = "refs/tags/${version}"; - hash = "sha256-vR+oLshiIYmMdAvir+TGBqVkTGXKAVnUWnQPR3YwuUk="; + hash = "sha256-aglKQWIMh0IyrcGt+PZVtzcgs88YbtZB7Tfg7hlB+18="; }; buildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/zwave-js-server-python/default.nix b/nixpkgs/pkgs/development/python-modules/zwave-js-server-python/default.nix index 3669f6c70f1f..7e98b142b44f 100644 --- a/nixpkgs/pkgs/development/python-modules/zwave-js-server-python/default.nix +++ b/nixpkgs/pkgs/development/python-modules/zwave-js-server-python/default.nix @@ -10,7 +10,7 @@ buildPythonPackage rec { pname = "zwave-js-server-python"; - version = "0.51.1"; + version = "0.51.2"; format = "setuptools"; disabled = pythonOlder "3.11"; @@ -19,7 +19,7 @@ buildPythonPackage rec { owner = "home-assistant-libs"; repo = pname; rev = "refs/tags/${version}"; - hash = "sha256-2U0mRlNUCZD05lgGB3dz2WaUxG2dv/C7nxD2sI3R8B8="; + hash = "sha256-SRBH7HdsgS60Z8y6ef5/VCunzMGBEWw0u1jR7wSByNc="; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/development/python-modules/zxing_cpp/default.nix b/nixpkgs/pkgs/development/python-modules/zxing_cpp/default.nix index 2427e1256998..b876ab05af3f 100644 --- a/nixpkgs/pkgs/development/python-modules/zxing_cpp/default.nix +++ b/nixpkgs/pkgs/development/python-modules/zxing_cpp/default.nix @@ -1,20 +1,18 @@ -{ buildPythonPackage -, lib +{ lib +, buildPythonPackage , cmake -, pybind11 -, zxing-cpp , numpy , pillow +, pybind11 +, zxing-cpp }: buildPythonPackage rec { pname = "zxing_cpp"; - inherit (zxing-cpp) src version; + inherit (zxing-cpp) src version meta; sourceRoot = "${src.name}/wrappers/python"; - patches = [ - ./use-nixpkgs-pybind11.patch - ]; + dontUseCmakeConfigure = true; propagatedBuildInputs = [ @@ -29,21 +27,4 @@ buildPythonPackage rec { nativeCheckInputs = [ pillow ]; - - meta = with lib; { - homepage = "https://github.com/zxing-cpp/zxing-cpp"; - description = "Python bindings for C++ port of zxing (a Java barcode image processing library)"; - longDescription = '' - ZXing-C++ ("zebra crossing") is an open-source, multi-format 1D/2D barcode - image processing library implemented in C++. - - It was originally ported from the Java ZXing Library but has been - developed further and now includes many improvements in terms of quality - and performance. It can both read and write barcodes in a number of - formats. - ''; - license = licenses.asl20; - maintainers = with maintainers; [ lukegb ]; - platforms = with platforms; unix; - }; } diff --git a/nixpkgs/pkgs/development/python-modules/zxing_cpp/use-nixpkgs-pybind11.patch b/nixpkgs/pkgs/development/python-modules/zxing_cpp/use-nixpkgs-pybind11.patch deleted file mode 100644 index 4a4960f13d6d..000000000000 --- a/nixpkgs/pkgs/development/python-modules/zxing_cpp/use-nixpkgs-pybind11.patch +++ /dev/null @@ -1,14 +0,0 @@ -diff --git a/CMakeLists.txt b/CMakeLists.txt -index eadfc045..b6f273a6 100644 ---- a/CMakeLists.txt -+++ b/CMakeLists.txt -@@ -20,8 +20,7 @@ if (NOT hasParent) - # In development mode, when the whole zxing-cpp directory is checked out, build against head code. - add_subdirectory(${CMAKE_CURRENT_SOURCE_DIR}/../../core ZXing EXCLUDE_FROM_ALL) - -- include(${CMAKE_CURRENT_SOURCE_DIR}/../../zxing.cmake) -- zxing_add_package(pybind11 pybind11 ${pybind11_git_repo} ${pybind11_git_rev}) -+ find_package(pybind11 CONFIG) - else() - # we don't have access to the top-level cmake helpers -> simply fetch it unconditional - include(FetchContent) diff --git a/nixpkgs/pkgs/development/tools/analysis/checkov/default.nix b/nixpkgs/pkgs/development/tools/analysis/checkov/default.nix index be3a9b163b69..8db11d836e89 100644 --- a/nixpkgs/pkgs/development/tools/analysis/checkov/default.nix +++ b/nixpkgs/pkgs/development/tools/analysis/checkov/default.nix @@ -22,14 +22,14 @@ with py.pkgs; buildPythonApplication rec { pname = "checkov"; - version = "2.4.30"; + version = "2.4.33"; format = "setuptools"; src = fetchFromGitHub { owner = "bridgecrewio"; repo = pname; rev = "refs/tags/${version}"; - hash = "sha256-sMNyeVaHdKI3IEN0/UR5XM72zDvMzyVAFMMcauan9J4="; + hash = "sha256-lbJlv1D3PvZlvjawkItBt9STdMMJwC5QQAYdUG0HjTI="; }; patches = [ diff --git a/nixpkgs/pkgs/development/tools/analysis/cppcheck/default.nix b/nixpkgs/pkgs/development/tools/analysis/cppcheck/default.nix index 15709e4cc869..937e63d2fe26 100644 --- a/nixpkgs/pkgs/development/tools/analysis/cppcheck/default.nix +++ b/nixpkgs/pkgs/development/tools/analysis/cppcheck/default.nix @@ -1,5 +1,5 @@ -{ docbook_xsl -, docbook_xml_dtd_45 +{ docbook_xml_dtd_45 +, docbook_xsl , fetchFromGitHub , installShellFiles , lib @@ -13,20 +13,20 @@ stdenv.mkDerivation (finalAttrs: { pname = "cppcheck"; - version = "2.11.1"; + version = "2.12.0"; + + outputs = [ "out" "man" ]; src = fetchFromGitHub { owner = "danmar"; repo = "cppcheck"; rev = finalAttrs.version; - hash = "sha256-ZQ1EgnC2JBc0AvSW8PtgMzJoWSPt04Xfh8dqOU+KMfw="; + hash = "sha256-Rfm63ERmTsmmH8W6aiBMx+NiQjzGuoWHqHRRqWishhw="; }; - strictDeps = true; - nativeBuildInputs = [ - docbook_xsl docbook_xml_dtd_45 + docbook_xsl installShellFiles libxslt pkg-config @@ -41,9 +41,12 @@ stdenv.mkDerivation (finalAttrs: { makeFlags = [ "PREFIX=$(out)" "MATCHCOMPILER=yes" "FILESDIR=$(out)/share/cppcheck" "HAVE_RULES=yes" ]; - outputs = [ "out" "man" ]; - enableParallelBuilding = true; + strictDeps = true; + + # test/testcondition.cpp:4949(TestCondition::alwaysTrueContainer): Assertion failed. + doCheck = !(stdenv.isLinux && stdenv.isAarch64); + doInstallCheck = true; postPatch = '' substituteInPlace Makefile \ @@ -58,10 +61,6 @@ stdenv.mkDerivation (finalAttrs: { installManPage cppcheck.1 ''; - # test/testcondition.cpp:4949(TestCondition::alwaysTrueContainer): Assertion failed. - doCheck = !(stdenv.isLinux && stdenv.isAarch64); - - doInstallCheck = true; installCheckPhase = '' runHook preInstallCheck @@ -73,13 +72,13 @@ stdenv.mkDerivation (finalAttrs: { meta = { description = "A static analysis tool for C/C++ code"; - homepage = "http://cppcheck.sourceforge.net/"; + homepage = "http://cppcheck.sourceforge.net"; license = lib.licenses.gpl3Plus; longDescription = '' Check C/C++ code for memory leaks, mismatching allocation-deallocation, buffer overruns and more. ''; - maintainers = with lib.maintainers; [ joachifm ]; + maintainers = with lib.maintainers; [ joachifm paveloom ]; platforms = lib.platforms.unix; }; }) diff --git a/nixpkgs/pkgs/development/tools/analysis/stylelint/default.nix b/nixpkgs/pkgs/development/tools/analysis/stylelint/default.nix new file mode 100644 index 000000000000..d2e583ecf91c --- /dev/null +++ b/nixpkgs/pkgs/development/tools/analysis/stylelint/default.nix @@ -0,0 +1,24 @@ +{ buildNpmPackage, fetchFromGitHub, lib }: + +buildNpmPackage rec { + pname = "stylelint"; + version = "15.10.3"; + + src = fetchFromGitHub { + owner = "stylelint"; + repo = "stylelint"; + rev = version; + hash = "sha256-k7Ngbd4Z3/JjCK6taynIiNCDTKfqGRrjfR0ePyRFY4w="; + }; + + npmDepsHash = "sha256-tVDhaDeUKzuyJU5ABSOeYgS56BDSJTfjBZdTsuL/7tA="; + + dontNpmBuild = true; + + meta = with lib; { + description = "Mighty CSS linter that helps you avoid errors and enforce conventions"; + homepage = "https://stylelint.io"; + license = licenses.mit; + maintainers = with maintainers; [ ]; + }; +} diff --git a/nixpkgs/pkgs/development/tools/analysis/tfsec/default.nix b/nixpkgs/pkgs/development/tools/analysis/tfsec/default.nix index dd80de382475..bd89d788e8b2 100644 --- a/nixpkgs/pkgs/development/tools/analysis/tfsec/default.nix +++ b/nixpkgs/pkgs/development/tools/analysis/tfsec/default.nix @@ -5,19 +5,19 @@ buildGoModule rec { pname = "tfsec"; - version = "1.28.2"; + version = "1.28.4"; src = fetchFromGitHub { owner = "aquasecurity"; - repo = pname; - rev = "v${version}"; - hash = "sha256-N1BL0DHppHyfxHQT98y3rtvyCArq/bcbDIiB0NxCsJA="; + repo = "tfsec"; + rev = "refs/tags/v${version}"; + hash = "sha256-WMmRCjKBtPT45it6iUQh5D7TBc8glt+dppksBvDhTN4="; }; ldflags = [ "-s" "-w" - "-X github.com/aquasecurity/tfsec/version.Version=v${version}" + "-X=github.com/aquasecurity/tfsec/version.Version=v${version}" ## not sure if this is needed (https://github.com/aquasecurity/tfsec/blob/master/.goreleaser.yml#L6) # "-extldflags '-fno-PIC -static'" ]; @@ -33,6 +33,7 @@ buildGoModule rec { meta = with lib; { description = "Static analysis powered security scanner for terraform code"; homepage = "https://github.com/aquasecurity/tfsec"; + changelog = "https://github.com/aquasecurity/tfsec/releases/tag/v${version}"; license = licenses.mit; maintainers = with maintainers; [ fab marsam peterromfeldhk ]; }; diff --git a/nixpkgs/pkgs/development/tools/bazel-kazel/default.nix b/nixpkgs/pkgs/development/tools/bazel-kazel/default.nix index 9f51a44fbb8f..8d7ceb04f0eb 100644 --- a/nixpkgs/pkgs/development/tools/bazel-kazel/default.nix +++ b/nixpkgs/pkgs/development/tools/bazel-kazel/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-Y9VOlFrFmJQCQuwf3UztHGuJqmq/lSibTbI3oGjtNuE="; }; - vendorSha256 = "sha256-1+7Mx1Zh1WolqTpWNe560PRzRYaWVUVLvNvUOysaW5I="; + vendorHash = "sha256-1+7Mx1Zh1WolqTpWNe560PRzRYaWVUVLvNvUOysaW5I="; doCheck = false; diff --git a/nixpkgs/pkgs/development/tools/build-managers/waf/hook.nix b/nixpkgs/pkgs/development/tools/build-managers/waf/hook.nix index 7282ed9ebf97..ac497d227831 100644 --- a/nixpkgs/pkgs/development/tools/build-managers/waf/hook.nix +++ b/nixpkgs/pkgs/development/tools/build-managers/waf/hook.nix @@ -13,7 +13,7 @@ makeSetupHook { # waf is not inserted into propagatedBuildInputs, rather it is inserted # directly inherit waf; - crossFlags = lib.optionalString (stdenv.hostPlatform.system != stdenv.targetPlatform.system) + wafCrossFlags = lib.optionalString (stdenv.hostPlatform.system != stdenv.targetPlatform.system) ''--cross-compile "--cross-execute=${stdenv.targetPlatform.emulator pkgs}"''; }; diff --git a/nixpkgs/pkgs/development/tools/build-managers/waf/setup-hook.sh b/nixpkgs/pkgs/development/tools/build-managers/waf/setup-hook.sh index 2593b0a9d808..d3e2bf97e682 100644 --- a/nixpkgs/pkgs/development/tools/build-managers/waf/setup-hook.sh +++ b/nixpkgs/pkgs/development/tools/build-managers/waf/setup-hook.sh @@ -19,14 +19,14 @@ wafConfigurePhase() { local flagsArray=( $prefixFlag $wafConfigureFlags "${wafConfigureFlagsArray[@]}" - ${configureTargets:-configure} + ${wafConfigureTargets:-configure} ) if [ -z "${dontAddWafCrossFlags:-}" ]; then - flagsArray+=(@crossFlags@) + flagsArray+=(@wafCrossFlags@) fi - echoCmd 'configure flags' "${flagsArray[@]}" + echoCmd 'waf configure flags' "${flagsArray[@]}" python "$wafPath" "${flagsArray[@]}" if ! [[ -v enableParallelBuilding ]]; then @@ -51,11 +51,11 @@ wafBuildPhase () { local flagsArray=( ${enableParallelBuilding:+-j ${NIX_BUILD_CORES}} $wafFlags ${wafFlagsArray[@]} - $buildFlags ${buildFlagsArray[@]} - ${buildTargets:-build} + $wafBuildFlags ${wafBuildFlagsArray[@]} + ${wafBuildTargets:-build} ) - echoCmd 'build flags' "${flagsArray[@]}" + echoCmd 'waf build flags' "${flagsArray[@]}" python "$wafPath" "${flagsArray[@]}" runHook postBuild @@ -71,11 +71,11 @@ wafInstallPhase() { local flagsArray=( ${enableParallelInstalling:+-j ${NIX_BUILD_CORES}} $wafFlags ${wafFlagsArray[@]} - $installFlags ${installFlagsArray[@]} - ${installTargets:-install} + $wafInstallFlags ${wafInstallFlagsArray[@]} + ${wafInstallTargets:-install} ) - echoCmd 'install flags' "${flagsArray[@]}" + echoCmd 'waf install flags' "${flagsArray[@]}" python "$wafPath" "${flagsArray[@]}" runHook postInstall diff --git a/nixpkgs/pkgs/development/tools/check/default.nix b/nixpkgs/pkgs/development/tools/check/default.nix index f74578e25a0d..8f068c678896 100644 --- a/nixpkgs/pkgs/development/tools/check/default.nix +++ b/nixpkgs/pkgs/development/tools/check/default.nix @@ -15,7 +15,7 @@ buildGoModule rec { sha256 = "sha256-u8U/62LZEn1ffwdGsUCGam4HAk7b2LetomCLZzHuuas="; }; - vendorSha256 = "sha256-DyysiVYFpncmyCzlHIOEtWlCMpm90AC3gdItI9WinSo="; + vendorHash = "sha256-DyysiVYFpncmyCzlHIOEtWlCMpm90AC3gdItI9WinSo="; meta = with lib; { description = "A set of utilities for checking Go sources"; diff --git a/nixpkgs/pkgs/development/tools/cobra-cli/default.nix b/nixpkgs/pkgs/development/tools/cobra-cli/default.nix index a3f277259f2b..5d123a046dc5 100644 --- a/nixpkgs/pkgs/development/tools/cobra-cli/default.nix +++ b/nixpkgs/pkgs/development/tools/cobra-cli/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-E0I/Pxw4biOv7aGVzGlQOFXnxkc+zZaEoX1JmyMh6UE="; }; - vendorSha256 = "sha256-vrtGPQzY+NImOGaSxV+Dvch+GNPfL9XfY4lfCHTGXwY="; + vendorHash = "sha256-vrtGPQzY+NImOGaSxV+Dvch+GNPfL9XfY4lfCHTGXwY="; nativeBuildInputs = [ makeWrapper ]; diff --git a/nixpkgs/pkgs/development/tools/continuous-integration/buildkite-cli/default.nix b/nixpkgs/pkgs/development/tools/continuous-integration/buildkite-cli/default.nix index 0c04ece125d3..5e191cb83b32 100644 --- a/nixpkgs/pkgs/development/tools/continuous-integration/buildkite-cli/default.nix +++ b/nixpkgs/pkgs/development/tools/continuous-integration/buildkite-cli/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-4MUgyUKyycsreAMVtyKJFpQOHvI6JJSn7TUZtbQANyc="; }; - vendorSha256 = "sha256-3x7yJenJ2BHdqVPaBaqfFVeOSJZ/VRNF/TTfSsw+2os="; + vendorHash = "sha256-3x7yJenJ2BHdqVPaBaqfFVeOSJZ/VRNF/TTfSsw+2os="; doCheck = false; diff --git a/nixpkgs/pkgs/development/tools/continuous-integration/codeberg-pages/default.nix b/nixpkgs/pkgs/development/tools/continuous-integration/codeberg-pages/default.nix index b3d03435715c..d252266f2377 100644 --- a/nixpkgs/pkgs/development/tools/continuous-integration/codeberg-pages/default.nix +++ b/nixpkgs/pkgs/development/tools/continuous-integration/codeberg-pages/default.nix @@ -12,7 +12,7 @@ buildGoModule rec { sha256 = "sha256-mL2Xs7eyldoZK4zrX6WFlFtwdLN0iVyl1Qh8X6b2u9c="; }; - vendorSha256 = "sha256-R/LuZkA2xHmu7SO3BVyK1C6n9U+pYn50kNkyLltn2ng="; + vendorHash = "sha256-R/LuZkA2xHmu7SO3BVyK1C6n9U+pYn50kNkyLltn2ng="; patches = [ ./disable_httptest.patch ]; diff --git a/nixpkgs/pkgs/development/tools/continuous-integration/drone-cli/default.nix b/nixpkgs/pkgs/development/tools/continuous-integration/drone-cli/default.nix index ccbadee62028..ce5849048a66 100644 --- a/nixpkgs/pkgs/development/tools/continuous-integration/drone-cli/default.nix +++ b/nixpkgs/pkgs/development/tools/continuous-integration/drone-cli/default.nix @@ -15,7 +15,7 @@ buildGoModule rec { hash = "sha256-PZ0M79duSctPepD5O+NdJZKhkyR21g/4P6loJtoWZiU="; }; - vendorSha256 = "sha256-JC7OR4ySDsVWmrBBTjpwZrkJlM8RJehbsvXW/VtA4VA="; + vendorHash = "sha256-JC7OR4ySDsVWmrBBTjpwZrkJlM8RJehbsvXW/VtA4VA="; # patch taken from https://patch-diff.githubusercontent.com/raw/harness/drone-cli/pull/179.patch # but with go.mod changes removed due to conflict diff --git a/nixpkgs/pkgs/development/tools/continuous-integration/drone-runner-exec/default.nix b/nixpkgs/pkgs/development/tools/continuous-integration/drone-runner-exec/default.nix index c40d94645d1e..1e9d1e96a9a9 100644 --- a/nixpkgs/pkgs/development/tools/continuous-integration/drone-runner-exec/default.nix +++ b/nixpkgs/pkgs/development/tools/continuous-integration/drone-runner-exec/default.nix @@ -14,7 +14,7 @@ buildGoModule rec { sha256 = "sha256-0UIJwpC5Y2TQqyZf6C6neICYBZdLQBWAZ8/K1l6KVRs="; }; - vendorSha256 = "sha256-ypYuQKxRhRQGX1HtaWt6F6BD9vBpD8AJwx/4esLrJsw="; + vendorHash = "sha256-ypYuQKxRhRQGX1HtaWt6F6BD9vBpD8AJwx/4esLrJsw="; meta = with lib; { description = "Drone pipeline runner that executes builds directly on the host machine"; diff --git a/nixpkgs/pkgs/development/tools/cotton/Cargo.lock b/nixpkgs/pkgs/development/tools/cotton/Cargo.lock index 94e2ea06696b..3186cacd69c1 100644 --- a/nixpkgs/pkgs/development/tools/cotton/Cargo.lock +++ b/nixpkgs/pkgs/development/tools/cotton/Cargo.lock @@ -34,16 +34,15 @@ dependencies = [ [[package]] name = "anstream" -version = "0.3.0" +version = "0.5.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "9e579a7752471abc2a8268df8b20005e3eadd975f585398f17efcfd8d4927371" +checksum = "b1f58811cfac344940f1a400b6e6231ce35171f614f26439e80f8c1465c5cc0c" dependencies = [ "anstyle", "anstyle-parse", "anstyle-query", "anstyle-wincon", "colorchoice", - "is-terminal", "utf8parse", ] @@ -68,24 +67,24 @@ version = "1.0.0" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "5ca11d4be1bab0c8bc8734a9aa7bf4ee8316d462a08c6ac5052f888fef5b494b" dependencies = [ - "windows-sys 0.48.0", + "windows-sys", ] [[package]] name = "anstyle-wincon" -version = "1.0.0" +version = "2.1.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "4bcd8291a340dd8ac70e18878bc4501dd7b4ff970cfa21c207d36ece51ea88fd" +checksum = "58f54d10c6dfa51283a066ceab3ec1ab78d13fae00aa49243a45e4571fb79dfd" dependencies = [ "anstyle", - "windows-sys 0.48.0", + "windows-sys", ] [[package]] name = "async-compression" -version = "0.4.1" +version = "0.4.3" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "62b74f44609f0f91493e3082d3734d98497e094777144380ea4db9f9905dd5b6" +checksum = "bb42b2197bf15ccb092b62c74515dbd8b86d0effd934795f6687c93b6e679a2c" dependencies = [ "brotli", "flate2", @@ -97,9 +96,9 @@ dependencies = [ [[package]] name = "async-recursion" -version = "1.0.4" +version = "1.0.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "0e97ce7de6cf12de5d7226c73f5ba9811622f4db3a5b91b55c53e987e5f91cba" +checksum = "5fd55a5ba1179988837d24ab4c7cc8ed6efdeff578ede0416b4225a5fca35bd0" dependencies = [ "proc-macro2", "quote", @@ -157,6 +156,12 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a" [[package]] +name = "bitflags" +version = "2.4.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "b4682ae6287fcf752ecaabbfcc7b6f9b72aa33933dc23a554d853aea8eea8635" + +[[package]] name = "brotli" version = "3.3.4" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -254,20 +259,19 @@ checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd" [[package]] name = "clap" -version = "4.3.21" +version = "4.4.3" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "c27cdf28c0f604ba3f512b0c9a409f8de8513e4816705deb0498b627e7c3a3fd" +checksum = "84ed82781cea27b43c9b106a979fe450a13a31aab0500595fb3fc06616de08e6" dependencies = [ "clap_builder", "clap_derive", - "once_cell", ] [[package]] name = "clap_builder" -version = "4.3.21" +version = "4.4.2" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "08a9f1ab5e9f01a9b81f202e8562eb9a10de70abf9eaeac1be465c28b75aa4aa" +checksum = "2bb9faaa7c2ef94b2743a21f5a29e6f0010dff4caa69ac8e9d6cf8b6fa74da08" dependencies = [ "anstream", "anstyle", @@ -277,9 +281,9 @@ dependencies = [ [[package]] name = "clap_derive" -version = "4.3.12" +version = "4.4.2" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "54a9bb5758fc5dfe728d1019941681eccaf0cf8a4189b692a0ee2f2ecf90a050" +checksum = "0862016ff20d69b84ef8247369fabf5c008a7417002411897d40ee1f4532b873" dependencies = [ "heck", "proc-macro2", @@ -463,9 +467,9 @@ dependencies = [ [[package]] name = "dashmap" -version = "5.5.0" +version = "5.5.3" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "6943ae99c34386c84a470c499d3414f66502a41340aa895406e0d2e4a207b91d" +checksum = "978747c1d849a7d2ee5e8adc0159961c48fb7e5db2f06af6723b80123bb53856" dependencies = [ "cfg-if", "hashbrown 0.14.0", @@ -522,13 +526,13 @@ checksum = "88bffebc5d80432c9b140ee17875ff173a8ab62faad5b257da912bd2f6c1c0a1" [[package]] name = "errno" -version = "0.3.0" +version = "0.3.3" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "50d6a0976c999d473fe89ad888d5a284e55366d9dc9038b1ba2aa15128c4afa0" +checksum = "136526188508e25c6fef639d7927dfb3e0e3084488bf202267829cf7fc23dbdd" dependencies = [ "errno-dragonfly", "libc", - "windows-sys 0.45.0", + "windows-sys", ] [[package]] @@ -562,14 +566,14 @@ dependencies = [ [[package]] name = "filetime" -version = "0.2.17" +version = "0.2.22" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "e94a7bbaa59354bc20dd75b67f23e2797b4490e9d6928203fb105c79e448c86c" +checksum = "d4029edd3e734da6fe05b6cd7bd2960760a616bd2ddd0d59a0124746d6272af0" dependencies = [ "cfg-if", "libc", - "redox_syscall 0.2.13", - "windows-sys 0.36.1", + "redox_syscall 0.3.5", + "windows-sys", ] [[package]] @@ -584,15 +588,14 @@ dependencies = [ [[package]] name = "flume" -version = "0.10.14" +version = "0.11.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "1657b4441c3403d9f7b3409e47575237dac27b1b5726df654a6ecbf92f0f7577" +checksum = "55ac459de2512911e4b674ce33cf20befaba382d05b62b008afc1c8b57cbf181" dependencies = [ "futures-core", "futures-sink", "nanorand", - "pin-project", - "spin 0.9.4", + "spin 0.9.8", ] [[package]] @@ -744,9 +747,9 @@ checksum = "22030e2c5a68ec659fde1e949a745124b48e6fa8b045b7ed5bd1fe4ccc5c4e5d" [[package]] name = "h2" -version = "0.3.13" +version = "0.3.21" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "37a82c6d637fc9515a4694bbf1cb2457b79d81ce52b3108bdeea58b07dd34a57" +checksum = "91fc23aa11be92976ef4729127f1a74adf36d8436f7816b185d18df956790833" dependencies = [ "bytes", "fnv", @@ -795,10 +798,13 @@ dependencies = [ ] [[package]] -name = "hermit-abi" -version = "0.3.1" +name = "home" +version = "0.5.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "fed44880c466736ef9a5c5b5facefb5ed0785676d0c02d612db14e54f0d84286" +checksum = "5444c27eef6923071f7ebcc33e3444508466a76f7a2b93da00ed6e19f30c1ddb" +dependencies = [ + "windows-sys", +] [[package]] name = "hostname" @@ -835,9 +841,9 @@ dependencies = [ [[package]] name = "httparse" -version = "1.7.1" +version = "1.8.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "496ce29bb5a52785b44e0f7ca2847ae0bb839c9bd28f69acac9b99d461c0c04c" +checksum = "d897f394bad6a705d5f4104762e116a75639e470d80901eed05a860a95cb1904" [[package]] name = "httpdate" @@ -847,9 +853,9 @@ checksum = "c4a1e36c821dbe04574f602848a19f742f4fb3c98d40449f11bcad18d6b17421" [[package]] name = "hyper" -version = "0.14.20" +version = "0.14.27" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "02c929dc5c39e335a03c405292728118860721b10190d98c2a0f0efd5baafbac" +checksum = "ffb1cfd654a8219eaef89881fdb3bb3b1cdc5fa75ded05d6933b2b382e395468" dependencies = [ "bytes", "futures-channel", @@ -955,7 +961,7 @@ version = "0.9.6" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "f8069d3ec154eb856955c1c0fbffefbf5f3c40a104ec912d4797314c1801abff" dependencies = [ - "bitflags", + "bitflags 1.3.2", "inotify-sys", "libc", ] @@ -979,16 +985,6 @@ dependencies = [ ] [[package]] -name = "io-lifetimes" -version = "1.0.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "a7d367024b3f3414d8e01f437f704f41a9f64ab36f9067fa73e526ad4c763c87" -dependencies = [ - "libc", - "windows-sys 0.42.0", -] - -[[package]] name = "ipconfig" version = "0.3.0" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -1007,18 +1003,6 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "879d54834c8c76457ef4293a689b2a8c59b076067ad77b15efafbb05f92a592b" [[package]] -name = "is-terminal" -version = "0.4.6" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "256017f749ab3117e93acb91063009e1f1bb56d03965b14c2c8df4eb02c524d8" -dependencies = [ - "hermit-abi 0.3.1", - "io-lifetimes", - "rustix", - "windows-sys 0.45.0", -] - -[[package]] name = "itertools" version = "0.11.0" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -1058,7 +1042,7 @@ version = "1.0.3" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "8367585489f01bc55dd27404dcf56b95e6da061a256a666ab23be9ba96a2e587" dependencies = [ - "bitflags", + "bitflags 1.3.2", "libc", ] @@ -1076,9 +1060,9 @@ checksum = "b4668fb0ea861c1df094127ac5f1da3409a82116a4ba74fca2e58ef927159bb3" [[package]] name = "libmimalloc-sys" -version = "0.1.33" +version = "0.1.34" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "f4ac0e912c8ef1b735e92369695618dc5b1819f5a7bf3f167301a3ba1cea515e" +checksum = "25d058a81af0d1c22d7a1c948576bee6d673f7af3c0f35564abd6c81122f513d" dependencies = [ "cc", "libc", @@ -1092,9 +1076,9 @@ checksum = "0717cef1bc8b636c6e1c1bbdefc09e6322da8a9321966e8928ef80d20f7f770f" [[package]] name = "linux-raw-sys" -version = "0.3.0" +version = "0.4.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "cd550e73688e6d578f0ac2119e32b797a327631a42f9433e59d02e139c8df60d" +checksum = "57bcfdad1b858c2db7c38303a6d2ad4dfaf5eb53dfeb0910128b2c26d6158503" [[package]] name = "lock_api" @@ -1184,9 +1168,9 @@ dependencies = [ [[package]] name = "mimalloc" -version = "0.1.37" +version = "0.1.38" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "4e2894987a3459f3ffb755608bd82188f8ed00d0ae077f1edea29c068d639d98" +checksum = "972e5f23f6716f62665760b0f4cbf592576a80c7b879ba9beaafc0e558894127" dependencies = [ "libmimalloc-sys", ] @@ -1221,7 +1205,7 @@ dependencies = [ "libc", "log", "wasi", - "windows-sys 0.48.0", + "windows-sys", ] [[package]] @@ -1248,7 +1232,7 @@ version = "0.26.2" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "bfdda3d196821d6af13126e40375cdf7da646a96114af134d5f417a9a1dc8e1a" dependencies = [ - "bitflags", + "bitflags 1.3.2", "cfg-if", "libc", "memoffset", @@ -1280,20 +1264,21 @@ dependencies = [ [[package]] name = "notify" -version = "6.0.1" +version = "6.1.1" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "5738a2795d57ea20abec2d6d76c6081186709c0024187cd5977265eda6598b51" +checksum = "6205bd8bb1e454ad2e27422015fb5e4f2bcc7e08fa8f27058670d208324a4d2d" dependencies = [ - "bitflags", + "bitflags 2.4.0", "crossbeam-channel", "filetime", "fsevent-sys", "inotify", "kqueue", "libc", + "log", "mio", "walkdir", - "windows-sys 0.45.0", + "windows-sys", ] [[package]] @@ -1312,7 +1297,7 @@ version = "1.13.1" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "19e64526ebdee182341572e50e9ad03965aa510cd94427a4549448f285e957a1" dependencies = [ - "hermit-abi 0.1.19", + "hermit-abi", "libc", ] @@ -1375,7 +1360,7 @@ dependencies = [ "libc", "redox_syscall 0.3.5", "smallvec", - "windows-targets 0.48.0", + "windows-targets", ] [[package]] @@ -1385,26 +1370,6 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "9b2a4787296e9989611394c33f193f676704af1686e70b8f8033ab5ba9a35a94" [[package]] -name = "pin-project" -version = "1.0.12" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "ad29a609b6bcd67fee905812e544992d216af9d755757c05ed2d0e15a74c6ecc" -dependencies = [ - "pin-project-internal", -] - -[[package]] -name = "pin-project-internal" -version = "1.0.12" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "069bdb1e05adc7a8990dce9cc75370895fbe4e3d58b9b73bf1aee56359344a55" -dependencies = [ - "proc-macro2", - "quote", - "syn 1.0.104", -] - -[[package]] name = "pin-project-lite" version = "0.2.11" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -1488,7 +1453,7 @@ version = "0.2.13" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "62f25bc4c7e55e0b0b7a1d43fb893f4fa1361d0abe38b9ce4f323c2adfe6ef42" dependencies = [ - "bitflags", + "bitflags 1.3.2", ] [[package]] @@ -1497,7 +1462,7 @@ version = "0.3.5" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "567664f262709473930a4bf9e51bf2ebf3348f2e748ccc50dea20646858f8f29" dependencies = [ - "bitflags", + "bitflags 1.3.2", ] [[package]] @@ -1526,9 +1491,9 @@ checksum = "a3f87b73ce11b1619a3c6332f45341e0047173771e8b8b73f87bfeefb7b56244" [[package]] name = "reqwest" -version = "0.11.18" +version = "0.11.20" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "cde824a14b7c14f85caff81225f411faacc04a2013f41670f41443742b1c1c55" +checksum = "3e9ad3fe7488d7e34558a2033d45a0c90b72d97b4f80705666fea71472e2e6a1" dependencies = [ "async-compression", "base64 0.21.0", @@ -1564,7 +1529,7 @@ dependencies = [ "wasm-streams", "web-sys", "webpki-roots", - "winreg 0.10.1", + "winreg 0.50.0", ] [[package]] @@ -1606,23 +1571,22 @@ checksum = "08d43f7aa6b08d49f382cde6a7982047c3426db949b1424bc4b7ec9ae12c6ce2" [[package]] name = "rustix" -version = "0.37.4" +version = "0.38.11" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "c348b5dc624ecee40108aa2922fed8bad89d7fcc2b9f8cb18f632898ac4a37f9" +checksum = "c0c3dde1fc030af041adc40e79c0e7fbcf431dd24870053d187d7c66e4b87453" dependencies = [ - "bitflags", + "bitflags 2.4.0", "errno", - "io-lifetimes", "libc", "linux-raw-sys", - "windows-sys 0.45.0", + "windows-sys", ] [[package]] name = "rustls" -version = "0.21.1" +version = "0.21.6" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "c911ba11bc8433e811ce56fde130ccf32f5127cab0e0194e9c68c5a5b671791e" +checksum = "1d1feddffcfcc0b33f5c6ce9a29e341e4cd59c3f78e7ee45f4a40c038b1d6cbb" dependencies = [ "log", "ring", @@ -1641,9 +1605,9 @@ dependencies = [ [[package]] name = "rustls-webpki" -version = "0.100.1" +version = "0.101.3" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "d6207cd5ed3d8dca7816f8f3725513a34609c0c765bf652b8c3cb4cfd87db46b" +checksum = "261e9e0888cba427c3316e6322805653c9425240b6fd96cee7cb671ab70ab8d0" dependencies = [ "ring", "untrusted", @@ -1688,18 +1652,18 @@ dependencies = [ [[package]] name = "serde" -version = "1.0.183" +version = "1.0.188" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "32ac8da02677876d532745a130fc9d8e6edfa81a269b107c5b00829b91d8eb3c" +checksum = "cf9e0fcba69a370eed61bcf2b728575f726b50b55cba78064753d708ddc7549e" dependencies = [ "serde_derive", ] [[package]] name = "serde_derive" -version = "1.0.183" +version = "1.0.188" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "aafe972d60b0b9bee71a91b92fee2d4fb3c9d7e8f6b179aa99f27203d99a4816" +checksum = "4eca7ac642d82aa35b60049a6eccb4be6be75e599bd2e9adb5f875a737654af2" dependencies = [ "proc-macro2", "quote", @@ -1708,9 +1672,9 @@ dependencies = [ [[package]] name = "serde_json" -version = "1.0.104" +version = "1.0.106" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "076066c5f1078eac5b722a31827a8832fe108bed65dfa75e233c89f8206e976c" +checksum = "2cc66a619ed80bf7a0f6b17dd063a84b88f6dea1813737cf469aef1d081142c2" dependencies = [ "indexmap 2.0.0", "itoa", @@ -1799,7 +1763,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "2538b18701741680e0322a2302176d3253a35388e2e62f172f64f4f16605f877" dependencies = [ "libc", - "windows-sys 0.48.0", + "windows-sys", ] [[package]] @@ -1810,9 +1774,9 @@ checksum = "6e63cff320ae2c57904679ba7cb63280a3dc4613885beafb148ee7bf9aa9042d" [[package]] name = "spin" -version = "0.9.4" +version = "0.9.8" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "7f6002a767bff9e83f8eeecf883ecb8011875a21ae8da43bffb817a57e78cc09" +checksum = "6980e8d7511241f8acf4aebddbb1ff938df5eebe98691418c4468d0b72a96a67" dependencies = [ "lock_api", ] @@ -1913,9 +1877,9 @@ checksum = "cda74da7e1a664f795bb1f8a87ec406fb89a02522cf6e50620d016add6dbbf5c" [[package]] name = "tokio" -version = "1.30.0" +version = "1.32.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "2d3ce25f50619af8b0aec2eb23deebe84249e19e2ddd393a6e16e3300a6dadfd" +checksum = "17ed6077ed6cd6c74735e21f37eb16dc3935f96878b1fe961074089cc80893f9" dependencies = [ "backtrace", "bytes", @@ -1927,7 +1891,7 @@ dependencies = [ "signal-hook-registry", "socket2 0.5.3", "tokio-macros", - "windows-sys 0.48.0", + "windows-sys", ] [[package]] @@ -1993,9 +1957,9 @@ dependencies = [ [[package]] name = "toml" -version = "0.7.6" +version = "0.8.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "c17e963a819c331dcacd7ab957d80bc2b9a9c1e71c804826d2f283dd65306542" +checksum = "c226a7bba6d859b63c92c4b4fe69c5b6b72d0cb897dbc8e6012298e6154cb56e" dependencies = [ "serde", "serde_spanned", @@ -2014,9 +1978,9 @@ dependencies = [ [[package]] name = "toml_edit" -version = "0.19.12" +version = "0.20.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "c500344a19072298cd05a7224b3c0c629348b78692bf48466c5238656e315a78" +checksum = "8ff63e60a958cefbb518ae1fd6566af80d9d4be430a33f3723dfc47d1d411d95" dependencies = [ "indexmap 2.0.0", "serde", @@ -2188,9 +2152,9 @@ checksum = "a156c684c91ea7d62626509bce3cb4e1d9ed5c4d978f7b4352658f96a4c26b4a" [[package]] name = "url" -version = "2.4.0" +version = "2.4.1" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "50bff7831e19200a85b17131d085c25d7811bc4e186efdaf54bbd132994a88cb" +checksum = "143b538f18257fac9cad154828a57c6bf5157e1aa604d4816b5995bf6de87ae5" dependencies = [ "form_urlencoded", "idna 0.4.0", @@ -2311,9 +2275,9 @@ checksum = "6a89911bd99e5f3659ec4acf9c4d93b0a90fe4a2a11f15328472058edc5261be" [[package]] name = "wasm-streams" -version = "0.2.3" +version = "0.3.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "6bbae3363c08332cadccd13b67db371814cd214c2524020932f0804b8cf7c078" +checksum = "b4609d447824375f43e1ffbc051b50ad8f4b3ae8219680c94452ea05eb240ac7" dependencies = [ "futures-util", "js-sys", @@ -2333,33 +2297,21 @@ dependencies = [ ] [[package]] -name = "webpki" -version = "0.22.0" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "f095d78192e208183081cc07bc5515ef55216397af48b873e5edcd72637fa1bd" -dependencies = [ - "ring", - "untrusted", -] - -[[package]] name = "webpki-roots" -version = "0.22.4" +version = "0.25.2" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "f1c760f0d366a6c24a02ed7816e23e691f5d92291f94d15e836006fd11b04daf" -dependencies = [ - "webpki", -] +checksum = "14247bb57be4f377dfb94c72830b8ce8fc6beac03cf4bf7b9732eadd414123fc" [[package]] name = "which" -version = "4.4.0" +version = "4.4.2" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "2441c784c52b289a054b7201fc93253e288f094e2f4be9058343127c4226a269" +checksum = "87ba24419a2078cd2b0f2ede2691b6c66d8e47836da3b6db8265ebad47afbfc7" dependencies = [ "either", - "libc", + "home", "once_cell", + "rustix", ] [[package]] @@ -2401,63 +2353,11 @@ checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f" [[package]] name = "windows-sys" -version = "0.36.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "ea04155a16a59f9eab786fe12a4a450e75cdb175f9e0d80da1e17db09f55b8d2" -dependencies = [ - "windows_aarch64_msvc 0.36.1", - "windows_i686_gnu 0.36.1", - "windows_i686_msvc 0.36.1", - "windows_x86_64_gnu 0.36.1", - "windows_x86_64_msvc 0.36.1", -] - -[[package]] -name = "windows-sys" -version = "0.42.0" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "5a3e1820f08b8513f676f7ab6c1f99ff312fb97b553d30ff4dd86f9f15728aa7" -dependencies = [ - "windows_aarch64_gnullvm 0.42.1", - "windows_aarch64_msvc 0.42.1", - "windows_i686_gnu 0.42.1", - "windows_i686_msvc 0.42.1", - "windows_x86_64_gnu 0.42.1", - "windows_x86_64_gnullvm 0.42.1", - "windows_x86_64_msvc 0.42.1", -] - -[[package]] -name = "windows-sys" -version = "0.45.0" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "75283be5efb2831d37ea142365f009c02ec203cd29a3ebecbc093d52315b66d0" -dependencies = [ - "windows-targets 0.42.1", -] - -[[package]] -name = "windows-sys" version = "0.48.0" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9" dependencies = [ - "windows-targets 0.48.0", -] - -[[package]] -name = "windows-targets" -version = "0.42.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "8e2522491fbfcd58cc84d47aeb2958948c4b8982e9a2d8a2a35bbaed431390e7" -dependencies = [ - "windows_aarch64_gnullvm 0.42.1", - "windows_aarch64_msvc 0.42.1", - "windows_i686_gnu 0.42.1", - "windows_i686_msvc 0.42.1", - "windows_x86_64_gnu 0.42.1", - "windows_x86_64_gnullvm 0.42.1", - "windows_x86_64_msvc 0.42.1", + "windows-targets", ] [[package]] @@ -2466,134 +2366,62 @@ version = "0.48.0" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "7b1eb6f0cd7c80c79759c929114ef071b87354ce476d9d94271031c0497adfd5" dependencies = [ - "windows_aarch64_gnullvm 0.48.0", - "windows_aarch64_msvc 0.48.0", - "windows_i686_gnu 0.48.0", - "windows_i686_msvc 0.48.0", - "windows_x86_64_gnu 0.48.0", - "windows_x86_64_gnullvm 0.48.0", - "windows_x86_64_msvc 0.48.0", + "windows_aarch64_gnullvm", + "windows_aarch64_msvc", + "windows_i686_gnu", + "windows_i686_msvc", + "windows_x86_64_gnu", + "windows_x86_64_gnullvm", + "windows_x86_64_msvc", ] [[package]] name = "windows_aarch64_gnullvm" -version = "0.42.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "8c9864e83243fdec7fc9c5444389dcbbfd258f745e7853198f365e3c4968a608" - -[[package]] -name = "windows_aarch64_gnullvm" version = "0.48.0" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "91ae572e1b79dba883e0d315474df7305d12f569b400fcf90581b06062f7e1bc" [[package]] name = "windows_aarch64_msvc" -version = "0.36.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "9bb8c3fd39ade2d67e9874ac4f3db21f0d710bee00fe7cab16949ec184eeaa47" - -[[package]] -name = "windows_aarch64_msvc" -version = "0.42.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "4c8b1b673ffc16c47a9ff48570a9d85e25d265735c503681332589af6253c6c7" - -[[package]] -name = "windows_aarch64_msvc" version = "0.48.0" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "b2ef27e0d7bdfcfc7b868b317c1d32c641a6fe4629c171b8928c7b08d98d7cf3" [[package]] name = "windows_i686_gnu" -version = "0.36.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "180e6ccf01daf4c426b846dfc66db1fc518f074baa793aa7d9b9aaeffad6a3b6" - -[[package]] -name = "windows_i686_gnu" -version = "0.42.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "de3887528ad530ba7bdbb1faa8275ec7a1155a45ffa57c37993960277145d640" - -[[package]] -name = "windows_i686_gnu" version = "0.48.0" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "622a1962a7db830d6fd0a69683c80a18fda201879f0f447f065a3b7467daa241" [[package]] name = "windows_i686_msvc" -version = "0.36.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "e2e7917148b2812d1eeafaeb22a97e4813dfa60a3f8f78ebe204bcc88f12f024" - -[[package]] -name = "windows_i686_msvc" -version = "0.42.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "bf4d1122317eddd6ff351aa852118a2418ad4214e6613a50e0191f7004372605" - -[[package]] -name = "windows_i686_msvc" version = "0.48.0" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "4542c6e364ce21bf45d69fdd2a8e455fa38d316158cfd43b3ac1c5b1b19f8e00" [[package]] name = "windows_x86_64_gnu" -version = "0.36.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "4dcd171b8776c41b97521e5da127a2d86ad280114807d0b2ab1e462bc764d9e1" - -[[package]] -name = "windows_x86_64_gnu" -version = "0.42.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "c1040f221285e17ebccbc2591ffdc2d44ee1f9186324dd3e84e99ac68d699c45" - -[[package]] -name = "windows_x86_64_gnu" version = "0.48.0" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "ca2b8a661f7628cbd23440e50b05d705db3686f894fc9580820623656af974b1" [[package]] name = "windows_x86_64_gnullvm" -version = "0.42.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "628bfdf232daa22b0d64fdb62b09fcc36bb01f05a3939e20ab73aaf9470d0463" - -[[package]] -name = "windows_x86_64_gnullvm" version = "0.48.0" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "7896dbc1f41e08872e9d5e8f8baa8fdd2677f29468c4e156210174edc7f7b953" [[package]] name = "windows_x86_64_msvc" -version = "0.36.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "c811ca4a8c853ef420abd8592ba53ddbbac90410fab6903b3e79972a631f7680" - -[[package]] -name = "windows_x86_64_msvc" -version = "0.42.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "447660ad36a13288b1db4d4248e857b510e8c3a225c822ba4fb748c0aafecffd" - -[[package]] -name = "windows_x86_64_msvc" version = "0.48.0" source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "1a515f5799fe4961cb532f983ce2b23082366b898e52ffbce459c86f67c8378a" [[package]] name = "winnow" -version = "0.4.6" +version = "0.5.15" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "61de7bac303dc551fe038e2b3cef0f571087a47571ea6e79a87692ac99b99699" +checksum = "7c2e3184b9c4e92ad5167ca73039d0c42476302ab603e2fec4487511f38ccefc" dependencies = [ "memchr", ] @@ -2609,11 +2437,12 @@ dependencies = [ [[package]] name = "winreg" -version = "0.10.1" +version = "0.50.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "80d0f4e272c85def139476380b12f9ac60926689dd2e01d4923222f40580869d" +checksum = "524e57b2c537c0f9b1e69f1965311ec12182b4122e45035b1508cd24d2adadb1" dependencies = [ - "winapi", + "cfg-if", + "windows-sys", ] [[package]] diff --git a/nixpkgs/pkgs/development/tools/cotton/default.nix b/nixpkgs/pkgs/development/tools/cotton/default.nix index 026f54b228fb..57b4ef020581 100644 --- a/nixpkgs/pkgs/development/tools/cotton/default.nix +++ b/nixpkgs/pkgs/development/tools/cotton/default.nix @@ -7,13 +7,13 @@ rustPlatform.buildRustPackage rec { pname = "cotton"; - version = "unstable-2023-08-09"; + version = "unstable-2023-09-13"; src = fetchFromGitHub { owner = "danielhuang"; repo = pname; - rev = "04e2dfd123f7af6e78e3ce86b2fc04ca4c754cdc"; - sha256 = "sha256-+HOuQyGkyS7oG0I0DkFGl+6YIDpV4GCCgC+a5Jwo4fw="; + rev = "df9d79a4b0bc4b140e87ddd7795924a93775a864"; + sha256 = "sha256-ZMQaVMH8cuOb4PQ19g0pAFAMwP8bR60+eWFhiXk1bYE="; }; cargoLock = { diff --git a/nixpkgs/pkgs/development/tools/cuelsp/default.nix b/nixpkgs/pkgs/development/tools/cuelsp/default.nix index 60366f3f6fe4..719c0bed271c 100644 --- a/nixpkgs/pkgs/development/tools/cuelsp/default.nix +++ b/nixpkgs/pkgs/development/tools/cuelsp/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-+E49TR2D26HSTwgwO1XFkIwXr5lmvv9l3KtR8dVT/cQ="; }; - vendorSha256 = "sha256-zg4aXPY2InY5VEX1GLJkGhMlfa5EezObAjIuX/bGvlc="; + vendorHash = "sha256-zg4aXPY2InY5VEX1GLJkGhMlfa5EezObAjIuX/bGvlc="; doCheck = false; diff --git a/nixpkgs/pkgs/development/tools/database/sqlfluff/default.nix b/nixpkgs/pkgs/development/tools/database/sqlfluff/default.nix index 14bb9ba345e5..d9ab45120857 100644 --- a/nixpkgs/pkgs/development/tools/database/sqlfluff/default.nix +++ b/nixpkgs/pkgs/development/tools/database/sqlfluff/default.nix @@ -5,14 +5,14 @@ python3.pkgs.buildPythonApplication rec { pname = "sqlfluff"; - version = "2.3.1"; + version = "2.3.2"; format = "setuptools"; src = fetchFromGitHub { owner = pname; repo = pname; rev = "refs/tags/${version}"; - hash = "sha256-olwvg/smXPDqKvSdpp30SEsHYWNQXU9ISbOmJH7MKLo="; + hash = "sha256-buDDu5UQmO1ImWXzqwlFZHYbn2FUjAxs8KbQX+g/mvg="; }; propagatedBuildInputs = with python3.pkgs; [ diff --git a/nixpkgs/pkgs/development/tools/database/timescaledb-parallel-copy/default.nix b/nixpkgs/pkgs/development/tools/database/timescaledb-parallel-copy/default.nix index 5449e646546d..24c4aeb8538a 100644 --- a/nixpkgs/pkgs/development/tools/database/timescaledb-parallel-copy/default.nix +++ b/nixpkgs/pkgs/development/tools/database/timescaledb-parallel-copy/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-HxaGKJnLZjPPJXoccAx0XUsCrZiG09c40zeSbHYXm04="; }; - vendorSha256 = "sha256-muxtr80EjnRoHG/TCEQwrBwlnARsfqWoYlR0HavMe6U="; + vendorHash = "sha256-muxtr80EjnRoHG/TCEQwrBwlnARsfqWoYlR0HavMe6U="; meta = with lib; { description = "Bulk, parallel insert of CSV records into PostgreSQL"; diff --git a/nixpkgs/pkgs/development/tools/database/timescaledb-tune/default.nix b/nixpkgs/pkgs/development/tools/database/timescaledb-tune/default.nix index 020c99380b6a..1fa12861d921 100644 --- a/nixpkgs/pkgs/development/tools/database/timescaledb-tune/default.nix +++ b/nixpkgs/pkgs/development/tools/database/timescaledb-tune/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-MQi8A7eWOShP/VhxuX4Uhz1ueLtKvOi1x4E7aFXEsQo="; }; - vendorSha256 = "sha256-yXWeINubvfZ2S+3gVFsrzeVO3XXIiZ14qfK+9Bj3SV4="; + vendorHash = "sha256-yXWeINubvfZ2S+3gVFsrzeVO3XXIiZ14qfK+9Bj3SV4="; ldflags = [ "-s" "-w" ]; diff --git a/nixpkgs/pkgs/development/tools/dstp/default.nix b/nixpkgs/pkgs/development/tools/dstp/default.nix index 7e3dafc5b228..15093060d628 100644 --- a/nixpkgs/pkgs/development/tools/dstp/default.nix +++ b/nixpkgs/pkgs/development/tools/dstp/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-YvuUgHHa8Egk+bbSI0SH0i3YrKWRbzjAckNG32RBRXw="; }; - vendorSha256 = "sha256-qNH71MPKOC0ld7xxppjZrHSTJ6t8E0LljM1OzT7pM9g="; + vendorHash = "sha256-qNH71MPKOC0ld7xxppjZrHSTJ6t8E0LljM1OzT7pM9g="; # Tests require network connection, but is not allowed by nix doCheck = false; diff --git a/nixpkgs/pkgs/development/tools/dump_syms/default.nix b/nixpkgs/pkgs/development/tools/dump_syms/default.nix index 6929150c8ec5..7cfb93d9b719 100644 --- a/nixpkgs/pkgs/development/tools/dump_syms/default.nix +++ b/nixpkgs/pkgs/development/tools/dump_syms/default.nix @@ -16,7 +16,7 @@ let pname = "dump_syms"; - version = "2.2.1"; + version = "2.2.2"; in rustPlatform.buildRustPackage { inherit pname version; @@ -25,10 +25,10 @@ rustPlatform.buildRustPackage { owner = "mozilla"; repo = pname; rev = "v${version}"; - hash = "sha256-YzGFzmAGHev3gM6+l0sC+nCdyEYZI2G30U1JBrZ2uSU="; + hash = "sha256-8kyicTtY7h1CDM4UGOHsppRRTraU6JLe24MKtoduiz0="; }; - cargoSha256 = "sha256-gWLd1MUpCeVRUgzqkA5USmlYDq8BmmB/EQfiFl9JsjA="; + cargoSha256 = "sha256-5WiGckh/jq7AHH3JWZL8tIsj1Gqr8iLX7IyppKsW96k="; nativeBuildInputs = [ pkg-config diff --git a/nixpkgs/pkgs/development/tools/easyjson/default.nix b/nixpkgs/pkgs/development/tools/easyjson/default.nix index 5fa4b183c241..b724dd213ab0 100644 --- a/nixpkgs/pkgs/development/tools/easyjson/default.nix +++ b/nixpkgs/pkgs/development/tools/easyjson/default.nix @@ -13,7 +13,7 @@ buildGoModule rec { rev = "v${version}"; sha256 = "0clifkvvy8f45rv3cdyv58dglzagyvfcqb63wl6rij30c5j2pzc1"; }; - vendorSha256 = "sha256-L8u7QQPE2SnskcRrSIwQ4KhsX9xncqDWXJ75ytjxLJ4="; + vendorHash = "sha256-L8u7QQPE2SnskcRrSIwQ4KhsX9xncqDWXJ75ytjxLJ4="; subPackages = [ "easyjson" ]; diff --git a/nixpkgs/pkgs/development/tools/ec2-metadata-mock/default.nix b/nixpkgs/pkgs/development/tools/ec2-metadata-mock/default.nix index 7fd87e839be5..968656fa9da2 100644 --- a/nixpkgs/pkgs/development/tools/ec2-metadata-mock/default.nix +++ b/nixpkgs/pkgs/development/tools/ec2-metadata-mock/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-hYyJtkwAzweH8boUY3vrvy6Ug+Ier5f6fvR52R+Di8o="; }; - vendorSha256 = "sha256-T45abGVoiwxAEO60aPH3hUqiH6ON3aRhkrOFcOi+Bm8="; + vendorHash = "sha256-T45abGVoiwxAEO60aPH3hUqiH6ON3aRhkrOFcOi+Bm8="; postInstall = '' mv $out/bin/{cmd,ec2-metadata-mock} diff --git a/nixpkgs/pkgs/development/tools/ejson/default.nix b/nixpkgs/pkgs/development/tools/ejson/default.nix index 55f51a3e798f..5c2efc0ab9a6 100644 --- a/nixpkgs/pkgs/development/tools/ejson/default.nix +++ b/nixpkgs/pkgs/development/tools/ejson/default.nix @@ -18,7 +18,7 @@ buildGoModule rec { sha256 = "sha256-M2Gk+/l1tNlIAe1/fR1WLEOey+tjCUmMAujc76gmeZA="; }; - vendorSha256 = "sha256-9+x7HrbXRoS/7ZADWwhsbynQLr3SyCbcsp9QnSubov0="; + vendorHash = "sha256-9+x7HrbXRoS/7ZADWwhsbynQLr3SyCbcsp9QnSubov0="; nativeBuildInputs = [ gems ]; diff --git a/nixpkgs/pkgs/development/tools/electron/binary/default.nix b/nixpkgs/pkgs/development/tools/electron/binary/default.nix index 29670a44bd9a..6b8a6d5a796b 100644 --- a/nixpkgs/pkgs/development/tools/electron/binary/default.nix +++ b/nixpkgs/pkgs/development/tools/electron/binary/default.nix @@ -26,15 +26,6 @@ rec { electron-bin = electron_26-bin; - electron_9-bin = mkElectron "9.4.4" { - x86_64-linux = "781d6ca834d415c71078e1c2c198faba926d6fce19e31448bbf4450869135450"; - x86_64-darwin = "f41c0bf874ddbba00c3d6989d07f74155a236e2d5a3eaf3d1d19ef8d3eb2256c"; - i686-linux = "40e37f8f908a81c9fac1073fe22309cd6df2d68e685f83274c6d2f0959004187"; - armv7l-linux = "2dfe3e21d30526688cc3d3215d06dfddca597a2cb62ff0c9d0d5f33d3e464a33"; - aarch64-linux = "f1145e9a1feb5f2955e5f5565962423ac3c52ffe45ccc3b96c6ca485fa35bf27"; - headers = "0yx8mkrm15ha977hzh7g2sc5fab9sdvlk1bk3yxignhxrqqbw885"; - }; - electron_10-bin = mkElectron "10.4.7" { x86_64-linux = "e3ea75fcedce588c6b59cfa3a6e46ba67b789e14dc2e5b9dfe1ddf3f82b0f995"; x86_64-darwin = "8f01e020563b7fce68dc2e3d4bbf419320d13b088e89eb64f9645e9d73ad88fb"; @@ -187,12 +178,12 @@ rec { headers = "1v7ap1v520hhghw358k41aahpnaif54qbg6a9dwgmg1di0qwn735"; }; - electron_26-bin = mkElectron "26.1.0" { - armv7l-linux = "4a4a6587bddce4554657f40fd9d39645ede03a375a1c42455c9b8d556698e5f5"; - aarch64-linux = "1ed0996a06e97f5c23ceb8ae767873915c432c0aca5ffd4b37ab5fb1002d9d65"; - x86_64-linux = "de78aed71ce17395675a29dcd20c1370473713eb234143dd0fa3e4c5a39504eb"; - x86_64-darwin = "39a336baca218058011f39c4fa9a4275348ec7f411789262799d23c9669060d9"; - aarch64-darwin = "f39aafcf480ef581161d3dc0b89a91c556dcaed45927ee0b612368016afe7b89"; - headers = "134iqsjg6b80jwywccrhkhlrk6vj12d1nmfqbvlcl0d6cyqw6hys"; + electron_26-bin = mkElectron "26.2.1" { + armv7l-linux = "27469331e1b19f732f67e4b3ae01bba527b2744e31efec1ef76748c45fe7f262"; + aarch64-linux = "fe634b9095120d5b5d2c389ca016c378d1c3ba4f49b33912f9a6d8eb46f76163"; + x86_64-linux = "be4ca43f4dbc82cacb4c48a04f3c4589fd560a80a77dbb9bdf6c81721c0064df"; + x86_64-darwin = "007413187793c94cd248f52d3e00e2d95ed73b7a3b2c5a618f22eba7af94cd1a"; + aarch64-darwin = "4e095994525a0e97e897aad9c1940c8160ce2c9aaf7b6792f31720abc3e04ee6"; + headers = "02z604nzcm8iw29s5lsgjlzwn666h3ikxpdfjg2h0mffm82d0wfk"; }; } diff --git a/nixpkgs/pkgs/development/tools/ent/default.nix b/nixpkgs/pkgs/development/tools/ent/default.nix index 6e45ad539e71..d81ce3dbff99 100644 --- a/nixpkgs/pkgs/development/tools/ent/default.nix +++ b/nixpkgs/pkgs/development/tools/ent/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-ryOpaRQi30NPDNe9rUmW+fEqWSKWEsvHl/Bd1+i88y4="; }; - vendorSha256 = "sha256-67+4r4ByVS0LgfL7eUOdEoQ+CMRzqNjPgkq3dNfNwBY="; + vendorHash = "sha256-67+4r4ByVS0LgfL7eUOdEoQ+CMRzqNjPgkq3dNfNwBY="; subPackages = [ "cmd/ent" ]; diff --git a/nixpkgs/pkgs/development/tools/esbuild/netlify.nix b/nixpkgs/pkgs/development/tools/esbuild/netlify.nix index 685426122924..1ed35d64f027 100644 --- a/nixpkgs/pkgs/development/tools/esbuild/netlify.nix +++ b/nixpkgs/pkgs/development/tools/esbuild/netlify.nix @@ -16,7 +16,7 @@ buildGoModule rec { sha256 = "pYiwGjgFMclPYTW0Qml7Pr/knT1gywUAGANra5aojYM="; }; - vendorSha256 = "sha256-QPkBR+FscUc3jOvH7olcGUhM6OW4vxawmNJuRQxPuGs="; + vendorHash = "sha256-QPkBR+FscUc3jOvH7olcGUhM6OW4vxawmNJuRQxPuGs="; passthru = { tests = { diff --git a/nixpkgs/pkgs/development/tools/faq/default.nix b/nixpkgs/pkgs/development/tools/faq/default.nix index 9ea3372f24e8..6a36ba73570b 100644 --- a/nixpkgs/pkgs/development/tools/faq/default.nix +++ b/nixpkgs/pkgs/development/tools/faq/default.nix @@ -16,7 +16,7 @@ buildGoModule rec { rev = "594bb8e15dc4070300f39c168354784988646231"; sha256 = "1lqrchj4sj16n6y5ljsp8v4xmm57gzkavbddq23dhlgkg2lfyn91"; }; - vendorSha256 = "sha256-731eINkboZiuPXX/HQ4r/8ogLedKBWx1IV7BZRKwU3A"; + vendorHash = "sha256-731eINkboZiuPXX/HQ4r/8ogLedKBWx1IV7BZRKwU3A"; buildInputs = [ jq diff --git a/nixpkgs/pkgs/development/tools/fdroidserver/default.nix b/nixpkgs/pkgs/development/tools/fdroidserver/default.nix index e960e62fca05..da7b0d662b32 100644 --- a/nixpkgs/pkgs/development/tools/fdroidserver/default.nix +++ b/nixpkgs/pkgs/development/tools/fdroidserver/default.nix @@ -1,10 +1,30 @@ { lib , fetchFromGitLab -, python +, fetchPypi , apksigner +, buildPythonApplication +, python3 +, pythonRelaxDepsHook +, androguard +, babel +, clint +, defusedxml +, gitpython +, libcloud +, mwclient +, paramiko +, pillow +, pyasn1 +, pyasn1-modules +, python-vagrant +, pyyaml +, qrcode +, requests +, ruamel-yaml +, yamllint }: -python.pkgs.buildPythonApplication rec { +buildPythonApplication rec { pname = "fdroidserver"; version = "2.2.1"; format = "setuptools"; @@ -27,7 +47,7 @@ python.pkgs.buildPythonApplication rec { ''; preConfigure = '' - ${python.pythonForBuild.interpreter} setup.py compile_catalog + ${python3.pythonForBuild.interpreter} setup.py compile_catalog ''; postInstall = '' @@ -35,15 +55,15 @@ python.pkgs.buildPythonApplication rec { install -m 0755 gradlew-fdroid $out/bin ''; - nativeBuildInputs = with python.pkgs; [ + nativeBuildInputs = [ pythonRelaxDepsHook ]; - buildInputs = with python.pkgs; [ + buildInputs = [ babel ]; - propagatedBuildInputs = with python.pkgs; [ + propagatedBuildInputs = [ androguard clint defusedxml @@ -58,7 +78,13 @@ python.pkgs.buildPythonApplication rec { pyyaml qrcode requests - ruamel-yaml + (ruamel-yaml.overrideAttrs (old: { + src = fetchPypi { + pname = "ruamel.yaml"; + version = "0.17.21"; + hash = "sha256-i3zml6LyEnUqNcGsQURx3BbEJMlXO+SSa1b/P10jt68="; + }; + })) yamllint ]; @@ -81,7 +107,6 @@ python.pkgs.buildPythonApplication rec { changelog = "https://github.com/f-droid/fdroidserver/blob/${version}/CHANGELOG.md"; description = "Server and tools for F-Droid, the Free Software repository system for Android"; license = licenses.agpl3Plus; - maintainers = with maintainers; [ obfusk ]; + maintainers = with maintainers; [ linsui jugendhacker ]; }; - } diff --git a/nixpkgs/pkgs/development/tools/garble/default.nix b/nixpkgs/pkgs/development/tools/garble/default.nix index d42a53beee9c..438d83405988 100644 --- a/nixpkgs/pkgs/development/tools/garble/default.nix +++ b/nixpkgs/pkgs/development/tools/garble/default.nix @@ -15,7 +15,7 @@ buildGoModule rec { sha256 = "sha256-f7coWG1CS4UL8GGqwADx5CvIk2sPONPlWW+JgRhFsb8="; }; - vendorSha256 = "sha256-SOdIlu0QrQokl9j9Ff594+1K6twU1mCuECFQaVKaPV4="; + vendorHash = "sha256-SOdIlu0QrQokl9j9Ff594+1K6twU1mCuECFQaVKaPV4="; # Used for some of the tests. nativeCheckInputs = [git]; diff --git a/nixpkgs/pkgs/development/tools/github/bump/default.nix b/nixpkgs/pkgs/development/tools/github/bump/default.nix index cba623dec790..163b4e95496e 100644 --- a/nixpkgs/pkgs/development/tools/github/bump/default.nix +++ b/nixpkgs/pkgs/development/tools/github/bump/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-tgTG/QlDxX1Ns0WpcNjwr/tvsdtgap7RcxX/JuYcxw8="; }; - vendorSha256 = "sha256-ZeKokW6jMiKrXLfnxwEBF+wLuFQufnPUnA/EnuhvrwI="; + vendorHash = "sha256-ZeKokW6jMiKrXLfnxwEBF+wLuFQufnPUnA/EnuhvrwI="; doCheck = false; diff --git a/nixpkgs/pkgs/development/tools/go-junit-report/default.nix b/nixpkgs/pkgs/development/tools/go-junit-report/default.nix index 66111c2e6990..d024281205ba 100644 --- a/nixpkgs/pkgs/development/tools/go-junit-report/default.nix +++ b/nixpkgs/pkgs/development/tools/go-junit-report/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-Xz2tJtacsd6PqqA0ZT2eRgTACZonhdDtRWfBGcHW3A4="; }; - vendorSha256 = "sha256-+KmC7m6xdkWTT/8MkGaW9gqkzeZ6LWL0DXbt+12iTHY="; + vendorHash = "sha256-+KmC7m6xdkWTT/8MkGaW9gqkzeZ6LWL0DXbt+12iTHY="; ldflags = [ "-s" "-w" ]; diff --git a/nixpkgs/pkgs/development/tools/go-mockery/default.nix b/nixpkgs/pkgs/development/tools/go-mockery/default.nix index 690dd71d4328..e6baa82f1150 100644 --- a/nixpkgs/pkgs/development/tools/go-mockery/default.nix +++ b/nixpkgs/pkgs/development/tools/go-mockery/default.nix @@ -2,13 +2,13 @@ buildGoModule rec { pname = "go-mockery"; - version = "2.33.0"; + version = "2.33.2"; src = fetchFromGitHub { owner = "vektra"; repo = "mockery"; rev = "v${version}"; - sha256 = "sha256-HQBGKWJSjo4ox8YEeQhzM8r+GxuEMsj3SiFAO78j0bA="; + sha256 = "sha256-VhzU/18xLmimhRyEqAEi0+B8uiXJFb+ri/0MuugogzI="; }; preCheck = '' diff --git a/nixpkgs/pkgs/development/tools/go-outline/default.nix b/nixpkgs/pkgs/development/tools/go-outline/default.nix index be07a10d902c..1d23818a96fb 100644 --- a/nixpkgs/pkgs/development/tools/go-outline/default.nix +++ b/nixpkgs/pkgs/development/tools/go-outline/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-5ns6n1UO9kRSw8iio4dmJDncsyvFeN01bjxHxQ9Fae4="; }; - vendorSha256 = "sha256-jYYtSXdJd2eUc80UfwRRMPcX6tFiXE3LbxV3NAdKVKE="; + vendorHash = "sha256-jYYtSXdJd2eUc80UfwRRMPcX6tFiXE3LbxV3NAdKVKE="; meta = with lib; { description = "Utility to extract JSON representation of declarations from a Go source file"; diff --git a/nixpkgs/pkgs/development/tools/goda/default.nix b/nixpkgs/pkgs/development/tools/goda/default.nix index edf37fa51672..19d363e1ef55 100644 --- a/nixpkgs/pkgs/development/tools/goda/default.nix +++ b/nixpkgs/pkgs/development/tools/goda/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-kilFb/2wXdzn/gXy9mBg0PZH8rd+MFIom4AGAZLgnBo="; }; - vendorSha256 = "sha256-FYjlOYB0L4l6gF8hYtJroV1qMQD0ZmKWXBarjyConRs="; + vendorHash = "sha256-FYjlOYB0L4l6gF8hYtJroV1qMQD0ZmKWXBarjyConRs="; passthru.updateScript = nix-update-script { }; diff --git a/nixpkgs/pkgs/development/tools/gofumpt/default.nix b/nixpkgs/pkgs/development/tools/gofumpt/default.nix index 2e30bfefc61a..6c6ca492cbe7 100644 --- a/nixpkgs/pkgs/development/tools/gofumpt/default.nix +++ b/nixpkgs/pkgs/development/tools/gofumpt/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-uXRYVLFDyRZ83mth8Fh+MG9fNv2lUfE3BTljM9v9rjI="; }; - vendorSha256 = "sha256-Il1E1yOejLEdKRRMqelGeJbHRjx4qFymf7N98BEdFzg="; + vendorHash = "sha256-Il1E1yOejLEdKRRMqelGeJbHRjx4qFymf7N98BEdFzg="; meta = with lib; { description = "A stricter gofmt"; diff --git a/nixpkgs/pkgs/development/tools/gokart/default.nix b/nixpkgs/pkgs/development/tools/gokart/default.nix index 6c3dcdc4e0ce..9521fcad96a4 100644 --- a/nixpkgs/pkgs/development/tools/gokart/default.nix +++ b/nixpkgs/pkgs/development/tools/gokart/default.nix @@ -14,7 +14,7 @@ buildGoModule rec { sha256 = "sha256-G1IjlJ/rmviFWy6RFfLtP+bhfYcDuB97leimU39YCoQ="; }; - vendorSha256 = "sha256-lgKYVgJlmUJ/msdIqG7EKAZuISie1lG7+VeCF/rcSlE="; + vendorHash = "sha256-lgKYVgJlmUJ/msdIqG7EKAZuISie1lG7+VeCF/rcSlE="; # Would need files to scan which are not shipped by the project doCheck = false; diff --git a/nixpkgs/pkgs/development/tools/golines/default.nix b/nixpkgs/pkgs/development/tools/golines/default.nix index 30a948f8bbc4..986e68dd634d 100644 --- a/nixpkgs/pkgs/development/tools/golines/default.nix +++ b/nixpkgs/pkgs/development/tools/golines/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-2K9KAg8iSubiTbujyFGN3yggrL+EDyeUCs9OOta/19A="; }; - vendorSha256 = "sha256-rxYuzn4ezAxaeDhxd8qdOzt+CKYIh03A9zKNdzILq18="; + vendorHash = "sha256-rxYuzn4ezAxaeDhxd8qdOzt+CKYIh03A9zKNdzILq18="; meta = with lib; { description = "A golang formatter that fixes long lines"; diff --git a/nixpkgs/pkgs/development/tools/golint/default.nix b/nixpkgs/pkgs/development/tools/golint/default.nix index 4a835067ac81..34876a1e6400 100644 --- a/nixpkgs/pkgs/development/tools/golint/default.nix +++ b/nixpkgs/pkgs/development/tools/golint/default.nix @@ -14,7 +14,7 @@ buildGoModule rec { sha256 = "sha256-g4Z9PREOxGoN7n/XhutawsITBznJlbz6StXeDYvOQ1c="; }; - vendorSha256 = "sha256-dPadFoymYu2Uw2AXZfbaBfxsN8IWMuK1TrcknHco3Bo="; + vendorHash = "sha256-dPadFoymYu2Uw2AXZfbaBfxsN8IWMuK1TrcknHco3Bo="; # tests no longer work: # found packages pkg (4.go) and foo (blank-import-lib.go) in /build/lint-6edffad/testdata diff --git a/nixpkgs/pkgs/development/tools/gomodifytags/default.nix b/nixpkgs/pkgs/development/tools/gomodifytags/default.nix index 7a4070d96d6d..08f95346306e 100644 --- a/nixpkgs/pkgs/development/tools/gomodifytags/default.nix +++ b/nixpkgs/pkgs/development/tools/gomodifytags/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "1yhkn9mdvsn9i5v03c5smz32zlhkylnxhkcbjb7llafxzbhzgfm6"; }; - vendorSha256 = "sha256-8efqJfu+gtoFbhdlDZfb8NsXV9hBDI2pvAQNH18VVhU="; + vendorHash = "sha256-8efqJfu+gtoFbhdlDZfb8NsXV9hBDI2pvAQNH18VVhU="; meta = { description = "Go tool to modify struct field tags"; diff --git a/nixpkgs/pkgs/development/tools/gotest/default.nix b/nixpkgs/pkgs/development/tools/gotest/default.nix index ddca0357b2b2..e618b3e9532d 100644 --- a/nixpkgs/pkgs/development/tools/gotest/default.nix +++ b/nixpkgs/pkgs/development/tools/gotest/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "1v11ccrjghq7nsz0f91r17di14yixsw28vs0m3dwzwqkh1a20img"; }; - vendorSha256 = "sha256-pVq6H1HoKqCMRfJg7FftRf3vh+BWZQe6cQAX+TBzKqw="; + vendorHash = "sha256-pVq6H1HoKqCMRfJg7FftRf3vh+BWZQe6cQAX+TBzKqw="; subPackages = [ "." ]; diff --git a/nixpkgs/pkgs/development/tools/gotests/default.nix b/nixpkgs/pkgs/development/tools/gotests/default.nix index d0d5025b31a4..70d1861e7416 100644 --- a/nixpkgs/pkgs/development/tools/gotests/default.nix +++ b/nixpkgs/pkgs/development/tools/gotests/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-6IzUpAsFUgF2FwiC17OfDn1M+8WYFQPpRyXbkpHIztw="; }; - vendorSha256 = "sha256-WMeHZN3s+8pIYEVaSLjI3Bz+rPTWHr1AkZ8lydjBwCw="; + vendorHash = "sha256-WMeHZN3s+8pIYEVaSLjI3Bz+rPTWHr1AkZ8lydjBwCw="; # tests are broken in nix environment doCheck = false; diff --git a/nixpkgs/pkgs/development/tools/gotools/default.nix b/nixpkgs/pkgs/development/tools/gotools/default.nix index 167775e9eb2e..1a75619081f9 100644 --- a/nixpkgs/pkgs/development/tools/gotools/default.nix +++ b/nixpkgs/pkgs/development/tools/gotools/default.nix @@ -20,7 +20,7 @@ buildGoModule rec { rm -r cmd/getgo ''; - vendorSha256 = "sha256-fp0pb3EcGRDWlSpgel4pYRdsPJGk8/d57EjWJ+fzq7g="; + vendorHash = "sha256-fp0pb3EcGRDWlSpgel4pYRdsPJGk8/d57EjWJ+fzq7g="; doCheck = false; diff --git a/nixpkgs/pkgs/development/tools/gron/default.nix b/nixpkgs/pkgs/development/tools/gron/default.nix index a91723b1fb79..cc8a72190277 100644 --- a/nixpkgs/pkgs/development/tools/gron/default.nix +++ b/nixpkgs/pkgs/development/tools/gron/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-ZkAfAQsaFX7npyDcBDFS4Xa8kOMVH6yGfxGD7c0iQ+o="; }; - vendorSha256 = "sha256-K/QAG9mCIHe7PQhex3TntlGYAK9l0bESWk616N97dBs="; + vendorHash = "sha256-K/QAG9mCIHe7PQhex3TntlGYAK9l0bESWk616N97dBs="; ldflags = [ "-s" "-w" "-X main.gronVersion=${version}" ]; diff --git a/nixpkgs/pkgs/development/tools/hexo-cli/default.nix b/nixpkgs/pkgs/development/tools/hexo-cli/default.nix new file mode 100644 index 000000000000..be5764c9f0c3 --- /dev/null +++ b/nixpkgs/pkgs/development/tools/hexo-cli/default.nix @@ -0,0 +1,34 @@ +{ lib +, buildNpmPackage +, fetchFromGitHub +}: + +buildNpmPackage rec { + pname = "hexo-cli"; + version = "4.3.1"; + + src = fetchFromGitHub { + owner = "hexojs"; + repo = "hexo-cli"; + rev = "v${version}"; + hash = "sha256-mtbg9Fa9LBqG/aNfm4yEo4ymuaxuqhymkO1q6mYA2Fs="; + }; + + npmDepsHash = "sha256-VCHG1YMPRwEBbwgscSv6V+fTNVRpsCxWeyO8co4Zy6k="; + + installPhase = '' + runHook preInstall + + mkdir -p $out + cp -r bin/ dist/ node_modules/ package.json $out/ + + runHook postInstall + ''; + + meta = { + description = "Command line interface for Hexo"; + mainProgram = "hexo"; + homepage = "https://hexo.io/"; + license = lib.licenses.mit; + }; +} diff --git a/nixpkgs/pkgs/development/tools/hotdoc/default.nix b/nixpkgs/pkgs/development/tools/hotdoc/default.nix index 50e2e43a67b3..b649310214fb 100644 --- a/nixpkgs/pkgs/development/tools/hotdoc/default.nix +++ b/nixpkgs/pkgs/development/tools/hotdoc/default.nix @@ -1,6 +1,7 @@ { lib , stdenv , buildPythonApplication +, fetchpatch , fetchPypi , pytestCheckHook , pkg-config @@ -27,13 +28,22 @@ buildPythonApplication rec { pname = "hotdoc"; - version = "0.13.7"; + version = "0.15"; + format = "setuptools"; src = fetchPypi { inherit pname version; - hash = "sha256-ESOmWeLJSXLDKBPsMBGR0zPbJHEqg/fj0G3VjUfPAJg="; + hash = "sha256-sfQ/iBd1Z+YqnaOg8j32rC2iucdiiK3Tff9NfYFnQyc="; }; + patches = [ + (fetchpatch { + name = "fix-test-hotdoc.patch"; + url = "https://github.com/hotdoc/hotdoc/commit/d2415a520e960a7b540742a0695b699be9189540.patch"; + hash = "sha256-9ORZ91c+/oRqEp2EKXjKkz7u8mLnWCq3uPsc3G4NB9E="; + }) + ]; + nativeBuildInputs = [ pkg-config cmake diff --git a/nixpkgs/pkgs/development/tools/hover/default.nix b/nixpkgs/pkgs/development/tools/hover/default.nix index ec302001ff63..dfaa976aa9e0 100644 --- a/nixpkgs/pkgs/development/tools/hover/default.nix +++ b/nixpkgs/pkgs/development/tools/hover/default.nix @@ -46,7 +46,7 @@ let subPackages = [ "." ]; - vendorSha256 = "sha256-GDoX5d2aDfaAx9JsKuS4r8137t3swT6rgcCghmaThSM="; + vendorHash = "sha256-GDoX5d2aDfaAx9JsKuS4r8137t3swT6rgcCghmaThSM="; src = fetchFromGitHub { rev = "v${version}"; diff --git a/nixpkgs/pkgs/development/tools/htmltest/default.nix b/nixpkgs/pkgs/development/tools/htmltest/default.nix index 0630be6148ea..4d9db942cdbc 100644 --- a/nixpkgs/pkgs/development/tools/htmltest/default.nix +++ b/nixpkgs/pkgs/development/tools/htmltest/default.nix @@ -14,7 +14,7 @@ buildGoModule rec { sha256 = "sha256-8tkk476kGEfHo3XGu3/0r6fhX1c4vkYiUACpw0uEu2g="; }; - vendorSha256 = "sha256-dTn5aYb5IHFbksmhkXSTJtI0Gnn8Uz0PMZPFzFKMo38="; + vendorHash = "sha256-dTn5aYb5IHFbksmhkXSTJtI0Gnn8Uz0PMZPFzFKMo38="; ldflags = [ "-w" diff --git a/nixpkgs/pkgs/development/tools/ijq/default.nix b/nixpkgs/pkgs/development/tools/ijq/default.nix index a5b7a4d10cd8..5334a2042600 100644 --- a/nixpkgs/pkgs/development/tools/ijq/default.nix +++ b/nixpkgs/pkgs/development/tools/ijq/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-WTA14W8JFHdouDgWmsc4wMygnwlANPjSYCAhxFVrwAA="; }; - vendorSha256 = "sha256-DX8m5FsqMZnzk1wgJA/ESZl0QeDv3p9huF4h1HY9DIA="; + vendorHash = "sha256-DX8m5FsqMZnzk1wgJA/ESZl0QeDv3p9huF4h1HY9DIA="; nativeBuildInputs = [ installShellFiles makeWrapper scdoc ]; diff --git a/nixpkgs/pkgs/development/tools/ineffassign/default.nix b/nixpkgs/pkgs/development/tools/ineffassign/default.nix index c472d9b0b0fe..cd4f41ad670e 100644 --- a/nixpkgs/pkgs/development/tools/ineffassign/default.nix +++ b/nixpkgs/pkgs/development/tools/ineffassign/default.nix @@ -16,7 +16,7 @@ buildGoModule rec { sha256 = "sha256-XLXANN9TOmrNOixWtlqnIC27u+0TW2P3s9MyeyVUcAQ="; }; - vendorSha256 = "sha256-QTgWicN2m2ughtLsEBMaQWfpDbmbL0nS5qaIKF3mTJM="; + vendorHash = "sha256-QTgWicN2m2ughtLsEBMaQWfpDbmbL0nS5qaIKF3mTJM="; allowGoReference = true; diff --git a/nixpkgs/pkgs/development/tools/jira-cli-go/default.nix b/nixpkgs/pkgs/development/tools/jira-cli-go/default.nix index 1394eef5fd10..cfc37cc015fe 100644 --- a/nixpkgs/pkgs/development/tools/jira-cli-go/default.nix +++ b/nixpkgs/pkgs/development/tools/jira-cli-go/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { hash = "sha256-+8OPXyOTEnX864Lr8IugHh890XtmRtUr1pEN1/QxMz4="; }; - vendorSha256 = "sha256-sG/ZKQRVxBfaMKnLk2+HdmRhojI6BZVob1XDIAYMfY0="; + vendorHash = "sha256-sG/ZKQRVxBfaMKnLk2+HdmRhojI6BZVob1XDIAYMfY0="; ldflags = [ "-s" "-w" diff --git a/nixpkgs/pkgs/development/tools/jmespath/default.nix b/nixpkgs/pkgs/development/tools/jmespath/default.nix index 6224a2def078..5ec6120f01cd 100644 --- a/nixpkgs/pkgs/development/tools/jmespath/default.nix +++ b/nixpkgs/pkgs/development/tools/jmespath/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-djA/7TCmAqCsht28b1itoiWd8Mtdsn/5uLxyT23K/qM="; }; - vendorSha256 = "sha256-Q12muprcKB7fCxemESb4sGPyYIdmgOt3YXVUln7oabw="; + vendorHash = "sha256-Q12muprcKB7fCxemESb4sGPyYIdmgOt3YXVUln7oabw="; excludedPackages = [ "./internal/testify" diff --git a/nixpkgs/pkgs/development/tools/jp/default.nix b/nixpkgs/pkgs/development/tools/jp/default.nix index ba78a4ce6bcc..f606fd19d48f 100644 --- a/nixpkgs/pkgs/development/tools/jp/default.nix +++ b/nixpkgs/pkgs/development/tools/jp/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { hash = "sha256-a3WvLAdUZk+Y+L+opPDMBvdN5x5B6nAi/lL8JHJG/gY="; }; - vendorSha256 = "sha256-K6ZNtART7tcVBH5myV6vKrKWfnwK8yTa6/KK4QLyr00="; + vendorHash = "sha256-K6ZNtART7tcVBH5myV6vKrKWfnwK8yTa6/KK4QLyr00="; meta = with lib; { description = "A command line interface to the JMESPath expression language for JSON"; diff --git a/nixpkgs/pkgs/development/tools/json2hcl/default.nix b/nixpkgs/pkgs/development/tools/json2hcl/default.nix index 1f1b1a5568d4..47ee2cfe4bf1 100644 --- a/nixpkgs/pkgs/development/tools/json2hcl/default.nix +++ b/nixpkgs/pkgs/development/tools/json2hcl/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-0ku8sON4fzWAirqY+dhYAks2LSyC7OH/LKI0kb+QhpM="; }; - vendorSha256 = "sha256-GxYuFak+5CJyHgC1/RsS0ub84bgmgL+bI4YKFTb+vIY="; + vendorHash = "sha256-GxYuFak+5CJyHgC1/RsS0ub84bgmgL+bI4YKFTb+vIY="; ldflags = [ "-s" "-w" ]; diff --git a/nixpkgs/pkgs/development/tools/kube-prompt/default.nix b/nixpkgs/pkgs/development/tools/kube-prompt/default.nix index ad8749b57871..52449342630e 100644 --- a/nixpkgs/pkgs/development/tools/kube-prompt/default.nix +++ b/nixpkgs/pkgs/development/tools/kube-prompt/default.nix @@ -14,7 +14,7 @@ buildGoModule rec { sha256 = "sha256-9OWsITbC7YO51QzsRwDWvojU54DiuGJhkSGwmesEj9w="; }; - vendorSha256 = "sha256-wou5inOX8vadEBCIBccwSRjtzf0GH1abwNdUu4JBvyM="; + vendorHash = "sha256-wou5inOX8vadEBCIBccwSRjtzf0GH1abwNdUu4JBvyM="; meta = with lib; { description = "An interactive kubernetes client featuring auto-complete"; diff --git a/nixpkgs/pkgs/development/tools/kubectx/default.nix b/nixpkgs/pkgs/development/tools/kubectx/default.nix index 7298cfb312eb..628f6771899d 100644 --- a/nixpkgs/pkgs/development/tools/kubectx/default.nix +++ b/nixpkgs/pkgs/development/tools/kubectx/default.nix @@ -15,7 +15,7 @@ buildGoModule rec { ./bump-golang-x-sys.patch ]; - vendorSha256 = "sha256-p4KUBmJw6hWG1J2qwg4QBbh6Vo1cr/HQz0IqytIDJjU="; + vendorHash = "sha256-p4KUBmJw6hWG1J2qwg4QBbh6Vo1cr/HQz0IqytIDJjU="; nativeBuildInputs = [ installShellFiles ]; diff --git a/nixpkgs/pkgs/development/tools/kustomize/3.nix b/nixpkgs/pkgs/development/tools/kustomize/3.nix index c7c3eb229e39..01cb1fd48615 100644 --- a/nixpkgs/pkgs/development/tools/kustomize/3.nix +++ b/nixpkgs/pkgs/development/tools/kustomize/3.nix @@ -24,7 +24,7 @@ buildGoModule rec { # avoid finding test and development commands sourceRoot = "${src.name}/kustomize"; - vendorSha256 = "sha256-xLeetcmzvpILLLMhMx7oahWLxguFjG3qbYpeeWpFUlw="; + vendorHash = "sha256-xLeetcmzvpILLLMhMx7oahWLxguFjG3qbYpeeWpFUlw="; meta = with lib; { description = "Customization of kubernetes YAML configurations"; diff --git a/nixpkgs/pkgs/development/tools/language-servers/buf-language-server/default.nix b/nixpkgs/pkgs/development/tools/language-servers/buf-language-server/default.nix index 88da6eb94482..8cfa35a1639a 100644 --- a/nixpkgs/pkgs/development/tools/language-servers/buf-language-server/default.nix +++ b/nixpkgs/pkgs/development/tools/language-servers/buf-language-server/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-UHsWrWDOC/f3YS2g533CgUkuUmz4MUQRunClQiY/YPQ="; }; - vendorSha256 = "sha256-ORzCOmBx6k1GZj6pYLhqPsdneCc7Tt1yHpI5mw5ruFU="; + vendorHash = "sha256-ORzCOmBx6k1GZj6pYLhqPsdneCc7Tt1yHpI5mw5ruFU="; ldflags = [ "-s" diff --git a/nixpkgs/pkgs/development/tools/language-servers/kotlin-language-server/default.nix b/nixpkgs/pkgs/development/tools/language-servers/kotlin-language-server/default.nix index 7388ab694e01..790718125613 100644 --- a/nixpkgs/pkgs/development/tools/language-servers/kotlin-language-server/default.nix +++ b/nixpkgs/pkgs/development/tools/language-servers/kotlin-language-server/default.nix @@ -2,10 +2,10 @@ stdenv.mkDerivation rec { pname = "kotlin-language-server"; - version = "1.3.3"; + version = "1.3.5"; src = fetchzip { url = "https://github.com/fwcd/kotlin-language-server/releases/download/${version}/server.zip"; - hash = "sha256-m0AgPJ8KgzOxHPB33pgSFe7JQxidPkhDUga56LuaDBA="; + hash = "sha256-hoZDbhedauW1TK78rX37Gwn/6OWLXZzy8wKsUrbTmKI="; }; dontBuild = true; @@ -34,5 +34,6 @@ stdenv.mkDerivation rec { changelog = "https://github.com/fwcd/kotlin-language-server/blob/${version}/CHANGELOG.md"; license = lib.licenses.mit; platforms = lib.platforms.unix; + sourceProvenance = [ lib.sourceTypes.binaryBytecode ]; }; } diff --git a/nixpkgs/pkgs/development/tools/language-servers/millet/Cargo.lock b/nixpkgs/pkgs/development/tools/language-servers/millet/Cargo.lock index d270627c0cde..d9be7414852f 100644 --- a/nixpkgs/pkgs/development/tools/language-servers/millet/Cargo.lock +++ b/nixpkgs/pkgs/development/tools/language-servers/millet/Cargo.lock @@ -28,7 +28,7 @@ dependencies = [ [[package]] name = "analysis" -version = "0.13.1" +version = "0.13.2" dependencies = [ "config", "diagnostic", @@ -118,7 +118,7 @@ checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd" [[package]] name = "chain-map" -version = "0.13.1" +version = "0.13.2" dependencies = [ "fast-hash", "str-util", @@ -131,7 +131,7 @@ source = "git+https://github.com/azdavis/language-util.git#5e9a78d6f82e6129a7847 [[package]] name = "cm-syntax" -version = "0.13.1" +version = "0.13.2" dependencies = [ "lex-util", "paths", @@ -160,7 +160,7 @@ dependencies = [ [[package]] name = "config" -version = "0.13.1" +version = "0.13.2" dependencies = [ "fast-hash", "serde", @@ -188,7 +188,7 @@ checksum = "7704b5fdd17b18ae31c4c1da5a2e0305a2bf17b5249300a9ee9ed7b72114c636" [[package]] name = "cov-mark" -version = "0.13.1" +version = "0.13.2" dependencies = [ "fast-hash", "once_cell", @@ -427,7 +427,7 @@ dependencies = [ [[package]] name = "input" -version = "0.13.1" +version = "0.13.2" dependencies = [ "cm-syntax", "config", @@ -475,7 +475,7 @@ checksum = "3752f229dcc5a481d60f385fa479ff46818033d881d2d801aa27dffcfb5e8306" [[package]] name = "lang-srv" -version = "0.13.1" +version = "0.13.2" dependencies = [ "analysis", "anyhow", @@ -503,7 +503,7 @@ checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646" [[package]] name = "lex-util" -version = "0.13.1" +version = "0.13.2" [[package]] name = "libc" @@ -575,7 +575,7 @@ dependencies = [ [[package]] name = "millet-cli" -version = "0.13.1" +version = "0.13.2" dependencies = [ "analysis", "codespan-reporting", @@ -593,7 +593,7 @@ dependencies = [ [[package]] name = "millet-ls" -version = "0.13.1" +version = "0.13.2" dependencies = [ "anyhow", "env_logger", @@ -613,7 +613,7 @@ dependencies = [ [[package]] name = "mlb-hir" -version = "0.13.1" +version = "0.13.2" dependencies = [ "fast-hash", "paths", @@ -624,7 +624,7 @@ dependencies = [ [[package]] name = "mlb-statics" -version = "0.13.1" +version = "0.13.2" dependencies = [ "config", "diagnostic", @@ -648,7 +648,7 @@ dependencies = [ [[package]] name = "mlb-syntax" -version = "0.13.1" +version = "0.13.2" dependencies = [ "lex-util", "paths", @@ -711,7 +711,7 @@ checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d" [[package]] name = "panic-hook" -version = "0.13.1" +version = "0.13.2" dependencies = [ "better-panic", ] @@ -924,7 +924,7 @@ dependencies = [ [[package]] name = "slash-var-path" -version = "0.13.1" +version = "0.13.2" dependencies = [ "fast-hash", "str-util", @@ -932,14 +932,14 @@ dependencies = [ [[package]] name = "sml-comment" -version = "0.13.1" +version = "0.13.2" dependencies = [ "sml-syntax", ] [[package]] name = "sml-dynamics" -version = "0.13.1" +version = "0.13.2" dependencies = [ "fast-hash", "fmt-util", @@ -950,7 +950,7 @@ dependencies = [ [[package]] name = "sml-dynamics-tests" -version = "0.13.1" +version = "0.13.2" dependencies = [ "config", "pretty_assertions", @@ -966,7 +966,7 @@ dependencies = [ [[package]] name = "sml-file-syntax" -version = "0.13.1" +version = "0.13.2" dependencies = [ "config", "elapsed", @@ -980,7 +980,7 @@ dependencies = [ [[package]] name = "sml-fixity" -version = "0.13.1" +version = "0.13.2" dependencies = [ "fast-hash", "once_cell", @@ -989,7 +989,7 @@ dependencies = [ [[package]] name = "sml-hir" -version = "0.13.1" +version = "0.13.2" dependencies = [ "la-arena", "sml-lab", @@ -1000,7 +1000,7 @@ dependencies = [ [[package]] name = "sml-hir-lower" -version = "0.13.1" +version = "0.13.2" dependencies = [ "config", "cov-mark", @@ -1015,14 +1015,14 @@ dependencies = [ [[package]] name = "sml-lab" -version = "0.13.1" +version = "0.13.2" dependencies = [ "str-util", ] [[package]] name = "sml-lex" -version = "0.13.1" +version = "0.13.2" dependencies = [ "cov-mark", "diagnostic", @@ -1037,7 +1037,7 @@ source = "git+https://github.com/azdavis/sml-libs.git#0d94e3ce13f2a489dff86151f7 [[package]] name = "sml-naive-fmt" -version = "0.13.1" +version = "0.13.2" dependencies = [ "fast-hash", "sml-comment", @@ -1046,11 +1046,11 @@ dependencies = [ [[package]] name = "sml-namespace" -version = "0.13.1" +version = "0.13.2" [[package]] name = "sml-parse" -version = "0.13.1" +version = "0.13.2" dependencies = [ "diagnostic", "event-parse", @@ -1062,14 +1062,14 @@ dependencies = [ [[package]] name = "sml-path" -version = "0.13.1" +version = "0.13.2" dependencies = [ "str-util", ] [[package]] name = "sml-scon" -version = "0.13.1" +version = "0.13.2" dependencies = [ "num-bigint", "num-traits", @@ -1078,7 +1078,7 @@ dependencies = [ [[package]] name = "sml-statics" -version = "0.13.1" +version = "0.13.2" dependencies = [ "chain-map", "config", @@ -1101,7 +1101,7 @@ dependencies = [ [[package]] name = "sml-statics-types" -version = "0.13.1" +version = "0.13.2" dependencies = [ "chain-map", "code-h2-md-map", @@ -1120,7 +1120,7 @@ dependencies = [ [[package]] name = "sml-symbol-kind" -version = "0.13.1" +version = "0.13.2" dependencies = [ "sml-namespace", "sml-statics-types", @@ -1128,7 +1128,7 @@ dependencies = [ [[package]] name = "sml-syntax" -version = "0.13.1" +version = "0.13.2" dependencies = [ "code-h2-md-map", "fast-hash", @@ -1139,7 +1139,7 @@ dependencies = [ [[package]] name = "sml-ty-var-scope" -version = "0.13.1" +version = "0.13.2" dependencies = [ "fast-hash", "sml-hir", @@ -1210,7 +1210,7 @@ dependencies = [ [[package]] name = "tests" -version = "0.13.1" +version = "0.13.2" dependencies = [ "analysis", "cm-syntax", @@ -1554,7 +1554,7 @@ dependencies = [ [[package]] name = "xtask" -version = "0.13.1" +version = "0.13.2" dependencies = [ "anyhow", "flate2", diff --git a/nixpkgs/pkgs/development/tools/language-servers/millet/default.nix b/nixpkgs/pkgs/development/tools/language-servers/millet/default.nix index 081333e89294..c0453143061b 100644 --- a/nixpkgs/pkgs/development/tools/language-servers/millet/default.nix +++ b/nixpkgs/pkgs/development/tools/language-servers/millet/default.nix @@ -2,13 +2,13 @@ rustPlatform.buildRustPackage rec { pname = "millet"; - version = "0.13.1"; + version = "0.13.2"; src = fetchFromGitHub { owner = "azdavis"; repo = pname; rev = "v${version}"; - hash = "sha256-qq4SACB0heCOB8rJha+9Xi/ZZuAbasOieWM9IdZqYUw="; + hash = "sha256-GiuP5Cx4Qx2LH34v6VeGyWgjJgPR8/qLUOZIrh9ES1U="; }; cargoLock = { diff --git a/nixpkgs/pkgs/development/tools/language-servers/nixd/default.nix b/nixpkgs/pkgs/development/tools/language-servers/nixd/default.nix index 648f20bc7c9e..d5afaae1d81e 100644 --- a/nixpkgs/pkgs/development/tools/language-servers/nixd/default.nix +++ b/nixpkgs/pkgs/development/tools/language-servers/nixd/default.nix @@ -84,6 +84,7 @@ stdenv.mkDerivation rec { changelog = "https://github.com/nix-community/nixd/releases/tag/${version}"; license = lib.licenses.lgpl3Plus; maintainers = with lib.maintainers; [ inclyc Ruixi-rebirth marsam ]; + mainProgram = "nixd"; platforms = lib.platforms.unix; }; } diff --git a/nixpkgs/pkgs/development/tools/language-servers/ruff-lsp/default.nix b/nixpkgs/pkgs/development/tools/language-servers/ruff-lsp/default.nix index 23d91d328704..4f4304017c4a 100644 --- a/nixpkgs/pkgs/development/tools/language-servers/ruff-lsp/default.nix +++ b/nixpkgs/pkgs/development/tools/language-servers/ruff-lsp/default.nix @@ -15,7 +15,7 @@ buildPythonPackage rec { pname = "ruff-lsp"; - version = "0.0.38"; + version = "0.0.39"; format = "pyproject"; disabled = pythonOlder "3.7"; @@ -23,7 +23,7 @@ buildPythonPackage rec { owner = "astral-sh"; repo = "ruff-lsp"; rev = "v${version}"; - hash = "sha256-JWobbaXmIcd+c/EikiuUva5aHm3VDdeAQK60Krl6tVE="; + hash = "sha256-hbnSx59uSzXHeAhZPZnCzxl+mCZIdr29uUPfQCsm/Ww="; }; postPatch = '' diff --git a/nixpkgs/pkgs/development/tools/leaps/default.nix b/nixpkgs/pkgs/development/tools/leaps/default.nix index a6e41844508c..a0f99496a438 100644 --- a/nixpkgs/pkgs/development/tools/leaps/default.nix +++ b/nixpkgs/pkgs/development/tools/leaps/default.nix @@ -12,7 +12,7 @@ buildGoModule rec { }; proxyVendor = true; # darwin/linux hash mismatch - vendorSha256 = "sha256-0dwUOoV2bxPB+B6CKxJPImPIDlBMPcm0AwEMrVUkALc="; + vendorHash = "sha256-0dwUOoV2bxPB+B6CKxJPImPIDlBMPcm0AwEMrVUkALc="; ldflags = [ "-s" "-w" "-X main.version=${version}" ]; diff --git a/nixpkgs/pkgs/development/tools/lightningcss/default.nix b/nixpkgs/pkgs/development/tools/lightningcss/default.nix index 56fb8e0a037c..94afda34ba44 100644 --- a/nixpkgs/pkgs/development/tools/lightningcss/default.nix +++ b/nixpkgs/pkgs/development/tools/lightningcss/default.nix @@ -6,16 +6,16 @@ rustPlatform.buildRustPackage rec { pname = "lightningcss"; - version = "1.21.6"; + version = "1.21.8"; src = fetchFromGitHub { owner = "parcel-bundler"; repo = "lightningcss"; rev = "refs/tags/v${version}"; - sha256 = "esLPAKdqYdpB3/ffsV/NAmfjGU9G8y13f/59bWD0yR0="; + sha256 = "Y1eSi8/YX0iI43Zp6dCBYCZAzRnVO5nSXBykWrp9MjM="; }; - cargoHash = "sha256-8k6BhhBQ8X08vW0a8x8v1YNwiXFrzvWxcL47XdaqWJs="; + cargoHash = "sha256-OUfC0HPNsY0lBv2nM56uzFqfV3SZfOAR//VXDu6BJ+M="; buildFeatures = [ "cli" diff --git a/nixpkgs/pkgs/development/tools/maligned/default.nix b/nixpkgs/pkgs/development/tools/maligned/default.nix index 8df4d79b5920..96290040761b 100644 --- a/nixpkgs/pkgs/development/tools/maligned/default.nix +++ b/nixpkgs/pkgs/development/tools/maligned/default.nix @@ -16,7 +16,7 @@ buildGoModule rec { sha256 = "sha256-exljmDNtVhjJkvh0EomcbBXSsmQx4I59MHDfMWSQyKk="; }; - vendorSha256 = "sha256-q/0lxZWk3a7brMsbLvZUSZ8XUHfWfx79qxjir1Vygx4="; + vendorHash = "sha256-q/0lxZWk3a7brMsbLvZUSZ8XUHfWfx79qxjir1Vygx4="; allowGoReference = true; diff --git a/nixpkgs/pkgs/development/tools/misc/aviator/default.nix b/nixpkgs/pkgs/development/tools/misc/aviator/default.nix index baeec7a16ff8..fe7e1bc9cf81 100644 --- a/nixpkgs/pkgs/development/tools/misc/aviator/default.nix +++ b/nixpkgs/pkgs/development/tools/misc/aviator/default.nix @@ -16,7 +16,7 @@ buildGoModule rec { ]; deleteVendor = true; - vendorSha256 = "sha256-AJyxCE4DdAXRS+2sY4Zzu8NTEFKJoV1bopfOqOFKZfI="; + vendorHash = "sha256-AJyxCE4DdAXRS+2sY4Zzu8NTEFKJoV1bopfOqOFKZfI="; meta = with lib; { description = "Merge YAML/JSON files in a in a convenient fashion"; diff --git a/nixpkgs/pkgs/development/tools/misc/blackfire/php-probe.nix b/nixpkgs/pkgs/development/tools/misc/blackfire/php-probe.nix index 9774200e9b3d..b8f1c5d306d1 100644 --- a/nixpkgs/pkgs/development/tools/misc/blackfire/php-probe.nix +++ b/nixpkgs/pkgs/development/tools/misc/blackfire/php-probe.nix @@ -12,42 +12,42 @@ let phpMajor = lib.versions.majorMinor php.version; - version = "1.88.1"; + version = "1.89.0"; hashes = { "x86_64-linux" = { system = "amd64"; hash = { - "8.1" = "sha256-8t/9+USw4cun8kIsCkcFl/672rtgEBy6SgRMEzl47VU="; - "8.2" = "sha256-/sVDxfhJXMQZb1CdRh+qBjCt3gdYci65BN23K9Kfcys="; + "8.1" = "sha256-hRxg33h78MssWo5CuOxN7X0oPxFU6RMkncs751N1lWg="; + "8.2" = "sha256-uAat8nfTnYiLfAzn0CRrYwrtXQgHYjZIaSnGI8CNSzI="; }; }; "i686-linux" = { system = "i386"; hash = { - "8.1" = "sha256-ASZKa40D6dpNyzQhqci0+fEUoduyuyoJbWvY2UjVmxA="; - "8.2" = "sha256-CWSTPXPr0ZCcGnkDNIh8HhDf53gNy663IWLqIRObv28="; + "8.1" = "sha256-DpCfuq4RpI8078Kq8YJYNONpZT2k85jVIjoiFU2Mj64="; + "8.2" = "sha256-IWkxjy2GBaFUeIJULRsrLrskh5CNW2DDTK5FJKGRuFM="; }; }; "aarch64-linux" = { system = "arm64"; hash = { - "8.1" = "sha256-HST8U3DJ1s+ricPQ7Q4bY/eZE+mSnGaJuLKwFpLb0JI="; - "8.2" = "sha256-uPCIlYw9i0MFPbca+GWyzpK4oHOOmTUxR46yEBB48mg="; + "8.1" = "sha256-cTIbsHHJvKIFgXTlH5jog1uoaUVD4ZkPLj78xtEXqVs="; + "8.2" = "sha256-IDtVd1aE4rUSLKJRHfdbSB0DUm7rCziTG0jmsmMxaGc="; }; }; "aarch64-darwin" = { system = "arm64"; hash = { - "8.1" = "sha256-4JJ7m4/ybVzu/yBtfpSwuSIKor7s80Xlt7FH3K8PwTU="; - "8.2" = "sha256-BW6c/qKWeOkJUBYf8TFGz7aYKPUb9kKzyfNGSv1XOhE="; + "8.1" = "sha256-HzLdzqoXkN/D+Dh8RnKiMcV56yaO3IHH5EVbaj4QFpI="; + "8.2" = "sha256-9Agz1s1/576gz7bRPzCPmox09K16KOR1Ah0eozN6itc="; }; }; "x86_64-darwin" = { system = "amd64"; hash = { - "8.1" = "sha256-O6gZwHNIFCXuC2r4yPMuk/wg1LbsMu6aRJmwbRR3B8s="; - "8.2" = "sha256-l4wTdt5fGIbGPqCT3/EiRTNovyGQOV1ZRzPjYvlHQIg="; + "8.1" = "sha256-GB+IVCISDAtnXSHNXfxXa7eQcx+dRMiP3LC0haha6bI="; + "8.2" = "sha256-8EpMJ6kTNw5LDS18zSPUj0r1MsUsAoMPuo4Yn6sWbg8="; }; }; }; diff --git a/nixpkgs/pkgs/development/tools/misc/circleci-cli/default.nix b/nixpkgs/pkgs/development/tools/misc/circleci-cli/default.nix index 6192322c2c34..4aa21d29761e 100644 --- a/nixpkgs/pkgs/development/tools/misc/circleci-cli/default.nix +++ b/nixpkgs/pkgs/development/tools/misc/circleci-cli/default.nix @@ -2,13 +2,13 @@ buildGoModule rec { pname = "circleci-cli"; - version = "0.1.28811"; + version = "0.1.28995"; src = fetchFromGitHub { owner = "CircleCI-Public"; repo = pname; rev = "v${version}"; - sha256 = "sha256-HaBFKjVw6EzhH1oxSeKFmZUDZleFGrxjOegTVCGmrzI="; + sha256 = "sha256-+Gyv3GO6nOueswPAriUm7QkQgEkYEilnBT7hqmiqDW8="; }; vendorHash = "sha256-OWdJ7nFR5hrKQf2H763ezjXkEh0PvtBcjjeSNvH+ca4="; diff --git a/nixpkgs/pkgs/development/tools/misc/jiq/default.nix b/nixpkgs/pkgs/development/tools/misc/jiq/default.nix index 9889ca67c5a2..2a0f0ed822c0 100644 --- a/nixpkgs/pkgs/development/tools/misc/jiq/default.nix +++ b/nixpkgs/pkgs/development/tools/misc/jiq/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-txhttYngN+dofA3Yp3gZUZPRRZWGug9ysXq1Q0RP7ig="; }; - vendorSha256 = "sha256-ZUmOhPGy+24AuxdeRVF0Vnu8zDGFrHoUlYiDdfIV5lc="; + vendorHash = "sha256-ZUmOhPGy+24AuxdeRVF0Vnu8zDGFrHoUlYiDdfIV5lc="; nativeBuildInputs = [ makeWrapper ]; diff --git a/nixpkgs/pkgs/development/tools/misc/k2tf/default.nix b/nixpkgs/pkgs/development/tools/misc/k2tf/default.nix index 9581cc42acff..35eb679281aa 100644 --- a/nixpkgs/pkgs/development/tools/misc/k2tf/default.nix +++ b/nixpkgs/pkgs/development/tools/misc/k2tf/default.nix @@ -20,7 +20,7 @@ buildGoModule rec { }) ]; - vendorSha256 = "sha256-yGuoE1bgwVHk3ym382OC93me9HPlVoNgGo/3JROVC2E="; + vendorHash = "sha256-yGuoE1bgwVHk3ym382OC93me9HPlVoNgGo/3JROVC2E="; ldflags = [ "-s" "-w" "-X main.version=${version}" "-X main.commit=v${version}" ]; diff --git a/nixpkgs/pkgs/development/tools/misc/mkcert/default.nix b/nixpkgs/pkgs/development/tools/misc/mkcert/default.nix index 57a5f4d462ab..0022dd003cd9 100644 --- a/nixpkgs/pkgs/development/tools/misc/mkcert/default.nix +++ b/nixpkgs/pkgs/development/tools/misc/mkcert/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-FMAXjRL+kJ/hwGmaWBy8ecON+JCMgRytfpryeLWsSVc="; }; - vendorSha256 = "sha256-DdA7s+N5S1ivwUgZ+M2W/HCp/7neeoqRQL0umn3m6Do="; + vendorHash = "sha256-DdA7s+N5S1ivwUgZ+M2W/HCp/7neeoqRQL0umn3m6Do="; doCheck = false; diff --git a/nixpkgs/pkgs/development/tools/misc/terraform-ls/default.nix b/nixpkgs/pkgs/development/tools/misc/terraform-ls/default.nix index 25c08426d0de..22f30c28770b 100644 --- a/nixpkgs/pkgs/development/tools/misc/terraform-ls/default.nix +++ b/nixpkgs/pkgs/development/tools/misc/terraform-ls/default.nix @@ -2,16 +2,16 @@ buildGoModule rec { pname = "terraform-ls"; - version = "0.31.4"; + version = "0.31.5"; src = fetchFromGitHub { owner = "hashicorp"; repo = pname; rev = "v${version}"; - hash = "sha256-0IP+83nGODSH/ELKsOA9ylm5fvREtRyiZASMNnwYIL4="; + hash = "sha256-vpPvmWcmA0m2D1M67pcpJwT7oRM1IL56e7LgWWl+YFE="; }; - vendorHash = "sha256-FDKprWyOltFy5WmXME/EfLuYRj92WuhgOV0VdWGanHg="; + vendorHash = "sha256-jrpgMweoA/ZzSDdjc/ZvZcYArg8f6XPZCbznz6yGPfI="; ldflags = [ "-s" "-w" ]; diff --git a/nixpkgs/pkgs/development/tools/misc/terser/default.nix b/nixpkgs/pkgs/development/tools/misc/terser/default.nix new file mode 100644 index 000000000000..8b862bcc2cd3 --- /dev/null +++ b/nixpkgs/pkgs/development/tools/misc/terser/default.nix @@ -0,0 +1,22 @@ +{ buildNpmPackage, fetchFromGitHub, lib }: + +buildNpmPackage rec { + pname = "terser"; + version = "5.19.3"; + + src = fetchFromGitHub { + owner = "terser"; + repo = "terser"; + rev = "v${version}"; + hash = "sha256-ZI5ElHnQwoCJspGL/v0PqddMUAAhQGWDZA9utWZD/nM="; + }; + + npmDepsHash = "sha256-M7LGXoZFBQrXpkiofnam7tgFkk6+N7ckPxTcwAAuqxU="; + + meta = with lib; { + description = "JavaScript parser, mangler and compressor toolkit for ES6+"; + homepage = "https://terser.org"; + license = licenses.bsd2; + maintainers = with maintainers; [ talyz ]; + }; +} diff --git a/nixpkgs/pkgs/development/tools/mockgen/default.nix b/nixpkgs/pkgs/development/tools/mockgen/default.nix index 56615d9b7004..51cd2428c2e9 100644 --- a/nixpkgs/pkgs/development/tools/mockgen/default.nix +++ b/nixpkgs/pkgs/development/tools/mockgen/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-5Kp7oTmd8kqUN+rzm9cLqp9nb3jZdQyltGGQDiRSWcE="; }; - vendorSha256 = "sha256-5gkrn+OxbNN8J1lbgbxM8jACtKA7t07sbfJ7gVJWpJM="; + vendorHash = "sha256-5gkrn+OxbNN8J1lbgbxM8jACtKA7t07sbfJ7gVJWpJM="; subPackages = [ "mockgen" ]; diff --git a/nixpkgs/pkgs/development/tools/modd/default.nix b/nixpkgs/pkgs/development/tools/modd/default.nix index e0984dae74d7..4ad15ecfc80f 100644 --- a/nixpkgs/pkgs/development/tools/modd/default.nix +++ b/nixpkgs/pkgs/development/tools/modd/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-KDZyOnytDLyybHTgU1v/NpiomeHXMIUHiQ+Xpmwyo0w="; }; - vendorSha256 = "sha256-O+hJRMSwV/9NHxbaLjloCWnfPugfRYaXNve098wjbqQ="; + vendorHash = "sha256-O+hJRMSwV/9NHxbaLjloCWnfPugfRYaXNve098wjbqQ="; ldflags = [ "-s" "-w" ]; diff --git a/nixpkgs/pkgs/development/tools/mustache-go/default.nix b/nixpkgs/pkgs/development/tools/mustache-go/default.nix index 46df83a66cdf..be5218cb1d33 100644 --- a/nixpkgs/pkgs/development/tools/mustache-go/default.nix +++ b/nixpkgs/pkgs/development/tools/mustache-go/default.nix @@ -12,7 +12,7 @@ buildGoModule rec { sha256 = "sha256-A7LIkidhpFmhIjiDu9KdmSIdqFNsV3N8J2QEo7yT+DE="; }; - vendorSha256 = "sha256-FYdsLcW6FYxSgixZ5US9cBPABOAVwidC3ejUNbs1lbA="; + vendorHash = "sha256-FYdsLcW6FYxSgixZ5US9cBPABOAVwidC3ejUNbs1lbA="; ldflags = [ "-s" "-w" ]; diff --git a/nixpkgs/pkgs/development/tools/nap/default.nix b/nixpkgs/pkgs/development/tools/nap/default.nix index 3d925b33801c..fdc585fd5e18 100644 --- a/nixpkgs/pkgs/development/tools/nap/default.nix +++ b/nixpkgs/pkgs/development/tools/nap/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "0b3sz8zp1nwcjl02b3lli5yjc7vfay1ig6fs8bgxwz22imfx076p"; }; - vendorSha256 = "sha256-puCqql77kvdWTcwp8z6LExBt/HbNRNe0f+wtM0kLoWM="; + vendorHash = "sha256-puCqql77kvdWTcwp8z6LExBt/HbNRNe0f+wtM0kLoWM="; excludedPackages = ".nap"; diff --git a/nixpkgs/pkgs/development/tools/nc4nix/default.nix b/nixpkgs/pkgs/development/tools/nc4nix/default.nix index acfac70d4bc4..821453314a82 100644 --- a/nixpkgs/pkgs/development/tools/nc4nix/default.nix +++ b/nixpkgs/pkgs/development/tools/nc4nix/default.nix @@ -36,7 +36,7 @@ buildGoModule { }) ]; - vendorSha256 = "sha256-uhINWxFny/OY7M2vV3ehFzP90J6Z8cn5IZHWOuEg91M="; + vendorHash = "sha256-uhINWxFny/OY7M2vV3ehFzP90J6Z8cn5IZHWOuEg91M="; nativeBuildInputs = [ makeWrapper diff --git a/nixpkgs/pkgs/development/tools/nest-cli/default.nix b/nixpkgs/pkgs/development/tools/nest-cli/default.nix index 5fc0c87dc55d..9fdb579b5426 100644 --- a/nixpkgs/pkgs/development/tools/nest-cli/default.nix +++ b/nixpkgs/pkgs/development/tools/nest-cli/default.nix @@ -1,32 +1,42 @@ { buildNpmPackage +, darwin , fetchFromGitHub , lib +, python3 +, stdenv }: buildNpmPackage rec { pname = "nest-cli"; - version = "9.4.2"; + version = "10.1.17"; src = fetchFromGitHub { owner = "nestjs"; repo = pname; rev = version; - hash = "sha256-9I6ez75byOPVKvX93Yv1qSM3JaWlmmvZCTjNB++cmw0="; + hash = "sha256-03GDrKjlvl3O3kJlbbyDYxtlfwLkZbvxC9gvP534zSY="; }; - # Generated a new package-lock.json by running `npm upgrade` - # The upstream lockfile is using an old version of `fsevents`, - # which does not build on Darwin - postPatch = '' - cp ${./package-lock.json} ./package-lock.json - ''; + npmDepsHash = "sha256-nZ9ant2c+15bRBikFcKZW8aiFqI3WC6hktSiBfnma/I="; - npmDepsHash = "sha256-QA2ZgbXiG84HuutJ2ZCGMrnqpwrPlHL/Bur7Pak8WcQ="; + env = { + npm_config_build_from_source = true; + }; + + nativeBuildInputs = [ + python3 + ]; + + buildInputs = lib.optionals stdenv.isDarwin [ + darwin.apple_sdk.frameworks.CoreServices + ]; meta = with lib; { - description = "CLI tool for Nest applications 🍹"; + description = "CLI tool for Nest applications"; homepage = "https://nestjs.com"; license = licenses.mit; + mainProgram = "nest"; maintainers = [ maintainers.ehllie ]; + broken = stdenv.isDarwin; # https://github.com/nestjs/nest-cli/pull/2281 }; } diff --git a/nixpkgs/pkgs/development/tools/nest-cli/package-lock.json b/nixpkgs/pkgs/development/tools/nest-cli/package-lock.json deleted file mode 100644 index 8549f322c936..000000000000 --- a/nixpkgs/pkgs/development/tools/nest-cli/package-lock.json +++ /dev/null @@ -1,15970 +0,0 @@ -{ - "name": "@nestjs/cli", - "version": "9.4.2", - "lockfileVersion": 3, - "requires": true, - "packages": { - "": { - "name": "@nestjs/cli", - "version": "9.4.2", - "license": "MIT", - "dependencies": { - "@angular-devkit/core": "15.2.6", - "@angular-devkit/schematics": "15.2.6", - "@angular-devkit/schematics-cli": "15.2.6", - "@nestjs/schematics": "^9.0.4", - "chalk": "4.1.2", - "chokidar": "3.5.3", - "cli-table3": "0.6.3", - "commander": "4.1.1", - "fork-ts-checker-webpack-plugin": "8.0.0", - "inquirer": "8.2.5", - "node-emoji": "1.11.0", - "ora": "5.4.1", - "os-name": "4.0.1", - "rimraf": "4.4.1", - "shelljs": "0.8.5", - "source-map-support": "0.5.21", - "tree-kill": "1.2.2", - "tsconfig-paths": "4.2.0", - "tsconfig-paths-webpack-plugin": "4.0.1", - "typescript": "4.9.5", - "webpack": "5.80.0", - "webpack-node-externals": "3.0.0" - }, - "bin": { - "nest": "bin/nest.js" - }, - "devDependencies": { - "@commitlint/cli": "17.6.1", - "@commitlint/config-angular": "17.6.1", - "@types/inquirer": "8.2.6", - "@types/jest": "29.5.1", - "@types/node": "18.16.0", - "@types/node-emoji": "1.8.2", - "@types/shelljs": "0.8.12", - "@types/webpack-node-externals": "3.0.0", - "@typescript-eslint/eslint-plugin": "5.59.0", - "@typescript-eslint/parser": "5.59.0", - "delete-empty": "3.0.0", - "eslint": "8.39.0", - "eslint-config-prettier": "8.8.0", - "gulp": "4.0.2", - "gulp-clean": "0.4.0", - "husky": "8.0.3", - "jest": "29.5.0", - "lint-staged": "13.2.1", - "prettier": "2.8.8", - "release-it": "15.10.1", - "ts-jest": "29.1.0", - "ts-loader": "9.4.2" - }, - "engines": { - "node": ">= 12.9.0" - } - }, - "node_modules/@ampproject/remapping": { - "version": "2.2.1", - "resolved": "https://registry.npmjs.org/@ampproject/remapping/-/remapping-2.2.1.tgz", - "integrity": "sha512-lFMjJTrFL3j7L9yBxwYfCq2k6qqwHyzuUl/XBnif78PWTJYyL/dfowQHWE3sp6U6ZzqWiiIZnpTMO96zhkjwtg==", - "dev": true, - "dependencies": { - "@jridgewell/gen-mapping": "^0.3.0", - "@jridgewell/trace-mapping": "^0.3.9" - }, - "engines": { - "node": ">=6.0.0" - } - }, - "node_modules/@angular-devkit/core": { - "version": "15.2.6", - "resolved": "https://registry.npmjs.org/@angular-devkit/core/-/core-15.2.6.tgz", - "integrity": "sha512-YVTWZ+M+xNKdFX4EnY9QX49PZraawiaA0iTd2CUW8ZoTUvU7yOGMKZLSdz6aokTMRVfm0449wt6YL994ibOo1g==", - "dependencies": { - "ajv": "8.12.0", - "ajv-formats": "2.1.1", - "jsonc-parser": "3.2.0", - "rxjs": "6.6.7", - "source-map": "0.7.4" - }, - "engines": { - "node": "^14.20.0 || ^16.13.0 || >=18.10.0", - "npm": "^6.11.0 || ^7.5.6 || >=8.0.0", - "yarn": ">= 1.13.0" - }, - "peerDependencies": { - "chokidar": "^3.5.2" - }, - "peerDependenciesMeta": { - "chokidar": { - "optional": true - } - } - }, - "node_modules/@angular-devkit/schematics": { - "version": "15.2.6", - "resolved": "https://registry.npmjs.org/@angular-devkit/schematics/-/schematics-15.2.6.tgz", - "integrity": "sha512-f7VgnAcok7AwR/DhX0ZWskB0rFBo/KsvtIUA2qZSrpKMf8eFiwu03dv/b2mI0vnf+1FBfIQzJvO0ww45zRp6dA==", - "dependencies": { - "@angular-devkit/core": "15.2.6", - "jsonc-parser": "3.2.0", - "magic-string": "0.29.0", - "ora": "5.4.1", - "rxjs": "6.6.7" - }, - "engines": { - "node": "^14.20.0 || ^16.13.0 || >=18.10.0", - "npm": "^6.11.0 || ^7.5.6 || >=8.0.0", - "yarn": ">= 1.13.0" - } - }, - "node_modules/@angular-devkit/schematics-cli": { - "version": "15.2.6", - "resolved": "https://registry.npmjs.org/@angular-devkit/schematics-cli/-/schematics-cli-15.2.6.tgz", - "integrity": "sha512-dkmJAvLmiXIX3uAY0a7GcnEvKNN/RKR5Q/ez4OQb+jaz+2/XbAiQVmTgZ5uwU2gYkFNLvG9ZCAaQdC4JJp9xaw==", - "dependencies": { - "@angular-devkit/core": "15.2.6", - "@angular-devkit/schematics": "15.2.6", - "ansi-colors": "4.1.3", - "inquirer": "8.2.4", - "symbol-observable": "4.0.0", - "yargs-parser": "21.1.1" - }, - "bin": { - "schematics": "bin/schematics.js" - }, - "engines": { - "node": "^14.20.0 || ^16.13.0 || >=18.10.0", - "npm": "^6.11.0 || ^7.5.6 || >=8.0.0", - "yarn": ">= 1.13.0" - } - }, - "node_modules/@angular-devkit/schematics-cli/node_modules/inquirer": { - "version": "8.2.4", - "resolved": "https://registry.npmjs.org/inquirer/-/inquirer-8.2.4.tgz", - "integrity": "sha512-nn4F01dxU8VeKfq192IjLsxu0/OmMZ4Lg3xKAns148rCaXP6ntAoEkVYZThWjwON8AlzdZZi6oqnhNbxUG9hVg==", - "dependencies": { - "ansi-escapes": "^4.2.1", - "chalk": "^4.1.1", - "cli-cursor": "^3.1.0", - "cli-width": "^3.0.0", - "external-editor": "^3.0.3", - "figures": "^3.0.0", - "lodash": "^4.17.21", - "mute-stream": "0.0.8", - "ora": "^5.4.1", - "run-async": "^2.4.0", - "rxjs": "^7.5.5", - "string-width": "^4.1.0", - "strip-ansi": "^6.0.0", - "through": "^2.3.6", - "wrap-ansi": "^7.0.0" - }, - "engines": { - "node": ">=12.0.0" - } - }, - "node_modules/@angular-devkit/schematics-cli/node_modules/rxjs": { - "version": "7.8.1", - "resolved": "https://registry.npmjs.org/rxjs/-/rxjs-7.8.1.tgz", - "integrity": "sha512-AA3TVj+0A2iuIoQkWEK/tqFjBq2j+6PO6Y0zJcvzLAFhEFIO3HL0vls9hWLncZbAAbK0mar7oZ4V079I/qPMxg==", - "dependencies": { - "tslib": "^2.1.0" - } - }, - "node_modules/@babel/code-frame": { - "version": "7.21.4", - "resolved": "https://registry.npmjs.org/@babel/code-frame/-/code-frame-7.21.4.tgz", - "integrity": "sha512-LYvhNKfwWSPpocw8GI7gpK2nq3HSDuEPC/uSYaALSJu9xjsalaaYFOq0Pwt5KmVqwEbZlDu81aLXwBOmD/Fv9g==", - "dependencies": { - "@babel/highlight": "^7.18.6" - }, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@babel/compat-data": { - "version": "7.21.7", - "resolved": "https://registry.npmjs.org/@babel/compat-data/-/compat-data-7.21.7.tgz", - "integrity": "sha512-KYMqFYTaenzMK4yUtf4EW9wc4N9ef80FsbMtkwool5zpwl4YrT1SdWYSTRcT94KO4hannogdS+LxY7L+arP3gA==", - "dev": true, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@babel/core": { - "version": "7.21.8", - "resolved": "https://registry.npmjs.org/@babel/core/-/core-7.21.8.tgz", - "integrity": "sha512-YeM22Sondbo523Sz0+CirSPnbj9bG3P0CdHcBZdqUuaeOaYEFbOLoGU7lebvGP6P5J/WE9wOn7u7C4J9HvS1xQ==", - "dev": true, - "dependencies": { - "@ampproject/remapping": "^2.2.0", - "@babel/code-frame": "^7.21.4", - "@babel/generator": "^7.21.5", - "@babel/helper-compilation-targets": "^7.21.5", - "@babel/helper-module-transforms": "^7.21.5", - "@babel/helpers": "^7.21.5", - "@babel/parser": "^7.21.8", - "@babel/template": "^7.20.7", - "@babel/traverse": "^7.21.5", - "@babel/types": "^7.21.5", - "convert-source-map": "^1.7.0", - "debug": "^4.1.0", - "gensync": "^1.0.0-beta.2", - "json5": "^2.2.2", - "semver": "^6.3.0" - }, - "engines": { - "node": ">=6.9.0" - }, - "funding": { - "type": "opencollective", - "url": "https://opencollective.com/babel" - } - }, - "node_modules/@babel/core/node_modules/convert-source-map": { - "version": "1.9.0", - "resolved": "https://registry.npmjs.org/convert-source-map/-/convert-source-map-1.9.0.tgz", - "integrity": "sha512-ASFBup0Mz1uyiIjANan1jzLQami9z1PoYSZCiiYW2FczPbenXc45FZdBZLzOT+r6+iciuEModtmCti+hjaAk0A==", - "dev": true - }, - "node_modules/@babel/core/node_modules/semver": { - "version": "6.3.0", - "resolved": "https://registry.npmjs.org/semver/-/semver-6.3.0.tgz", - "integrity": "sha512-b39TBaTSfV6yBrapU89p5fKekE2m/NwnDocOVruQFS1/veMgdzuPcnOM34M6CwxW8jH/lxEa5rBoDeUwu5HHTw==", - "dev": true, - "bin": { - "semver": "bin/semver.js" - } - }, - "node_modules/@babel/generator": { - "version": "7.21.5", - "resolved": "https://registry.npmjs.org/@babel/generator/-/generator-7.21.5.tgz", - "integrity": "sha512-SrKK/sRv8GesIW1bDagf9cCG38IOMYZusoe1dfg0D8aiUe3Amvoj1QtjTPAWcfrZFvIwlleLb0gxzQidL9w14w==", - "dev": true, - "dependencies": { - "@babel/types": "^7.21.5", - "@jridgewell/gen-mapping": "^0.3.2", - "@jridgewell/trace-mapping": "^0.3.17", - "jsesc": "^2.5.1" - }, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@babel/helper-compilation-targets": { - "version": "7.21.5", - "resolved": "https://registry.npmjs.org/@babel/helper-compilation-targets/-/helper-compilation-targets-7.21.5.tgz", - "integrity": "sha512-1RkbFGUKex4lvsB9yhIfWltJM5cZKUftB2eNajaDv3dCMEp49iBG0K14uH8NnX9IPux2+mK7JGEOB0jn48/J6w==", - "dev": true, - "dependencies": { - "@babel/compat-data": "^7.21.5", - "@babel/helper-validator-option": "^7.21.0", - "browserslist": "^4.21.3", - "lru-cache": "^5.1.1", - "semver": "^6.3.0" - }, - "engines": { - "node": ">=6.9.0" - }, - "peerDependencies": { - "@babel/core": "^7.0.0" - } - }, - "node_modules/@babel/helper-compilation-targets/node_modules/semver": { - "version": "6.3.0", - "resolved": "https://registry.npmjs.org/semver/-/semver-6.3.0.tgz", - "integrity": "sha512-b39TBaTSfV6yBrapU89p5fKekE2m/NwnDocOVruQFS1/veMgdzuPcnOM34M6CwxW8jH/lxEa5rBoDeUwu5HHTw==", - "dev": true, - "bin": { - "semver": "bin/semver.js" - } - }, - "node_modules/@babel/helper-environment-visitor": { - "version": "7.21.5", - "resolved": "https://registry.npmjs.org/@babel/helper-environment-visitor/-/helper-environment-visitor-7.21.5.tgz", - "integrity": "sha512-IYl4gZ3ETsWocUWgsFZLM5i1BYx9SoemminVEXadgLBa9TdeorzgLKm8wWLA6J1N/kT3Kch8XIk1laNzYoHKvQ==", - "dev": true, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@babel/helper-function-name": { - "version": "7.21.0", - "resolved": "https://registry.npmjs.org/@babel/helper-function-name/-/helper-function-name-7.21.0.tgz", - "integrity": "sha512-HfK1aMRanKHpxemaY2gqBmL04iAPOPRj7DxtNbiDOrJK+gdwkiNRVpCpUJYbUT+aZyemKN8brqTOxzCaG6ExRg==", - "dev": true, - "dependencies": { - "@babel/template": "^7.20.7", - "@babel/types": "^7.21.0" - }, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@babel/helper-hoist-variables": { - "version": "7.18.6", - "resolved": "https://registry.npmjs.org/@babel/helper-hoist-variables/-/helper-hoist-variables-7.18.6.tgz", - "integrity": "sha512-UlJQPkFqFULIcyW5sbzgbkxn2FKRgwWiRexcuaR8RNJRy8+LLveqPjwZV/bwrLZCN0eUHD/x8D0heK1ozuoo6Q==", - "dev": true, - "dependencies": { - "@babel/types": "^7.18.6" - }, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@babel/helper-module-imports": { - "version": "7.21.4", - "resolved": "https://registry.npmjs.org/@babel/helper-module-imports/-/helper-module-imports-7.21.4.tgz", - "integrity": "sha512-orajc5T2PsRYUN3ZryCEFeMDYwyw09c/pZeaQEZPH0MpKzSvn3e0uXsDBu3k03VI+9DBiRo+l22BfKTpKwa/Wg==", - "dev": true, - "dependencies": { - "@babel/types": "^7.21.4" - }, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@babel/helper-module-transforms": { - "version": "7.21.5", - "resolved": "https://registry.npmjs.org/@babel/helper-module-transforms/-/helper-module-transforms-7.21.5.tgz", - "integrity": "sha512-bI2Z9zBGY2q5yMHoBvJ2a9iX3ZOAzJPm7Q8Yz6YeoUjU/Cvhmi2G4QyTNyPBqqXSgTjUxRg3L0xV45HvkNWWBw==", - "dev": true, - "dependencies": { - "@babel/helper-environment-visitor": "^7.21.5", - "@babel/helper-module-imports": "^7.21.4", - "@babel/helper-simple-access": "^7.21.5", - "@babel/helper-split-export-declaration": "^7.18.6", - "@babel/helper-validator-identifier": "^7.19.1", - "@babel/template": "^7.20.7", - "@babel/traverse": "^7.21.5", - "@babel/types": "^7.21.5" - }, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@babel/helper-plugin-utils": { - "version": "7.21.5", - "resolved": "https://registry.npmjs.org/@babel/helper-plugin-utils/-/helper-plugin-utils-7.21.5.tgz", - "integrity": "sha512-0WDaIlXKOX/3KfBK/dwP1oQGiPh6rjMkT7HIRv7i5RR2VUMwrx5ZL0dwBkKx7+SW1zwNdgjHd34IMk5ZjTeHVg==", - "dev": true, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@babel/helper-simple-access": { - "version": "7.21.5", - "resolved": "https://registry.npmjs.org/@babel/helper-simple-access/-/helper-simple-access-7.21.5.tgz", - "integrity": "sha512-ENPDAMC1wAjR0uaCUwliBdiSl1KBJAVnMTzXqi64c2MG8MPR6ii4qf7bSXDqSFbr4W6W028/rf5ivoHop5/mkg==", - "dev": true, - "dependencies": { - "@babel/types": "^7.21.5" - }, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@babel/helper-split-export-declaration": { - "version": "7.18.6", - "resolved": "https://registry.npmjs.org/@babel/helper-split-export-declaration/-/helper-split-export-declaration-7.18.6.tgz", - "integrity": "sha512-bde1etTx6ZyTmobl9LLMMQsaizFVZrquTEHOqKeQESMKo4PlObf+8+JA25ZsIpZhT/WEd39+vOdLXAFG/nELpA==", - "dev": true, - "dependencies": { - "@babel/types": "^7.18.6" - }, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@babel/helper-string-parser": { - "version": "7.21.5", - "resolved": "https://registry.npmjs.org/@babel/helper-string-parser/-/helper-string-parser-7.21.5.tgz", - "integrity": "sha512-5pTUx3hAJaZIdW99sJ6ZUUgWq/Y+Hja7TowEnLNMm1VivRgZQL3vpBY3qUACVsvw+yQU6+YgfBVmcbLaZtrA1w==", - "dev": true, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@babel/helper-validator-identifier": { - "version": "7.19.1", - "resolved": "https://registry.npmjs.org/@babel/helper-validator-identifier/-/helper-validator-identifier-7.19.1.tgz", - "integrity": "sha512-awrNfaMtnHUr653GgGEs++LlAvW6w+DcPrOliSMXWCKo597CwL5Acf/wWdNkf/tfEQE3mjkeD1YOVZOUV/od1w==", - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@babel/helper-validator-option": { - "version": "7.21.0", - "resolved": "https://registry.npmjs.org/@babel/helper-validator-option/-/helper-validator-option-7.21.0.tgz", - "integrity": "sha512-rmL/B8/f0mKS2baE9ZpyTcTavvEuWhTTW8amjzXNvYG4AwBsqTLikfXsEofsJEfKHf+HQVQbFOHy6o+4cnC/fQ==", - "dev": true, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@babel/helpers": { - "version": "7.21.5", - "resolved": "https://registry.npmjs.org/@babel/helpers/-/helpers-7.21.5.tgz", - "integrity": "sha512-BSY+JSlHxOmGsPTydUkPf1MdMQ3M81x5xGCOVgWM3G8XH77sJ292Y2oqcp0CbbgxhqBuI46iUz1tT7hqP7EfgA==", - "dev": true, - "dependencies": { - "@babel/template": "^7.20.7", - "@babel/traverse": "^7.21.5", - "@babel/types": "^7.21.5" - }, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@babel/highlight": { - "version": "7.18.6", - "resolved": "https://registry.npmjs.org/@babel/highlight/-/highlight-7.18.6.tgz", - "integrity": "sha512-u7stbOuYjaPezCuLj29hNW1v64M2Md2qupEKP1fHc7WdOA3DgLh37suiSrZYY7haUB7iBeQZ9P1uiRF359do3g==", - "dependencies": { - "@babel/helper-validator-identifier": "^7.18.6", - "chalk": "^2.0.0", - "js-tokens": "^4.0.0" - }, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@babel/highlight/node_modules/ansi-styles": { - "version": "3.2.1", - "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-3.2.1.tgz", - "integrity": "sha512-VT0ZI6kZRdTh8YyJw3SMbYm/u+NqfsAxEpWO0Pf9sq8/e94WxxOpPKx9FR1FlyCtOVDNOQ+8ntlqFxiRc+r5qA==", - "dependencies": { - "color-convert": "^1.9.0" - }, - "engines": { - "node": ">=4" - } - }, - "node_modules/@babel/highlight/node_modules/chalk": { - "version": "2.4.2", - "resolved": "https://registry.npmjs.org/chalk/-/chalk-2.4.2.tgz", - "integrity": "sha512-Mti+f9lpJNcwF4tWV8/OrTTtF1gZi+f8FqlyAdouralcFWFQWF2+NgCHShjkCb+IFBLq9buZwE1xckQU4peSuQ==", - "dependencies": { - "ansi-styles": "^3.2.1", - "escape-string-regexp": "^1.0.5", - "supports-color": "^5.3.0" - }, - "engines": { - "node": ">=4" - } - }, - "node_modules/@babel/highlight/node_modules/color-convert": { - "version": "1.9.3", - "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-1.9.3.tgz", - "integrity": "sha512-QfAUtd+vFdAtFQcC8CCyYt1fYWxSqAiK2cSD6zDB8N3cpsEBAvRxp9zOGg6G/SHHJYAT88/az/IuDGALsNVbGg==", - "dependencies": { - "color-name": "1.1.3" - } - }, - "node_modules/@babel/highlight/node_modules/color-name": { - "version": "1.1.3", - "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.3.tgz", - "integrity": "sha512-72fSenhMw2HZMTVHeCA9KCmpEIbzWiQsjN+BHcBbS9vr1mtt+vJjPdksIBNUmKAW8TFUDPJK5SUU3QhE9NEXDw==" - }, - "node_modules/@babel/highlight/node_modules/escape-string-regexp": { - "version": "1.0.5", - "resolved": "https://registry.npmjs.org/escape-string-regexp/-/escape-string-regexp-1.0.5.tgz", - "integrity": "sha512-vbRorB5FUQWvla16U8R/qgaFIya2qGzwDrNmCZuYKrbdSUMG6I1ZCGQRefkRVhuOkIGVne7BQ35DSfo1qvJqFg==", - "engines": { - "node": ">=0.8.0" - } - }, - "node_modules/@babel/highlight/node_modules/has-flag": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-3.0.0.tgz", - "integrity": "sha512-sKJf1+ceQBr4SMkvQnBDNDtf4TXpVhVGateu0t918bl30FnbE2m4vNLX+VWe/dpjlb+HugGYzW7uQXH98HPEYw==", - "engines": { - "node": ">=4" - } - }, - "node_modules/@babel/highlight/node_modules/supports-color": { - "version": "5.5.0", - "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-5.5.0.tgz", - "integrity": "sha512-QjVjwdXIt408MIiAqCX4oUKsgU2EqAGzs2Ppkm4aQYbjm+ZEWEcW4SfFNTr4uMNZma0ey4f5lgLrkB0aX0QMow==", - "dependencies": { - "has-flag": "^3.0.0" - }, - "engines": { - "node": ">=4" - } - }, - "node_modules/@babel/parser": { - "version": "7.21.8", - "resolved": "https://registry.npmjs.org/@babel/parser/-/parser-7.21.8.tgz", - "integrity": "sha512-6zavDGdzG3gUqAdWvlLFfk+36RilI+Pwyuuh7HItyeScCWP3k6i8vKclAQ0bM/0y/Kz/xiwvxhMv9MgTJP5gmA==", - "dev": true, - "bin": { - "parser": "bin/babel-parser.js" - }, - "engines": { - "node": ">=6.0.0" - } - }, - "node_modules/@babel/plugin-syntax-async-generators": { - "version": "7.8.4", - "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-async-generators/-/plugin-syntax-async-generators-7.8.4.tgz", - "integrity": "sha512-tycmZxkGfZaxhMRbXlPXuVFpdWlXpir2W4AMhSJgRKzk/eDlIXOhb2LHWoLpDF7TEHylV5zNhykX6KAgHJmTNw==", - "dev": true, - "dependencies": { - "@babel/helper-plugin-utils": "^7.8.0" - }, - "peerDependencies": { - "@babel/core": "^7.0.0-0" - } - }, - "node_modules/@babel/plugin-syntax-bigint": { - "version": "7.8.3", - "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-bigint/-/plugin-syntax-bigint-7.8.3.tgz", - "integrity": "sha512-wnTnFlG+YxQm3vDxpGE57Pj0srRU4sHE/mDkt1qv2YJJSeUAec2ma4WLUnUPeKjyrfntVwe/N6dCXpU+zL3Npg==", - "dev": true, - "dependencies": { - "@babel/helper-plugin-utils": "^7.8.0" - }, - "peerDependencies": { - "@babel/core": "^7.0.0-0" - } - }, - "node_modules/@babel/plugin-syntax-class-properties": { - "version": "7.12.13", - "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-class-properties/-/plugin-syntax-class-properties-7.12.13.tgz", - "integrity": "sha512-fm4idjKla0YahUNgFNLCB0qySdsoPiZP3iQE3rky0mBUtMZ23yDJ9SJdg6dXTSDnulOVqiF3Hgr9nbXvXTQZYA==", - "dev": true, - "dependencies": { - "@babel/helper-plugin-utils": "^7.12.13" - }, - "peerDependencies": { - "@babel/core": "^7.0.0-0" - } - }, - "node_modules/@babel/plugin-syntax-import-meta": { - "version": "7.10.4", - "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-import-meta/-/plugin-syntax-import-meta-7.10.4.tgz", - "integrity": "sha512-Yqfm+XDx0+Prh3VSeEQCPU81yC+JWZ2pDPFSS4ZdpfZhp4MkFMaDC1UqseovEKwSUpnIL7+vK+Clp7bfh0iD7g==", - "dev": true, - "dependencies": { - "@babel/helper-plugin-utils": "^7.10.4" - }, - "peerDependencies": { - "@babel/core": "^7.0.0-0" - } - }, - "node_modules/@babel/plugin-syntax-json-strings": { - "version": "7.8.3", - "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-json-strings/-/plugin-syntax-json-strings-7.8.3.tgz", - "integrity": "sha512-lY6kdGpWHvjoe2vk4WrAapEuBR69EMxZl+RoGRhrFGNYVK8mOPAW8VfbT/ZgrFbXlDNiiaxQnAtgVCZ6jv30EA==", - "dev": true, - "dependencies": { - "@babel/helper-plugin-utils": "^7.8.0" - }, - "peerDependencies": { - "@babel/core": "^7.0.0-0" - } - }, - "node_modules/@babel/plugin-syntax-jsx": { - "version": "7.21.4", - "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-jsx/-/plugin-syntax-jsx-7.21.4.tgz", - "integrity": "sha512-5hewiLct5OKyh6PLKEYaFclcqtIgCb6bmELouxjF6up5q3Sov7rOayW4RwhbaBL0dit8rA80GNfY+UuDp2mBbQ==", - "dev": true, - "dependencies": { - "@babel/helper-plugin-utils": "^7.20.2" - }, - "engines": { - "node": ">=6.9.0" - }, - "peerDependencies": { - "@babel/core": "^7.0.0-0" - } - }, - "node_modules/@babel/plugin-syntax-logical-assignment-operators": { - "version": "7.10.4", - "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-logical-assignment-operators/-/plugin-syntax-logical-assignment-operators-7.10.4.tgz", - "integrity": "sha512-d8waShlpFDinQ5MtvGU9xDAOzKH47+FFoney2baFIoMr952hKOLp1HR7VszoZvOsV/4+RRszNY7D17ba0te0ig==", - "dev": true, - "dependencies": { - "@babel/helper-plugin-utils": "^7.10.4" - }, - "peerDependencies": { - "@babel/core": "^7.0.0-0" - } - }, - "node_modules/@babel/plugin-syntax-nullish-coalescing-operator": { - "version": "7.8.3", - "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-nullish-coalescing-operator/-/plugin-syntax-nullish-coalescing-operator-7.8.3.tgz", - "integrity": "sha512-aSff4zPII1u2QD7y+F8oDsz19ew4IGEJg9SVW+bqwpwtfFleiQDMdzA/R+UlWDzfnHFCxxleFT0PMIrR36XLNQ==", - "dev": true, - "dependencies": { - "@babel/helper-plugin-utils": "^7.8.0" - }, - "peerDependencies": { - "@babel/core": "^7.0.0-0" - } - }, - "node_modules/@babel/plugin-syntax-numeric-separator": { - "version": "7.10.4", - "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-numeric-separator/-/plugin-syntax-numeric-separator-7.10.4.tgz", - "integrity": "sha512-9H6YdfkcK/uOnY/K7/aA2xpzaAgkQn37yzWUMRK7OaPOqOpGS1+n0H5hxT9AUw9EsSjPW8SVyMJwYRtWs3X3ug==", - "dev": true, - "dependencies": { - "@babel/helper-plugin-utils": "^7.10.4" - }, - "peerDependencies": { - "@babel/core": "^7.0.0-0" - } - }, - "node_modules/@babel/plugin-syntax-object-rest-spread": { - "version": "7.8.3", - "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-object-rest-spread/-/plugin-syntax-object-rest-spread-7.8.3.tgz", - "integrity": "sha512-XoqMijGZb9y3y2XskN+P1wUGiVwWZ5JmoDRwx5+3GmEplNyVM2s2Dg8ILFQm8rWM48orGy5YpI5Bl8U1y7ydlA==", - "dev": true, - "dependencies": { - "@babel/helper-plugin-utils": "^7.8.0" - }, - "peerDependencies": { - "@babel/core": "^7.0.0-0" - } - }, - "node_modules/@babel/plugin-syntax-optional-catch-binding": { - "version": "7.8.3", - "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-optional-catch-binding/-/plugin-syntax-optional-catch-binding-7.8.3.tgz", - "integrity": "sha512-6VPD0Pc1lpTqw0aKoeRTMiB+kWhAoT24PA+ksWSBrFtl5SIRVpZlwN3NNPQjehA2E/91FV3RjLWoVTglWcSV3Q==", - "dev": true, - "dependencies": { - "@babel/helper-plugin-utils": "^7.8.0" - }, - "peerDependencies": { - "@babel/core": "^7.0.0-0" - } - }, - "node_modules/@babel/plugin-syntax-optional-chaining": { - "version": "7.8.3", - "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-optional-chaining/-/plugin-syntax-optional-chaining-7.8.3.tgz", - "integrity": "sha512-KoK9ErH1MBlCPxV0VANkXW2/dw4vlbGDrFgz8bmUsBGYkFRcbRwMh6cIJubdPrkxRwuGdtCk0v/wPTKbQgBjkg==", - "dev": true, - "dependencies": { - "@babel/helper-plugin-utils": "^7.8.0" - }, - "peerDependencies": { - "@babel/core": "^7.0.0-0" - } - }, - "node_modules/@babel/plugin-syntax-top-level-await": { - "version": "7.14.5", - "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-top-level-await/-/plugin-syntax-top-level-await-7.14.5.tgz", - "integrity": "sha512-hx++upLv5U1rgYfwe1xBQUhRmU41NEvpUvrp8jkrSCdvGSnM5/qdRMtylJ6PG5OFkBaHkbTAKTnd3/YyESRHFw==", - "dev": true, - "dependencies": { - "@babel/helper-plugin-utils": "^7.14.5" - }, - "engines": { - "node": ">=6.9.0" - }, - "peerDependencies": { - "@babel/core": "^7.0.0-0" - } - }, - "node_modules/@babel/plugin-syntax-typescript": { - "version": "7.21.4", - "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-typescript/-/plugin-syntax-typescript-7.21.4.tgz", - "integrity": "sha512-xz0D39NvhQn4t4RNsHmDnnsaQizIlUkdtYvLs8La1BlfjQ6JEwxkJGeqJMW2tAXx+q6H+WFuUTXNdYVpEya0YA==", - "dev": true, - "dependencies": { - "@babel/helper-plugin-utils": "^7.20.2" - }, - "engines": { - "node": ">=6.9.0" - }, - "peerDependencies": { - "@babel/core": "^7.0.0-0" - } - }, - "node_modules/@babel/template": { - "version": "7.20.7", - "resolved": "https://registry.npmjs.org/@babel/template/-/template-7.20.7.tgz", - "integrity": "sha512-8SegXApWe6VoNw0r9JHpSteLKTpTiLZ4rMlGIm9JQ18KiCtyQiAMEazujAHrUS5flrcqYZa75ukev3P6QmUwUw==", - "dev": true, - "dependencies": { - "@babel/code-frame": "^7.18.6", - "@babel/parser": "^7.20.7", - "@babel/types": "^7.20.7" - }, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@babel/traverse": { - "version": "7.21.5", - "resolved": "https://registry.npmjs.org/@babel/traverse/-/traverse-7.21.5.tgz", - "integrity": "sha512-AhQoI3YjWi6u/y/ntv7k48mcrCXmus0t79J9qPNlk/lAsFlCiJ047RmbfMOawySTHtywXhbXgpx/8nXMYd+oFw==", - "dev": true, - "dependencies": { - "@babel/code-frame": "^7.21.4", - "@babel/generator": "^7.21.5", - "@babel/helper-environment-visitor": "^7.21.5", - "@babel/helper-function-name": "^7.21.0", - "@babel/helper-hoist-variables": "^7.18.6", - "@babel/helper-split-export-declaration": "^7.18.6", - "@babel/parser": "^7.21.5", - "@babel/types": "^7.21.5", - "debug": "^4.1.0", - "globals": "^11.1.0" - }, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@babel/traverse/node_modules/globals": { - "version": "11.12.0", - "resolved": "https://registry.npmjs.org/globals/-/globals-11.12.0.tgz", - "integrity": "sha512-WOBp/EEGUiIsJSp7wcv/y6MO+lV9UoncWqxuFfm8eBwzWNgyfBd6Gz+IeKQ9jCmyhoH99g15M3T+QaVHFjizVA==", - "dev": true, - "engines": { - "node": ">=4" - } - }, - "node_modules/@babel/types": { - "version": "7.21.5", - "resolved": "https://registry.npmjs.org/@babel/types/-/types-7.21.5.tgz", - "integrity": "sha512-m4AfNvVF2mVC/F7fDEdH2El3HzUg9It/XsCxZiOTTA3m3qYfcSVSbTfM6Q9xG+hYDniZssYhlXKKUMD5m8tF4Q==", - "dev": true, - "dependencies": { - "@babel/helper-string-parser": "^7.21.5", - "@babel/helper-validator-identifier": "^7.19.1", - "to-fast-properties": "^2.0.0" - }, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/@bcoe/v8-coverage": { - "version": "0.2.3", - "resolved": "https://registry.npmjs.org/@bcoe/v8-coverage/-/v8-coverage-0.2.3.tgz", - "integrity": "sha512-0hYQ8SB4Db5zvZB4axdMHGwEaQjkZzFjQiN9LVYvIFB2nSUHW9tYpxWriPrWDASIxiaXax83REcLxuSdnGPZtw==", - "dev": true - }, - "node_modules/@colors/colors": { - "version": "1.5.0", - "resolved": "https://registry.npmjs.org/@colors/colors/-/colors-1.5.0.tgz", - "integrity": "sha512-ooWCrlZP11i8GImSjTHYHLkvFDP48nS4+204nGb1RiX/WXYHmJA2III9/e2DWVabCESdW7hBAEzHRqUn9OUVvQ==", - "optional": true, - "engines": { - "node": ">=0.1.90" - } - }, - "node_modules/@commitlint/cli": { - "version": "17.6.1", - "resolved": "https://registry.npmjs.org/@commitlint/cli/-/cli-17.6.1.tgz", - "integrity": "sha512-kCnDD9LE2ySiTnj/VPaxy4/oRayRcdv4aCuVxtoum8SxIU7OADHc0nJPQfheE8bHcs3zZdWzDMWltRosuT13bg==", - "dev": true, - "dependencies": { - "@commitlint/format": "^17.4.4", - "@commitlint/lint": "^17.6.1", - "@commitlint/load": "^17.5.0", - "@commitlint/read": "^17.5.1", - "@commitlint/types": "^17.4.4", - "execa": "^5.0.0", - "lodash.isfunction": "^3.0.9", - "resolve-from": "5.0.0", - "resolve-global": "1.0.0", - "yargs": "^17.0.0" - }, - "bin": { - "commitlint": "cli.js" - }, - "engines": { - "node": ">=v14" - } - }, - "node_modules/@commitlint/config-angular": { - "version": "17.6.1", - "resolved": "https://registry.npmjs.org/@commitlint/config-angular/-/config-angular-17.6.1.tgz", - "integrity": "sha512-6dOFX0AwdP+rrMGFe/X2u9n4GeM7oWf7WbbNohbwJeDkKStFG7RxA3RV9bUldovoS1qTS0f8NP3vjQbxSsOHJw==", - "dev": true, - "dependencies": { - "@commitlint/config-angular-type-enum": "^17.4.0" - }, - "engines": { - "node": ">=v14" - } - }, - "node_modules/@commitlint/config-angular-type-enum": { - "version": "17.4.0", - "resolved": "https://registry.npmjs.org/@commitlint/config-angular-type-enum/-/config-angular-type-enum-17.4.0.tgz", - "integrity": "sha512-qbmfOfVqQHMKfc6CxS0A9b7+EFsOyEBoh4+i8Qa05uk8YhT/zY1CeIXK5V3wwemMDcHUegyL/ZnwCvWD7g8GxA==", - "dev": true, - "engines": { - "node": ">=v14" - } - }, - "node_modules/@commitlint/config-validator": { - "version": "17.4.4", - "resolved": "https://registry.npmjs.org/@commitlint/config-validator/-/config-validator-17.4.4.tgz", - "integrity": "sha512-bi0+TstqMiqoBAQDvdEP4AFh0GaKyLFlPPEObgI29utoKEYoPQTvF0EYqIwYYLEoJYhj5GfMIhPHJkTJhagfeg==", - "dev": true, - "dependencies": { - "@commitlint/types": "^17.4.4", - "ajv": "^8.11.0" - }, - "engines": { - "node": ">=v14" - } - }, - "node_modules/@commitlint/ensure": { - "version": "17.4.4", - "resolved": "https://registry.npmjs.org/@commitlint/ensure/-/ensure-17.4.4.tgz", - "integrity": "sha512-AHsFCNh8hbhJiuZ2qHv/m59W/GRE9UeOXbkOqxYMNNg9pJ7qELnFcwj5oYpa6vzTSHtPGKf3C2yUFNy1GGHq6g==", - "dev": true, - "dependencies": { - "@commitlint/types": "^17.4.4", - "lodash.camelcase": "^4.3.0", - "lodash.kebabcase": "^4.1.1", - "lodash.snakecase": "^4.1.1", - "lodash.startcase": "^4.4.0", - "lodash.upperfirst": "^4.3.1" - }, - "engines": { - "node": ">=v14" - } - }, - "node_modules/@commitlint/execute-rule": { - "version": "17.4.0", - "resolved": "https://registry.npmjs.org/@commitlint/execute-rule/-/execute-rule-17.4.0.tgz", - "integrity": "sha512-LIgYXuCSO5Gvtc0t9bebAMSwd68ewzmqLypqI2Kke1rqOqqDbMpYcYfoPfFlv9eyLIh4jocHWwCK5FS7z9icUA==", - "dev": true, - "engines": { - "node": ">=v14" - } - }, - "node_modules/@commitlint/format": { - "version": "17.4.4", - "resolved": "https://registry.npmjs.org/@commitlint/format/-/format-17.4.4.tgz", - "integrity": "sha512-+IS7vpC4Gd/x+uyQPTAt3hXs5NxnkqAZ3aqrHd5Bx/R9skyCAWusNlNbw3InDbAK6j166D9asQM8fnmYIa+CXQ==", - "dev": true, - "dependencies": { - "@commitlint/types": "^17.4.4", - "chalk": "^4.1.0" - }, - "engines": { - "node": ">=v14" - } - }, - "node_modules/@commitlint/is-ignored": { - "version": "17.4.4", - "resolved": "https://registry.npmjs.org/@commitlint/is-ignored/-/is-ignored-17.4.4.tgz", - "integrity": "sha512-Y3eo1SFJ2JQDik4rWkBC4tlRIxlXEFrRWxcyrzb1PUT2k3kZ/XGNuCDfk/u0bU2/yS0tOA/mTjFsV+C4qyACHw==", - "dev": true, - "dependencies": { - "@commitlint/types": "^17.4.4", - "semver": "7.3.8" - }, - "engines": { - "node": ">=v14" - } - }, - "node_modules/@commitlint/lint": { - "version": "17.6.1", - "resolved": "https://registry.npmjs.org/@commitlint/lint/-/lint-17.6.1.tgz", - "integrity": "sha512-VARJ9kxH64isgwVnC+ABPafCYzqxpsWJIpDaTuI0gh8aX4GQ0i7cn9tvxtFNfJj4ER2BAJeWJ0vURdNYjK2RQQ==", - "dev": true, - "dependencies": { - "@commitlint/is-ignored": "^17.4.4", - "@commitlint/parse": "^17.4.4", - "@commitlint/rules": "^17.6.1", - "@commitlint/types": "^17.4.4" - }, - "engines": { - "node": ">=v14" - } - }, - "node_modules/@commitlint/load": { - "version": "17.5.0", - "resolved": "https://registry.npmjs.org/@commitlint/load/-/load-17.5.0.tgz", - "integrity": "sha512-l+4W8Sx4CD5rYFsrhHH8HP01/8jEP7kKf33Xlx2Uk2out/UKoKPYMOIRcDH5ppT8UXLMV+x6Wm5osdRKKgaD1Q==", - "dev": true, - "dependencies": { - "@commitlint/config-validator": "^17.4.4", - "@commitlint/execute-rule": "^17.4.0", - "@commitlint/resolve-extends": "^17.4.4", - "@commitlint/types": "^17.4.4", - "@types/node": "*", - "chalk": "^4.1.0", - "cosmiconfig": "^8.0.0", - "cosmiconfig-typescript-loader": "^4.0.0", - "lodash.isplainobject": "^4.0.6", - "lodash.merge": "^4.6.2", - "lodash.uniq": "^4.5.0", - "resolve-from": "^5.0.0", - "ts-node": "^10.8.1", - "typescript": "^4.6.4 || ^5.0.0" - }, - "engines": { - "node": ">=v14" - } - }, - "node_modules/@commitlint/message": { - "version": "17.4.2", - "resolved": "https://registry.npmjs.org/@commitlint/message/-/message-17.4.2.tgz", - "integrity": "sha512-3XMNbzB+3bhKA1hSAWPCQA3lNxR4zaeQAQcHj0Hx5sVdO6ryXtgUBGGv+1ZCLMgAPRixuc6en+iNAzZ4NzAa8Q==", - "dev": true, - "engines": { - "node": ">=v14" - } - }, - "node_modules/@commitlint/parse": { - "version": "17.4.4", - "resolved": "https://registry.npmjs.org/@commitlint/parse/-/parse-17.4.4.tgz", - "integrity": "sha512-EKzz4f49d3/OU0Fplog7nwz/lAfXMaDxtriidyGF9PtR+SRbgv4FhsfF310tKxs6EPj8Y+aWWuX3beN5s+yqGg==", - "dev": true, - "dependencies": { - "@commitlint/types": "^17.4.4", - "conventional-changelog-angular": "^5.0.11", - "conventional-commits-parser": "^3.2.2" - }, - "engines": { - "node": ">=v14" - } - }, - "node_modules/@commitlint/read": { - "version": "17.5.1", - "resolved": "https://registry.npmjs.org/@commitlint/read/-/read-17.5.1.tgz", - "integrity": "sha512-7IhfvEvB//p9aYW09YVclHbdf1u7g7QhxeYW9ZHSO8Huzp8Rz7m05aCO1mFG7G8M+7yfFnXB5xOmG18brqQIBg==", - "dev": true, - "dependencies": { - "@commitlint/top-level": "^17.4.0", - "@commitlint/types": "^17.4.4", - "fs-extra": "^11.0.0", - "git-raw-commits": "^2.0.11", - "minimist": "^1.2.6" - }, - "engines": { - "node": ">=v14" - } - }, - "node_modules/@commitlint/resolve-extends": { - "version": "17.4.4", - "resolved": "https://registry.npmjs.org/@commitlint/resolve-extends/-/resolve-extends-17.4.4.tgz", - "integrity": "sha512-znXr1S0Rr8adInptHw0JeLgumS11lWbk5xAWFVno+HUFVN45875kUtqjrI6AppmD3JI+4s0uZlqqlkepjJd99A==", - "dev": true, - "dependencies": { - "@commitlint/config-validator": "^17.4.4", - "@commitlint/types": "^17.4.4", - "import-fresh": "^3.0.0", - "lodash.mergewith": "^4.6.2", - "resolve-from": "^5.0.0", - "resolve-global": "^1.0.0" - }, - "engines": { - "node": ">=v14" - } - }, - "node_modules/@commitlint/rules": { - "version": "17.6.1", - "resolved": "https://registry.npmjs.org/@commitlint/rules/-/rules-17.6.1.tgz", - "integrity": "sha512-lUdHw6lYQ1RywExXDdLOKxhpp6857/4c95Dc/1BikrHgdysVUXz26yV0vp1GL7Gv+avx9WqZWTIVB7pNouxlfw==", - "dev": true, - "dependencies": { - "@commitlint/ensure": "^17.4.4", - "@commitlint/message": "^17.4.2", - "@commitlint/to-lines": "^17.4.0", - "@commitlint/types": "^17.4.4", - "execa": "^5.0.0" - }, - "engines": { - "node": ">=v14" - } - }, - "node_modules/@commitlint/to-lines": { - "version": "17.4.0", - "resolved": "https://registry.npmjs.org/@commitlint/to-lines/-/to-lines-17.4.0.tgz", - "integrity": "sha512-LcIy/6ZZolsfwDUWfN1mJ+co09soSuNASfKEU5sCmgFCvX5iHwRYLiIuoqXzOVDYOy7E7IcHilr/KS0e5T+0Hg==", - "dev": true, - "engines": { - "node": ">=v14" - } - }, - "node_modules/@commitlint/top-level": { - "version": "17.4.0", - "resolved": "https://registry.npmjs.org/@commitlint/top-level/-/top-level-17.4.0.tgz", - "integrity": "sha512-/1loE/g+dTTQgHnjoCy0AexKAEFyHsR2zRB4NWrZ6lZSMIxAhBJnmCqwao7b4H8888PsfoTBCLBYIw8vGnej8g==", - "dev": true, - "dependencies": { - "find-up": "^5.0.0" - }, - "engines": { - "node": ">=v14" - } - }, - "node_modules/@commitlint/types": { - "version": "17.4.4", - "resolved": "https://registry.npmjs.org/@commitlint/types/-/types-17.4.4.tgz", - "integrity": "sha512-amRN8tRLYOsxRr6mTnGGGvB5EmW/4DDjLMgiwK3CCVEmN6Sr/6xePGEpWaspKkckILuUORCwe6VfDBw6uj4axQ==", - "dev": true, - "dependencies": { - "chalk": "^4.1.0" - }, - "engines": { - "node": ">=v14" - } - }, - "node_modules/@cspotcode/source-map-support": { - "version": "0.8.1", - "resolved": "https://registry.npmjs.org/@cspotcode/source-map-support/-/source-map-support-0.8.1.tgz", - "integrity": "sha512-IchNf6dN4tHoMFIn/7OE8LWZ19Y6q/67Bmf6vnGREv8RSbBVb9LPJxEcnwrcwX6ixSvaiGoomAUvu4YSxXrVgw==", - "dev": true, - "dependencies": { - "@jridgewell/trace-mapping": "0.3.9" - }, - "engines": { - "node": ">=12" - } - }, - "node_modules/@cspotcode/source-map-support/node_modules/@jridgewell/trace-mapping": { - "version": "0.3.9", - "resolved": "https://registry.npmjs.org/@jridgewell/trace-mapping/-/trace-mapping-0.3.9.tgz", - "integrity": "sha512-3Belt6tdc8bPgAtbcmdtNJlirVoTmEb5e2gC94PnkwEW9jI6CAHUeoG85tjWP5WquqfavoMtMwiG4P926ZKKuQ==", - "dev": true, - "dependencies": { - "@jridgewell/resolve-uri": "^3.0.3", - "@jridgewell/sourcemap-codec": "^1.4.10" - } - }, - "node_modules/@eslint-community/eslint-utils": { - "version": "4.4.0", - "resolved": "https://registry.npmjs.org/@eslint-community/eslint-utils/-/eslint-utils-4.4.0.tgz", - "integrity": "sha512-1/sA4dwrzBAyeUoQ6oxahHKmrZvsnLCg4RfxW3ZFGGmQkSNQPFNLV9CUEFQP1x9EYXHTo5p6xdhZM1Ne9p/AfA==", - "dev": true, - "dependencies": { - "eslint-visitor-keys": "^3.3.0" - }, - "engines": { - "node": "^12.22.0 || ^14.17.0 || >=16.0.0" - }, - "peerDependencies": { - "eslint": "^6.0.0 || ^7.0.0 || >=8.0.0" - } - }, - "node_modules/@eslint-community/regexpp": { - "version": "4.5.1", - "resolved": "https://registry.npmjs.org/@eslint-community/regexpp/-/regexpp-4.5.1.tgz", - "integrity": "sha512-Z5ba73P98O1KUYCCJTUeVpja9RcGoMdncZ6T49FCUl2lN38JtCJ+3WgIDBv0AuY4WChU5PmtJmOCTlN6FZTFKQ==", - "dev": true, - "engines": { - "node": "^12.0.0 || ^14.0.0 || >=16.0.0" - } - }, - "node_modules/@eslint/eslintrc": { - "version": "2.0.2", - "resolved": "https://registry.npmjs.org/@eslint/eslintrc/-/eslintrc-2.0.2.tgz", - "integrity": "sha512-3W4f5tDUra+pA+FzgugqL2pRimUTDJWKr7BINqOpkZrC0uYI0NIc0/JFgBROCU07HR6GieA5m3/rsPIhDmCXTQ==", - "dev": true, - "dependencies": { - "ajv": "^6.12.4", - "debug": "^4.3.2", - "espree": "^9.5.1", - "globals": "^13.19.0", - "ignore": "^5.2.0", - "import-fresh": "^3.2.1", - "js-yaml": "^4.1.0", - "minimatch": "^3.1.2", - "strip-json-comments": "^3.1.1" - }, - "engines": { - "node": "^12.22.0 || ^14.17.0 || >=16.0.0" - }, - "funding": { - "url": "https://opencollective.com/eslint" - } - }, - "node_modules/@eslint/eslintrc/node_modules/ajv": { - "version": "6.12.6", - "resolved": "https://registry.npmjs.org/ajv/-/ajv-6.12.6.tgz", - "integrity": "sha512-j3fVLgvTo527anyYyJOGTYJbG+vnnQYvE0m5mmkc1TK+nxAppkCLMIL0aZ4dblVCNoGShhm+kzE4ZUykBoMg4g==", - "dev": true, - "dependencies": { - "fast-deep-equal": "^3.1.1", - "fast-json-stable-stringify": "^2.0.0", - "json-schema-traverse": "^0.4.1", - "uri-js": "^4.2.2" - }, - "funding": { - "type": "github", - "url": "https://github.com/sponsors/epoberezkin" - } - }, - "node_modules/@eslint/eslintrc/node_modules/json-schema-traverse": { - "version": "0.4.1", - "resolved": "https://registry.npmjs.org/json-schema-traverse/-/json-schema-traverse-0.4.1.tgz", - "integrity": "sha512-xbbCH5dCYU5T8LcEhhuh7HJ88HXuW3qsI3Y0zOZFKfZEHcpWiHU/Jxzk629Brsab/mMiHQti9wMP+845RPe3Vg==", - "dev": true - }, - "node_modules/@eslint/js": { - "version": "8.39.0", - "resolved": "https://registry.npmjs.org/@eslint/js/-/js-8.39.0.tgz", - "integrity": "sha512-kf9RB0Fg7NZfap83B3QOqOGg9QmD9yBudqQXzzOtn3i4y7ZUXe5ONeW34Gwi+TxhH4mvj72R1Zc300KUMa9Bng==", - "dev": true, - "engines": { - "node": "^12.22.0 || ^14.17.0 || >=16.0.0" - } - }, - "node_modules/@humanwhocodes/config-array": { - "version": "0.11.8", - "resolved": "https://registry.npmjs.org/@humanwhocodes/config-array/-/config-array-0.11.8.tgz", - "integrity": "sha512-UybHIJzJnR5Qc/MsD9Kr+RpO2h+/P1GhOwdiLPXK5TWk5sgTdu88bTD9UP+CKbPPh5Rni1u0GjAdYQLemG8g+g==", - "dev": true, - "dependencies": { - "@humanwhocodes/object-schema": "^1.2.1", - "debug": "^4.1.1", - "minimatch": "^3.0.5" - }, - "engines": { - "node": ">=10.10.0" - } - }, - "node_modules/@humanwhocodes/module-importer": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/@humanwhocodes/module-importer/-/module-importer-1.0.1.tgz", - "integrity": "sha512-bxveV4V8v5Yb4ncFTT3rPSgZBOpCkjfK0y4oVVVJwIuDVBRMDXrPyXRL988i5ap9m9bnyEEjWfm5WkBmtffLfA==", - "dev": true, - "engines": { - "node": ">=12.22" - }, - "funding": { - "type": "github", - "url": "https://github.com/sponsors/nzakas" - } - }, - "node_modules/@humanwhocodes/object-schema": { - "version": "1.2.1", - "resolved": "https://registry.npmjs.org/@humanwhocodes/object-schema/-/object-schema-1.2.1.tgz", - "integrity": "sha512-ZnQMnLV4e7hDlUvw8H+U8ASL02SS2Gn6+9Ac3wGGLIe7+je2AeAOxPY+izIPJDfFDb7eDjev0Us8MO1iFRN8hA==", - "dev": true - }, - "node_modules/@iarna/toml": { - "version": "2.2.5", - "resolved": "https://registry.npmjs.org/@iarna/toml/-/toml-2.2.5.tgz", - "integrity": "sha512-trnsAYxU3xnS1gPHPyU961coFyLkh4gAD/0zQ5mymY4yOZ+CYvsPqUbOFSw0aDM4y0tV7tiFxL/1XfXPNC6IPg==", - "dev": true - }, - "node_modules/@istanbuljs/load-nyc-config": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/@istanbuljs/load-nyc-config/-/load-nyc-config-1.1.0.tgz", - "integrity": "sha512-VjeHSlIzpv/NyD3N0YuHfXOPDIixcA1q2ZV98wsMqcYlPmv2n3Yb2lYP9XMElnaFVXg5A7YLTeLu6V84uQDjmQ==", - "dev": true, - "dependencies": { - "camelcase": "^5.3.1", - "find-up": "^4.1.0", - "get-package-type": "^0.1.0", - "js-yaml": "^3.13.1", - "resolve-from": "^5.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/@istanbuljs/load-nyc-config/node_modules/argparse": { - "version": "1.0.10", - "resolved": "https://registry.npmjs.org/argparse/-/argparse-1.0.10.tgz", - "integrity": "sha512-o5Roy6tNG4SL/FOkCAN6RzjiakZS25RLYFrcMttJqbdd8BWrnA+fGz57iN5Pb06pvBGvl5gQ0B48dJlslXvoTg==", - "dev": true, - "dependencies": { - "sprintf-js": "~1.0.2" - } - }, - "node_modules/@istanbuljs/load-nyc-config/node_modules/find-up": { - "version": "4.1.0", - "resolved": "https://registry.npmjs.org/find-up/-/find-up-4.1.0.tgz", - "integrity": "sha512-PpOwAdQ/YlXQ2vj8a3h8IipDuYRi3wceVQQGYWxNINccq40Anw7BlsEXCMbt1Zt+OLA6Fq9suIpIWD0OsnISlw==", - "dev": true, - "dependencies": { - "locate-path": "^5.0.0", - "path-exists": "^4.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/@istanbuljs/load-nyc-config/node_modules/js-yaml": { - "version": "3.14.1", - "resolved": "https://registry.npmjs.org/js-yaml/-/js-yaml-3.14.1.tgz", - "integrity": "sha512-okMH7OXXJ7YrN9Ok3/SXrnu4iX9yOk+25nqX4imS2npuvTYDmo/QEZoqwZkYaIDk3jVvBOTOIEgEhaLOynBS9g==", - "dev": true, - "dependencies": { - "argparse": "^1.0.7", - "esprima": "^4.0.0" - }, - "bin": { - "js-yaml": "bin/js-yaml.js" - } - }, - "node_modules/@istanbuljs/load-nyc-config/node_modules/locate-path": { - "version": "5.0.0", - "resolved": "https://registry.npmjs.org/locate-path/-/locate-path-5.0.0.tgz", - "integrity": "sha512-t7hw9pI+WvuwNJXwk5zVHpyhIqzg2qTlklJOf0mVxGSbe3Fp2VieZcduNYjaLDoy6p9uGpQEGWG87WpMKlNq8g==", - "dev": true, - "dependencies": { - "p-locate": "^4.1.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/@istanbuljs/load-nyc-config/node_modules/p-limit": { - "version": "2.3.0", - "resolved": "https://registry.npmjs.org/p-limit/-/p-limit-2.3.0.tgz", - "integrity": "sha512-//88mFWSJx8lxCzwdAABTJL2MyWB12+eIY7MDL2SqLmAkeKU9qxRvWuSyTjm3FUmpBEMuFfckAIqEaVGUDxb6w==", - "dev": true, - "dependencies": { - "p-try": "^2.0.0" - }, - "engines": { - "node": ">=6" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/@istanbuljs/load-nyc-config/node_modules/p-locate": { - "version": "4.1.0", - "resolved": "https://registry.npmjs.org/p-locate/-/p-locate-4.1.0.tgz", - "integrity": "sha512-R79ZZ/0wAxKGu3oYMlz8jy/kbhsNrS7SKZ7PxEHBgJ5+F2mtFW2fK2cOtBh1cHYkQsbzFV7I+EoRKe6Yt0oK7A==", - "dev": true, - "dependencies": { - "p-limit": "^2.2.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/@istanbuljs/schema": { - "version": "0.1.3", - "resolved": "https://registry.npmjs.org/@istanbuljs/schema/-/schema-0.1.3.tgz", - "integrity": "sha512-ZXRY4jNvVgSVQ8DL3LTcakaAtXwTVUxE81hslsyD2AtoXW/wVob10HkOJ1X/pAlcI7D+2YoZKg5do8G/w6RYgA==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/@jest/console": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/@jest/console/-/console-29.5.0.tgz", - "integrity": "sha512-NEpkObxPwyw/XxZVLPmAGKE89IQRp4puc6IQRPru6JKd1M3fW9v1xM1AnzIJE65hbCkzQAdnL8P47e9hzhiYLQ==", - "dev": true, - "dependencies": { - "@jest/types": "^29.5.0", - "@types/node": "*", - "chalk": "^4.0.0", - "jest-message-util": "^29.5.0", - "jest-util": "^29.5.0", - "slash": "^3.0.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/@jest/core": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/@jest/core/-/core-29.5.0.tgz", - "integrity": "sha512-28UzQc7ulUrOQw1IsN/kv1QES3q2kkbl/wGslyhAclqZ/8cMdB5M68BffkIdSJgKBUt50d3hbwJ92XESlE7LiQ==", - "dev": true, - "dependencies": { - "@jest/console": "^29.5.0", - "@jest/reporters": "^29.5.0", - "@jest/test-result": "^29.5.0", - "@jest/transform": "^29.5.0", - "@jest/types": "^29.5.0", - "@types/node": "*", - "ansi-escapes": "^4.2.1", - "chalk": "^4.0.0", - "ci-info": "^3.2.0", - "exit": "^0.1.2", - "graceful-fs": "^4.2.9", - "jest-changed-files": "^29.5.0", - "jest-config": "^29.5.0", - "jest-haste-map": "^29.5.0", - "jest-message-util": "^29.5.0", - "jest-regex-util": "^29.4.3", - "jest-resolve": "^29.5.0", - "jest-resolve-dependencies": "^29.5.0", - "jest-runner": "^29.5.0", - "jest-runtime": "^29.5.0", - "jest-snapshot": "^29.5.0", - "jest-util": "^29.5.0", - "jest-validate": "^29.5.0", - "jest-watcher": "^29.5.0", - "micromatch": "^4.0.4", - "pretty-format": "^29.5.0", - "slash": "^3.0.0", - "strip-ansi": "^6.0.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - }, - "peerDependencies": { - "node-notifier": "^8.0.1 || ^9.0.0 || ^10.0.0" - }, - "peerDependenciesMeta": { - "node-notifier": { - "optional": true - } - } - }, - "node_modules/@jest/environment": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/@jest/environment/-/environment-29.5.0.tgz", - "integrity": "sha512-5FXw2+wD29YU1d4I2htpRX7jYnAyTRjP2CsXQdo9SAM8g3ifxWPSV0HnClSn71xwctr0U3oZIIH+dtbfmnbXVQ==", - "dev": true, - "dependencies": { - "@jest/fake-timers": "^29.5.0", - "@jest/types": "^29.5.0", - "@types/node": "*", - "jest-mock": "^29.5.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/@jest/expect": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/@jest/expect/-/expect-29.5.0.tgz", - "integrity": "sha512-PueDR2HGihN3ciUNGr4uelropW7rqUfTiOn+8u0leg/42UhblPxHkfoh0Ruu3I9Y1962P3u2DY4+h7GVTSVU6g==", - "dev": true, - "dependencies": { - "expect": "^29.5.0", - "jest-snapshot": "^29.5.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/@jest/expect-utils": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/@jest/expect-utils/-/expect-utils-29.5.0.tgz", - "integrity": "sha512-fmKzsidoXQT2KwnrwE0SQq3uj8Z763vzR8LnLBwC2qYWEFpjX8daRsk6rHUM1QvNlEW/UJXNXm59ztmJJWs2Mg==", - "dev": true, - "dependencies": { - "jest-get-type": "^29.4.3" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/@jest/fake-timers": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/@jest/fake-timers/-/fake-timers-29.5.0.tgz", - "integrity": "sha512-9ARvuAAQcBwDAqOnglWq2zwNIRUDtk/SCkp/ToGEhFv5r86K21l+VEs0qNTaXtyiY0lEePl3kylijSYJQqdbDg==", - "dev": true, - "dependencies": { - "@jest/types": "^29.5.0", - "@sinonjs/fake-timers": "^10.0.2", - "@types/node": "*", - "jest-message-util": "^29.5.0", - "jest-mock": "^29.5.0", - "jest-util": "^29.5.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/@jest/globals": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/@jest/globals/-/globals-29.5.0.tgz", - "integrity": "sha512-S02y0qMWGihdzNbUiqSAiKSpSozSuHX5UYc7QbnHP+D9Lyw8DgGGCinrN9uSuHPeKgSSzvPom2q1nAtBvUsvPQ==", - "dev": true, - "dependencies": { - "@jest/environment": "^29.5.0", - "@jest/expect": "^29.5.0", - "@jest/types": "^29.5.0", - "jest-mock": "^29.5.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/@jest/reporters": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/@jest/reporters/-/reporters-29.5.0.tgz", - "integrity": "sha512-D05STXqj/M8bP9hQNSICtPqz97u7ffGzZu+9XLucXhkOFBqKcXe04JLZOgIekOxdb73MAoBUFnqvf7MCpKk5OA==", - "dev": true, - "dependencies": { - "@bcoe/v8-coverage": "^0.2.3", - "@jest/console": "^29.5.0", - "@jest/test-result": "^29.5.0", - "@jest/transform": "^29.5.0", - "@jest/types": "^29.5.0", - "@jridgewell/trace-mapping": "^0.3.15", - "@types/node": "*", - "chalk": "^4.0.0", - "collect-v8-coverage": "^1.0.0", - "exit": "^0.1.2", - "glob": "^7.1.3", - "graceful-fs": "^4.2.9", - "istanbul-lib-coverage": "^3.0.0", - "istanbul-lib-instrument": "^5.1.0", - "istanbul-lib-report": "^3.0.0", - "istanbul-lib-source-maps": "^4.0.0", - "istanbul-reports": "^3.1.3", - "jest-message-util": "^29.5.0", - "jest-util": "^29.5.0", - "jest-worker": "^29.5.0", - "slash": "^3.0.0", - "string-length": "^4.0.1", - "strip-ansi": "^6.0.0", - "v8-to-istanbul": "^9.0.1" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - }, - "peerDependencies": { - "node-notifier": "^8.0.1 || ^9.0.0 || ^10.0.0" - }, - "peerDependenciesMeta": { - "node-notifier": { - "optional": true - } - } - }, - "node_modules/@jest/schemas": { - "version": "29.4.3", - "resolved": "https://registry.npmjs.org/@jest/schemas/-/schemas-29.4.3.tgz", - "integrity": "sha512-VLYKXQmtmuEz6IxJsrZwzG9NvtkQsWNnWMsKxqWNu3+CnfzJQhp0WDDKWLVV9hLKr0l3SLLFRqcYHjhtyuDVxg==", - "dev": true, - "dependencies": { - "@sinclair/typebox": "^0.25.16" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/@jest/source-map": { - "version": "29.4.3", - "resolved": "https://registry.npmjs.org/@jest/source-map/-/source-map-29.4.3.tgz", - "integrity": "sha512-qyt/mb6rLyd9j1jUts4EQncvS6Yy3PM9HghnNv86QBlV+zdL2inCdK1tuVlL+J+lpiw2BI67qXOrX3UurBqQ1w==", - "dev": true, - "dependencies": { - "@jridgewell/trace-mapping": "^0.3.15", - "callsites": "^3.0.0", - "graceful-fs": "^4.2.9" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/@jest/test-result": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/@jest/test-result/-/test-result-29.5.0.tgz", - "integrity": "sha512-fGl4rfitnbfLsrfx1uUpDEESS7zM8JdgZgOCQuxQvL1Sn/I6ijeAVQWGfXI9zb1i9Mzo495cIpVZhA0yr60PkQ==", - "dev": true, - "dependencies": { - "@jest/console": "^29.5.0", - "@jest/types": "^29.5.0", - "@types/istanbul-lib-coverage": "^2.0.0", - "collect-v8-coverage": "^1.0.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/@jest/test-sequencer": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/@jest/test-sequencer/-/test-sequencer-29.5.0.tgz", - "integrity": "sha512-yPafQEcKjkSfDXyvtgiV4pevSeyuA6MQr6ZIdVkWJly9vkqjnFfcfhRQqpD5whjoU8EORki752xQmjaqoFjzMQ==", - "dev": true, - "dependencies": { - "@jest/test-result": "^29.5.0", - "graceful-fs": "^4.2.9", - "jest-haste-map": "^29.5.0", - "slash": "^3.0.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/@jest/transform": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/@jest/transform/-/transform-29.5.0.tgz", - "integrity": "sha512-8vbeZWqLJOvHaDfeMuoHITGKSz5qWc9u04lnWrQE3VyuSw604PzQM824ZeX9XSjUCeDiE3GuxZe5UKa8J61NQw==", - "dev": true, - "dependencies": { - "@babel/core": "^7.11.6", - "@jest/types": "^29.5.0", - "@jridgewell/trace-mapping": "^0.3.15", - "babel-plugin-istanbul": "^6.1.1", - "chalk": "^4.0.0", - "convert-source-map": "^2.0.0", - "fast-json-stable-stringify": "^2.1.0", - "graceful-fs": "^4.2.9", - "jest-haste-map": "^29.5.0", - "jest-regex-util": "^29.4.3", - "jest-util": "^29.5.0", - "micromatch": "^4.0.4", - "pirates": "^4.0.4", - "slash": "^3.0.0", - "write-file-atomic": "^4.0.2" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/@jest/types": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/@jest/types/-/types-29.5.0.tgz", - "integrity": "sha512-qbu7kN6czmVRc3xWFQcAN03RAUamgppVUdXrvl1Wr3jlNF93o9mJbGcDWrwGB6ht44u7efB1qCFgVQmca24Uog==", - "dev": true, - "dependencies": { - "@jest/schemas": "^29.4.3", - "@types/istanbul-lib-coverage": "^2.0.0", - "@types/istanbul-reports": "^3.0.0", - "@types/node": "*", - "@types/yargs": "^17.0.8", - "chalk": "^4.0.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/@jridgewell/gen-mapping": { - "version": "0.3.3", - "resolved": "https://registry.npmjs.org/@jridgewell/gen-mapping/-/gen-mapping-0.3.3.tgz", - "integrity": "sha512-HLhSWOLRi875zjjMG/r+Nv0oCW8umGb0BgEhyX3dDX3egwZtB8PqLnjz3yedt8R5StBrzcg4aBpnh8UA9D1BoQ==", - "dependencies": { - "@jridgewell/set-array": "^1.0.1", - "@jridgewell/sourcemap-codec": "^1.4.10", - "@jridgewell/trace-mapping": "^0.3.9" - }, - "engines": { - "node": ">=6.0.0" - } - }, - "node_modules/@jridgewell/resolve-uri": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/@jridgewell/resolve-uri/-/resolve-uri-3.1.0.tgz", - "integrity": "sha512-F2msla3tad+Mfht5cJq7LSXcdudKTWCVYUgw6pLFOOHSTtZlj6SWNYAp+AhuqLmWdBO2X5hPrLcu8cVP8fy28w==", - "engines": { - "node": ">=6.0.0" - } - }, - "node_modules/@jridgewell/set-array": { - "version": "1.1.2", - "resolved": "https://registry.npmjs.org/@jridgewell/set-array/-/set-array-1.1.2.tgz", - "integrity": "sha512-xnkseuNADM0gt2bs+BvhO0p78Mk762YnZdsuzFV018NoG1Sj1SCQvpSqa7XUaTam5vAGasABV9qXASMKnFMwMw==", - "engines": { - "node": ">=6.0.0" - } - }, - "node_modules/@jridgewell/source-map": { - "version": "0.3.3", - "resolved": "https://registry.npmjs.org/@jridgewell/source-map/-/source-map-0.3.3.tgz", - "integrity": "sha512-b+fsZXeLYi9fEULmfBrhxn4IrPlINf8fiNarzTof004v3lFdntdwa9PF7vFJqm3mg7s+ScJMxXaE3Acp1irZcg==", - "dependencies": { - "@jridgewell/gen-mapping": "^0.3.0", - "@jridgewell/trace-mapping": "^0.3.9" - } - }, - "node_modules/@jridgewell/sourcemap-codec": { - "version": "1.4.15", - "resolved": "https://registry.npmjs.org/@jridgewell/sourcemap-codec/-/sourcemap-codec-1.4.15.tgz", - "integrity": "sha512-eF2rxCRulEKXHTRiDrDy6erMYWqNw4LPdQ8UQA4huuxaQsVeRPFl2oM8oDGxMFhJUWZf9McpLtJasDDZb/Bpeg==" - }, - "node_modules/@jridgewell/trace-mapping": { - "version": "0.3.18", - "resolved": "https://registry.npmjs.org/@jridgewell/trace-mapping/-/trace-mapping-0.3.18.tgz", - "integrity": "sha512-w+niJYzMHdd7USdiH2U6869nqhD2nbfZXND5Yp93qIbEmnDNk7PD48o+YchRVpzMU7M6jVCbenTR7PA1FLQ9pA==", - "dependencies": { - "@jridgewell/resolve-uri": "3.1.0", - "@jridgewell/sourcemap-codec": "1.4.14" - } - }, - "node_modules/@jridgewell/trace-mapping/node_modules/@jridgewell/sourcemap-codec": { - "version": "1.4.14", - "resolved": "https://registry.npmjs.org/@jridgewell/sourcemap-codec/-/sourcemap-codec-1.4.14.tgz", - "integrity": "sha512-XPSJHWmi394fuUuzDnGz1wiKqWfo1yXecHQMRf2l6hztTO+nPru658AyDngaBe7isIxEkRsPR3FZh+s7iVa4Uw==" - }, - "node_modules/@nestjs/schematics": { - "version": "9.1.0", - "resolved": "https://registry.npmjs.org/@nestjs/schematics/-/schematics-9.1.0.tgz", - "integrity": "sha512-/7CyMTnPJSK9/xD9CkCqwuHPOlHVlLC2RDnbdCJ7mIO07SdbBbY14msTqtYW9VRQtsjZPLh1GTChf7ryJUImwA==", - "dependencies": { - "@angular-devkit/core": "15.2.4", - "@angular-devkit/schematics": "15.2.4", - "jsonc-parser": "3.2.0", - "pluralize": "8.0.0" - }, - "peerDependencies": { - "typescript": ">=4.3.5" - } - }, - "node_modules/@nestjs/schematics/node_modules/@angular-devkit/core": { - "version": "15.2.4", - "resolved": "https://registry.npmjs.org/@angular-devkit/core/-/core-15.2.4.tgz", - "integrity": "sha512-yl+0j1bMwJLKShsyCXw77tbJG8Sd21+itisPLL2MgEpLNAO252kr9zG4TLlFRJyKVftm2l1h78KjqvM5nbOXNg==", - "dependencies": { - "ajv": "8.12.0", - "ajv-formats": "2.1.1", - "jsonc-parser": "3.2.0", - "rxjs": "6.6.7", - "source-map": "0.7.4" - }, - "engines": { - "node": "^14.20.0 || ^16.13.0 || >=18.10.0", - "npm": "^6.11.0 || ^7.5.6 || >=8.0.0", - "yarn": ">= 1.13.0" - }, - "peerDependencies": { - "chokidar": "^3.5.2" - }, - "peerDependenciesMeta": { - "chokidar": { - "optional": true - } - } - }, - "node_modules/@nestjs/schematics/node_modules/@angular-devkit/schematics": { - "version": "15.2.4", - "resolved": "https://registry.npmjs.org/@angular-devkit/schematics/-/schematics-15.2.4.tgz", - "integrity": "sha512-/W7/vvn59PAVLzhcvD4/N/E8RDhub8ny1A7I96LTRjC5o+yvVV16YJ4YJzolrRrIEN01KmLVQJ9A58VCaweMgw==", - "dependencies": { - "@angular-devkit/core": "15.2.4", - "jsonc-parser": "3.2.0", - "magic-string": "0.29.0", - "ora": "5.4.1", - "rxjs": "6.6.7" - }, - "engines": { - "node": "^14.20.0 || ^16.13.0 || >=18.10.0", - "npm": "^6.11.0 || ^7.5.6 || >=8.0.0", - "yarn": ">= 1.13.0" - } - }, - "node_modules/@nodelib/fs.scandir": { - "version": "2.1.5", - "resolved": "https://registry.npmjs.org/@nodelib/fs.scandir/-/fs.scandir-2.1.5.tgz", - "integrity": "sha512-vq24Bq3ym5HEQm2NKCr3yXDwjc7vTsEThRDnkp2DK9p1uqLR+DHurm/NOTo0KG7HYHU7eppKZj3MyqYuMBf62g==", - "dev": true, - "dependencies": { - "@nodelib/fs.stat": "2.0.5", - "run-parallel": "^1.1.9" - }, - "engines": { - "node": ">= 8" - } - }, - "node_modules/@nodelib/fs.stat": { - "version": "2.0.5", - "resolved": "https://registry.npmjs.org/@nodelib/fs.stat/-/fs.stat-2.0.5.tgz", - "integrity": "sha512-RkhPPp2zrqDAQA/2jNhnztcPAlv64XdhIp7a7454A5ovI7Bukxgt7MX7udwAu3zg1DcpPU0rz3VV1SeaqvY4+A==", - "dev": true, - "engines": { - "node": ">= 8" - } - }, - "node_modules/@nodelib/fs.walk": { - "version": "1.2.8", - "resolved": "https://registry.npmjs.org/@nodelib/fs.walk/-/fs.walk-1.2.8.tgz", - "integrity": "sha512-oGB+UxlgWcgQkgwo8GcEGwemoTFt3FIO9ababBmaGwXIoBKZ+GTy0pP185beGg7Llih/NSHSV2XAs1lnznocSg==", - "dev": true, - "dependencies": { - "@nodelib/fs.scandir": "2.1.5", - "fastq": "^1.6.0" - }, - "engines": { - "node": ">= 8" - } - }, - "node_modules/@octokit/auth-token": { - "version": "3.0.3", - "resolved": "https://registry.npmjs.org/@octokit/auth-token/-/auth-token-3.0.3.tgz", - "integrity": "sha512-/aFM2M4HVDBT/jjDBa84sJniv1t9Gm/rLkalaz9htOm+L+8JMj1k9w0CkUdcxNyNxZPlTxKPVko+m1VlM58ZVA==", - "dev": true, - "dependencies": { - "@octokit/types": "^9.0.0" - }, - "engines": { - "node": ">= 14" - } - }, - "node_modules/@octokit/core": { - "version": "4.2.0", - "resolved": "https://registry.npmjs.org/@octokit/core/-/core-4.2.0.tgz", - "integrity": "sha512-AgvDRUg3COpR82P7PBdGZF/NNqGmtMq2NiPqeSsDIeCfYFOZ9gddqWNQHnFdEUf+YwOj4aZYmJnlPp7OXmDIDg==", - "dev": true, - "dependencies": { - "@octokit/auth-token": "^3.0.0", - "@octokit/graphql": "^5.0.0", - "@octokit/request": "^6.0.0", - "@octokit/request-error": "^3.0.0", - "@octokit/types": "^9.0.0", - "before-after-hook": "^2.2.0", - "universal-user-agent": "^6.0.0" - }, - "engines": { - "node": ">= 14" - } - }, - "node_modules/@octokit/endpoint": { - "version": "7.0.5", - "resolved": "https://registry.npmjs.org/@octokit/endpoint/-/endpoint-7.0.5.tgz", - "integrity": "sha512-LG4o4HMY1Xoaec87IqQ41TQ+glvIeTKqfjkCEmt5AIwDZJwQeVZFIEYXrYY6yLwK+pAScb9Gj4q+Nz2qSw1roA==", - "dev": true, - "dependencies": { - "@octokit/types": "^9.0.0", - "is-plain-object": "^5.0.0", - "universal-user-agent": "^6.0.0" - }, - "engines": { - "node": ">= 14" - } - }, - "node_modules/@octokit/graphql": { - "version": "5.0.5", - "resolved": "https://registry.npmjs.org/@octokit/graphql/-/graphql-5.0.5.tgz", - "integrity": "sha512-Qwfvh3xdqKtIznjX9lz2D458r7dJPP8l6r4GQkIdWQouZwHQK0mVT88uwiU2bdTU2OtT1uOlKpRciUWldpG0yQ==", - "dev": true, - "dependencies": { - "@octokit/request": "^6.0.0", - "@octokit/types": "^9.0.0", - "universal-user-agent": "^6.0.0" - }, - "engines": { - "node": ">= 14" - } - }, - "node_modules/@octokit/openapi-types": { - "version": "17.1.0", - "resolved": "https://registry.npmjs.org/@octokit/openapi-types/-/openapi-types-17.1.0.tgz", - "integrity": "sha512-rnI26BAITDZTo5vqFOmA7oX4xRd18rO+gcK4MiTpJmsRMxAw0JmevNjPsjpry1bb9SVNo56P/0kbiyXXa4QluA==", - "dev": true - }, - "node_modules/@octokit/plugin-paginate-rest": { - "version": "6.0.0", - "resolved": "https://registry.npmjs.org/@octokit/plugin-paginate-rest/-/plugin-paginate-rest-6.0.0.tgz", - "integrity": "sha512-Sq5VU1PfT6/JyuXPyt04KZNVsFOSBaYOAq2QRZUwzVlI10KFvcbUo8lR258AAQL1Et60b0WuVik+zOWKLuDZxw==", - "dev": true, - "dependencies": { - "@octokit/types": "^9.0.0" - }, - "engines": { - "node": ">= 14" - }, - "peerDependencies": { - "@octokit/core": ">=4" - } - }, - "node_modules/@octokit/plugin-request-log": { - "version": "1.0.4", - "resolved": "https://registry.npmjs.org/@octokit/plugin-request-log/-/plugin-request-log-1.0.4.tgz", - "integrity": "sha512-mLUsMkgP7K/cnFEw07kWqXGF5LKrOkD+lhCrKvPHXWDywAwuDUeDwWBpc69XK3pNX0uKiVt8g5z96PJ6z9xCFA==", - "dev": true, - "peerDependencies": { - "@octokit/core": ">=3" - } - }, - "node_modules/@octokit/plugin-rest-endpoint-methods": { - "version": "7.0.1", - "resolved": "https://registry.npmjs.org/@octokit/plugin-rest-endpoint-methods/-/plugin-rest-endpoint-methods-7.0.1.tgz", - "integrity": "sha512-pnCaLwZBudK5xCdrR823xHGNgqOzRnJ/mpC/76YPpNP7DybdsJtP7mdOwh+wYZxK5jqeQuhu59ogMI4NRlBUvA==", - "dev": true, - "dependencies": { - "@octokit/types": "^9.0.0", - "deprecation": "^2.3.1" - }, - "engines": { - "node": ">= 14" - }, - "peerDependencies": { - "@octokit/core": ">=3" - } - }, - "node_modules/@octokit/request": { - "version": "6.2.3", - "resolved": "https://registry.npmjs.org/@octokit/request/-/request-6.2.3.tgz", - "integrity": "sha512-TNAodj5yNzrrZ/VxP+H5HiYaZep0H3GU0O7PaF+fhDrt8FPrnkei9Aal/txsN/1P7V3CPiThG0tIvpPDYUsyAA==", - "dev": true, - "dependencies": { - "@octokit/endpoint": "^7.0.0", - "@octokit/request-error": "^3.0.0", - "@octokit/types": "^9.0.0", - "is-plain-object": "^5.0.0", - "node-fetch": "^2.6.7", - "universal-user-agent": "^6.0.0" - }, - "engines": { - "node": ">= 14" - } - }, - "node_modules/@octokit/request-error": { - "version": "3.0.3", - "resolved": "https://registry.npmjs.org/@octokit/request-error/-/request-error-3.0.3.tgz", - "integrity": "sha512-crqw3V5Iy2uOU5Np+8M/YexTlT8zxCfI+qu+LxUB7SZpje4Qmx3mub5DfEKSO8Ylyk0aogi6TYdf6kxzh2BguQ==", - "dev": true, - "dependencies": { - "@octokit/types": "^9.0.0", - "deprecation": "^2.0.0", - "once": "^1.4.0" - }, - "engines": { - "node": ">= 14" - } - }, - "node_modules/@octokit/request/node_modules/node-fetch": { - "version": "2.6.9", - "resolved": "https://registry.npmjs.org/node-fetch/-/node-fetch-2.6.9.tgz", - "integrity": "sha512-DJm/CJkZkRjKKj4Zi4BsKVZh3ValV5IR5s7LVZnW+6YMh0W1BfNA8XSs6DLMGYlId5F3KnA70uu2qepcR08Qqg==", - "dev": true, - "dependencies": { - "whatwg-url": "^5.0.0" - }, - "engines": { - "node": "4.x || >=6.0.0" - }, - "peerDependencies": { - "encoding": "^0.1.0" - }, - "peerDependenciesMeta": { - "encoding": { - "optional": true - } - } - }, - "node_modules/@octokit/rest": { - "version": "19.0.7", - "resolved": "https://registry.npmjs.org/@octokit/rest/-/rest-19.0.7.tgz", - "integrity": "sha512-HRtSfjrWmWVNp2uAkEpQnuGMJsu/+dBr47dRc5QVgsCbnIc1+GFEaoKBWkYG+zjrsHpSqcAElMio+n10c0b5JA==", - "dev": true, - "dependencies": { - "@octokit/core": "^4.1.0", - "@octokit/plugin-paginate-rest": "^6.0.0", - "@octokit/plugin-request-log": "^1.0.4", - "@octokit/plugin-rest-endpoint-methods": "^7.0.0" - }, - "engines": { - "node": ">= 14" - } - }, - "node_modules/@octokit/types": { - "version": "9.2.0", - "resolved": "https://registry.npmjs.org/@octokit/types/-/types-9.2.0.tgz", - "integrity": "sha512-xySzJG4noWrIBFyMu4lg4tu9vAgNg9S0aoLRONhAEz6ueyi1evBzb40HitIosaYS4XOexphG305IVcLrIX/30g==", - "dev": true, - "dependencies": { - "@octokit/openapi-types": "^17.1.0" - } - }, - "node_modules/@pnpm/config.env-replace": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/@pnpm/config.env-replace/-/config.env-replace-1.1.0.tgz", - "integrity": "sha512-htyl8TWnKL7K/ESFa1oW2UB5lVDxuF5DpM7tBi6Hu2LNL3mWkIzNLG6N4zoCUP1lCKNxWy/3iu8mS8MvToGd6w==", - "dev": true, - "engines": { - "node": ">=12.22.0" - } - }, - "node_modules/@pnpm/network.ca-file": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/@pnpm/network.ca-file/-/network.ca-file-1.0.2.tgz", - "integrity": "sha512-YcPQ8a0jwYU9bTdJDpXjMi7Brhkr1mXsXrUJvjqM2mQDgkRiz8jFaQGOdaLxgjtUfQgZhKy/O3cG/YwmgKaxLA==", - "dev": true, - "dependencies": { - "graceful-fs": "4.2.10" - }, - "engines": { - "node": ">=12.22.0" - } - }, - "node_modules/@pnpm/network.ca-file/node_modules/graceful-fs": { - "version": "4.2.10", - "resolved": "https://registry.npmjs.org/graceful-fs/-/graceful-fs-4.2.10.tgz", - "integrity": "sha512-9ByhssR2fPVsNZj478qUUbKfmL0+t5BDVyjShtyZZLiK7ZDAArFFfopyOTj0M05wE2tJPisA4iTnnXl2YoPvOA==", - "dev": true - }, - "node_modules/@pnpm/npm-conf": { - "version": "2.2.0", - "resolved": "https://registry.npmjs.org/@pnpm/npm-conf/-/npm-conf-2.2.0.tgz", - "integrity": "sha512-roLI1ul/GwzwcfcVpZYPdrgW2W/drLriObl1h+yLF5syc8/5ULWw2ALbCHUWF+4YltIqA3xFSbG4IwyJz37e9g==", - "dev": true, - "dependencies": { - "@pnpm/config.env-replace": "^1.1.0", - "@pnpm/network.ca-file": "^1.0.1", - "config-chain": "^1.1.11" - }, - "engines": { - "node": ">=12" - } - }, - "node_modules/@sinclair/typebox": { - "version": "0.25.24", - "resolved": "https://registry.npmjs.org/@sinclair/typebox/-/typebox-0.25.24.tgz", - "integrity": "sha512-XJfwUVUKDHF5ugKwIcxEgc9k8b7HbznCp6eUfWgu710hMPNIO4aw4/zB5RogDQz8nd6gyCDpU9O/m6qYEWY6yQ==", - "dev": true - }, - "node_modules/@sindresorhus/is": { - "version": "5.3.0", - "resolved": "https://registry.npmjs.org/@sindresorhus/is/-/is-5.3.0.tgz", - "integrity": "sha512-CX6t4SYQ37lzxicAqsBtxA3OseeoVrh9cSJ5PFYam0GksYlupRfy1A+Q4aYD3zvcfECLc0zO2u+ZnR2UYKvCrw==", - "dev": true, - "engines": { - "node": ">=14.16" - }, - "funding": { - "url": "https://github.com/sindresorhus/is?sponsor=1" - } - }, - "node_modules/@sinonjs/commons": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/@sinonjs/commons/-/commons-2.0.0.tgz", - "integrity": "sha512-uLa0j859mMrg2slwQYdO/AkrOfmH+X6LTVmNTS9CqexuE2IvVORIkSpJLqePAbEnKJ77aMmCwr1NUZ57120Xcg==", - "dev": true, - "dependencies": { - "type-detect": "4.0.8" - } - }, - "node_modules/@sinonjs/fake-timers": { - "version": "10.0.2", - "resolved": "https://registry.npmjs.org/@sinonjs/fake-timers/-/fake-timers-10.0.2.tgz", - "integrity": "sha512-SwUDyjWnah1AaNl7kxsa7cfLhlTYoiyhDAIgyh+El30YvXs/o7OLXpYH88Zdhyx9JExKrmHDJ+10bwIcY80Jmw==", - "dev": true, - "dependencies": { - "@sinonjs/commons": "^2.0.0" - } - }, - "node_modules/@szmarczak/http-timer": { - "version": "5.0.1", - "resolved": "https://registry.npmjs.org/@szmarczak/http-timer/-/http-timer-5.0.1.tgz", - "integrity": "sha512-+PmQX0PiAYPMeVYe237LJAYvOMYW1j2rH5YROyS3b4CTVJum34HfRvKvAzozHAQG0TnHNdUfY9nCeUyRAs//cw==", - "dev": true, - "dependencies": { - "defer-to-connect": "^2.0.1" - }, - "engines": { - "node": ">=14.16" - } - }, - "node_modules/@tootallnate/once": { - "version": "1.1.2", - "resolved": "https://registry.npmjs.org/@tootallnate/once/-/once-1.1.2.tgz", - "integrity": "sha512-RbzJvlNzmRq5c3O09UipeuXno4tA1FE6ikOjxZK0tuxVv3412l64l5t1W5pj4+rJq9vpkm/kwiR07aZXnsKPxw==", - "dev": true, - "engines": { - "node": ">= 6" - } - }, - "node_modules/@tsconfig/node10": { - "version": "1.0.9", - "resolved": "https://registry.npmjs.org/@tsconfig/node10/-/node10-1.0.9.tgz", - "integrity": "sha512-jNsYVVxU8v5g43Erja32laIDHXeoNvFEpX33OK4d6hljo3jDhCBDhx5dhCCTMWUojscpAagGiRkBKxpdl9fxqA==", - "dev": true - }, - "node_modules/@tsconfig/node12": { - "version": "1.0.11", - "resolved": "https://registry.npmjs.org/@tsconfig/node12/-/node12-1.0.11.tgz", - "integrity": "sha512-cqefuRsh12pWyGsIoBKJA9luFu3mRxCA+ORZvA4ktLSzIuCUtWVxGIuXigEwO5/ywWFMZ2QEGKWvkZG1zDMTag==", - "dev": true - }, - "node_modules/@tsconfig/node14": { - "version": "1.0.3", - "resolved": "https://registry.npmjs.org/@tsconfig/node14/-/node14-1.0.3.tgz", - "integrity": "sha512-ysT8mhdixWK6Hw3i1V2AeRqZ5WfXg1G43mqoYlM2nc6388Fq5jcXyr5mRsqViLx/GJYdoL0bfXD8nmF+Zn/Iow==", - "dev": true - }, - "node_modules/@tsconfig/node16": { - "version": "1.0.3", - "resolved": "https://registry.npmjs.org/@tsconfig/node16/-/node16-1.0.3.tgz", - "integrity": "sha512-yOlFc+7UtL/89t2ZhjPvvB/DeAr3r+Dq58IgzsFkOAvVC6NMJXmCGjbptdXdR9qsX7pKcTL+s87FtYREi2dEEQ==", - "dev": true - }, - "node_modules/@types/babel__core": { - "version": "7.20.0", - "resolved": "https://registry.npmjs.org/@types/babel__core/-/babel__core-7.20.0.tgz", - "integrity": "sha512-+n8dL/9GWblDO0iU6eZAwEIJVr5DWigtle+Q6HLOrh/pdbXOhOtqzq8VPPE2zvNJzSKY4vH/z3iT3tn0A3ypiQ==", - "dev": true, - "dependencies": { - "@babel/parser": "^7.20.7", - "@babel/types": "^7.20.7", - "@types/babel__generator": "*", - "@types/babel__template": "*", - "@types/babel__traverse": "*" - } - }, - "node_modules/@types/babel__generator": { - "version": "7.6.4", - "resolved": "https://registry.npmjs.org/@types/babel__generator/-/babel__generator-7.6.4.tgz", - "integrity": "sha512-tFkciB9j2K755yrTALxD44McOrk+gfpIpvC3sxHjRawj6PfnQxrse4Clq5y/Rq+G3mrBurMax/lG8Qn2t9mSsg==", - "dev": true, - "dependencies": { - "@babel/types": "^7.0.0" - } - }, - "node_modules/@types/babel__template": { - "version": "7.4.1", - "resolved": "https://registry.npmjs.org/@types/babel__template/-/babel__template-7.4.1.tgz", - "integrity": "sha512-azBFKemX6kMg5Io+/rdGT0dkGreboUVR0Cdm3fz9QJWpaQGJRQXl7C+6hOTCZcMll7KFyEQpgbYI2lHdsS4U7g==", - "dev": true, - "dependencies": { - "@babel/parser": "^7.1.0", - "@babel/types": "^7.0.0" - } - }, - "node_modules/@types/babel__traverse": { - "version": "7.18.5", - "resolved": "https://registry.npmjs.org/@types/babel__traverse/-/babel__traverse-7.18.5.tgz", - "integrity": "sha512-enCvTL8m/EHS/zIvJno9nE+ndYPh1/oNFzRYRmtUqJICG2VnCSBzMLW5VN2KCQU91f23tsNKR8v7VJJQMatl7Q==", - "dev": true, - "dependencies": { - "@babel/types": "^7.3.0" - } - }, - "node_modules/@types/eslint": { - "version": "8.37.0", - "resolved": "https://registry.npmjs.org/@types/eslint/-/eslint-8.37.0.tgz", - "integrity": "sha512-Piet7dG2JBuDIfohBngQ3rCt7MgO9xCO4xIMKxBThCq5PNRB91IjlJ10eJVwfoNtvTErmxLzwBZ7rHZtbOMmFQ==", - "dependencies": { - "@types/estree": "*", - "@types/json-schema": "*" - } - }, - "node_modules/@types/eslint-scope": { - "version": "3.7.4", - "resolved": "https://registry.npmjs.org/@types/eslint-scope/-/eslint-scope-3.7.4.tgz", - "integrity": "sha512-9K4zoImiZc3HlIp6AVUDE4CWYx22a+lhSZMYNpbjW04+YF0KWj4pJXnEMjdnFTiQibFFmElcsasJXDbdI/EPhA==", - "dependencies": { - "@types/eslint": "*", - "@types/estree": "*" - } - }, - "node_modules/@types/estree": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/@types/estree/-/estree-1.0.1.tgz", - "integrity": "sha512-LG4opVs2ANWZ1TJoKc937iMmNstM/d0ae1vNbnBvBhqCSezgVUOzcLCqbI5elV8Vy6WKwKjaqR+zO9VKirBBCA==" - }, - "node_modules/@types/glob": { - "version": "7.2.0", - "resolved": "https://registry.npmjs.org/@types/glob/-/glob-7.2.0.tgz", - "integrity": "sha512-ZUxbzKl0IfJILTS6t7ip5fQQM/J3TJYubDm3nMbgubNNYS62eXeUpoLUC8/7fJNiFYHTrGPQn7hspDUzIHX3UA==", - "dev": true, - "dependencies": { - "@types/minimatch": "*", - "@types/node": "*" - } - }, - "node_modules/@types/graceful-fs": { - "version": "4.1.6", - "resolved": "https://registry.npmjs.org/@types/graceful-fs/-/graceful-fs-4.1.6.tgz", - "integrity": "sha512-Sig0SNORX9fdW+bQuTEovKj3uHcUL6LQKbCrrqb1X7J6/ReAbhCXRAhc+SMejhLELFj2QcyuxmUooZ4bt5ReSw==", - "dev": true, - "dependencies": { - "@types/node": "*" - } - }, - "node_modules/@types/http-cache-semantics": { - "version": "4.0.1", - "resolved": "https://registry.npmjs.org/@types/http-cache-semantics/-/http-cache-semantics-4.0.1.tgz", - "integrity": "sha512-SZs7ekbP8CN0txVG2xVRH6EgKmEm31BOxA07vkFaETzZz1xh+cbt8BcI0slpymvwhx5dlFnQG2rTlPVQn+iRPQ==", - "dev": true - }, - "node_modules/@types/inquirer": { - "version": "8.2.6", - "resolved": "https://registry.npmjs.org/@types/inquirer/-/inquirer-8.2.6.tgz", - "integrity": "sha512-3uT88kxg8lNzY8ay2ZjP44DKcRaTGztqeIvN2zHvhzIBH/uAPaL75aBtdNRKbA7xXoMbBt5kX0M00VKAnfOYlA==", - "dev": true, - "dependencies": { - "@types/through": "*", - "rxjs": "^7.2.0" - } - }, - "node_modules/@types/inquirer/node_modules/rxjs": { - "version": "7.8.1", - "resolved": "https://registry.npmjs.org/rxjs/-/rxjs-7.8.1.tgz", - "integrity": "sha512-AA3TVj+0A2iuIoQkWEK/tqFjBq2j+6PO6Y0zJcvzLAFhEFIO3HL0vls9hWLncZbAAbK0mar7oZ4V079I/qPMxg==", - "dev": true, - "dependencies": { - "tslib": "^2.1.0" - } - }, - "node_modules/@types/istanbul-lib-coverage": { - "version": "2.0.4", - "resolved": "https://registry.npmjs.org/@types/istanbul-lib-coverage/-/istanbul-lib-coverage-2.0.4.tgz", - "integrity": "sha512-z/QT1XN4K4KYuslS23k62yDIDLwLFkzxOuMplDtObz0+y7VqJCaO2o+SPwHCvLFZh7xazvvoor2tA/hPz9ee7g==", - "dev": true - }, - "node_modules/@types/istanbul-lib-report": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/@types/istanbul-lib-report/-/istanbul-lib-report-3.0.0.tgz", - "integrity": "sha512-plGgXAPfVKFoYfa9NpYDAkseG+g6Jr294RqeqcqDixSbU34MZVJRi/P+7Y8GDpzkEwLaGZZOpKIEmeVZNtKsrg==", - "dev": true, - "dependencies": { - "@types/istanbul-lib-coverage": "*" - } - }, - "node_modules/@types/istanbul-reports": { - "version": "3.0.1", - "resolved": "https://registry.npmjs.org/@types/istanbul-reports/-/istanbul-reports-3.0.1.tgz", - "integrity": "sha512-c3mAZEuK0lvBp8tmuL74XRKn1+y2dcwOUpH7x4WrF6gk1GIgiluDRgMYQtw2OFcBvAJWlt6ASU3tSqxp0Uu0Aw==", - "dev": true, - "dependencies": { - "@types/istanbul-lib-report": "*" - } - }, - "node_modules/@types/jest": { - "version": "29.5.1", - "resolved": "https://registry.npmjs.org/@types/jest/-/jest-29.5.1.tgz", - "integrity": "sha512-tEuVcHrpaixS36w7hpsfLBLpjtMRJUE09/MHXn923LOVojDwyC14cWcfc0rDs0VEfUyYmt/+iX1kxxp+gZMcaQ==", - "dev": true, - "dependencies": { - "expect": "^29.0.0", - "pretty-format": "^29.0.0" - } - }, - "node_modules/@types/json-schema": { - "version": "7.0.11", - "resolved": "https://registry.npmjs.org/@types/json-schema/-/json-schema-7.0.11.tgz", - "integrity": "sha512-wOuvG1SN4Us4rez+tylwwwCV1psiNVOkJeM3AUWUNWg/jDQY2+HE/444y5gc+jBmRqASOm2Oeh5c1axHobwRKQ==" - }, - "node_modules/@types/minimatch": { - "version": "5.1.2", - "resolved": "https://registry.npmjs.org/@types/minimatch/-/minimatch-5.1.2.tgz", - "integrity": "sha512-K0VQKziLUWkVKiRVrx4a40iPaxTUefQmjtkQofBkYRcoaaL/8rhwDWww9qWbrgicNOgnpIsMxyNIUM4+n6dUIA==", - "dev": true - }, - "node_modules/@types/minimist": { - "version": "1.2.2", - "resolved": "https://registry.npmjs.org/@types/minimist/-/minimist-1.2.2.tgz", - "integrity": "sha512-jhuKLIRrhvCPLqwPcx6INqmKeiA5EWrsCOPhrlFSrbrmU4ZMPjj5Ul/oLCMDO98XRUIwVm78xICz4EPCektzeQ==", - "dev": true - }, - "node_modules/@types/node": { - "version": "18.16.0", - "resolved": "https://registry.npmjs.org/@types/node/-/node-18.16.0.tgz", - "integrity": "sha512-BsAaKhB+7X+H4GnSjGhJG9Qi8Tw+inU9nJDwmD5CgOmBLEI6ArdhikpLX7DjbjDRDTbqZzU2LSQNZg8WGPiSZQ==" - }, - "node_modules/@types/node-emoji": { - "version": "1.8.2", - "resolved": "https://registry.npmjs.org/@types/node-emoji/-/node-emoji-1.8.2.tgz", - "integrity": "sha512-PfF1qL/9veo8BSHLV84C9ORNr3lHSlnWJ6yU8OdNufoftajeWHTLVbGHvp2B7e7DPDS9gMs6cfeSsqo5rqSitg==", - "dev": true - }, - "node_modules/@types/normalize-package-data": { - "version": "2.4.1", - "resolved": "https://registry.npmjs.org/@types/normalize-package-data/-/normalize-package-data-2.4.1.tgz", - "integrity": "sha512-Gj7cI7z+98M282Tqmp2K5EIsoouUEzbBJhQQzDE3jSIRk6r9gsz0oUokqIUR4u1R3dMHo0pDHM7sNOHyhulypw==", - "dev": true - }, - "node_modules/@types/parse-json": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/@types/parse-json/-/parse-json-4.0.0.tgz", - "integrity": "sha512-//oorEZjL6sbPcKUaCdIGlIUeH26mgzimjBB77G6XRgnDl/L5wOnpyBGRe/Mmf5CVW3PwEBE1NjiMZ/ssFh4wA==" - }, - "node_modules/@types/prettier": { - "version": "2.7.2", - "resolved": "https://registry.npmjs.org/@types/prettier/-/prettier-2.7.2.tgz", - "integrity": "sha512-KufADq8uQqo1pYKVIYzfKbJfBAc0sOeXqGbFaSpv8MRmC/zXgowNZmFcbngndGk922QDmOASEXUZCaY48gs4cg==", - "dev": true - }, - "node_modules/@types/semver": { - "version": "7.3.13", - "resolved": "https://registry.npmjs.org/@types/semver/-/semver-7.3.13.tgz", - "integrity": "sha512-21cFJr9z3g5dW8B0CVI9g2O9beqaThGQ6ZFBqHfwhzLDKUxaqTIy3vnfah/UPkfOiF2pLq+tGz+W8RyCskuslw==", - "dev": true - }, - "node_modules/@types/shelljs": { - "version": "0.8.12", - "resolved": "https://registry.npmjs.org/@types/shelljs/-/shelljs-0.8.12.tgz", - "integrity": "sha512-ZA8U81/gldY+rR5zl/7HSHrG2KDfEb3lzG6uCUDhW1DTQE9yC/VBQ45fXnXq8f3CgInfhZmjtdu/WOUlrXRQUg==", - "dev": true, - "dependencies": { - "@types/glob": "~7.2.0", - "@types/node": "*" - } - }, - "node_modules/@types/stack-utils": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/@types/stack-utils/-/stack-utils-2.0.1.tgz", - "integrity": "sha512-Hl219/BT5fLAaz6NDkSuhzasy49dwQS/DSdu4MdggFB8zcXv7vflBI3xp7FEmkmdDkBUI2bPUNeMttp2knYdxw==", - "dev": true - }, - "node_modules/@types/through": { - "version": "0.0.30", - "resolved": "https://registry.npmjs.org/@types/through/-/through-0.0.30.tgz", - "integrity": "sha512-FvnCJljyxhPM3gkRgWmxmDZyAQSiBQQWLI0A0VFL0K7W1oRUrPJSqNO0NvTnLkBcotdlp3lKvaT0JrnyRDkzOg==", - "dev": true, - "dependencies": { - "@types/node": "*" - } - }, - "node_modules/@types/webpack-node-externals": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/@types/webpack-node-externals/-/webpack-node-externals-3.0.0.tgz", - "integrity": "sha512-Z3ELJiH0aZjxkoymT2nrGSmCF/CYjiqC0bpv4/DWy9h7e6gP4B2qmKZFHJFermeF0SYURbSw0puddQl9dMMV0w==", - "dev": true, - "dependencies": { - "@types/node": "*", - "webpack": "^5" - } - }, - "node_modules/@types/yargs": { - "version": "17.0.24", - "resolved": "https://registry.npmjs.org/@types/yargs/-/yargs-17.0.24.tgz", - "integrity": "sha512-6i0aC7jV6QzQB8ne1joVZ0eSFIstHsCrobmOtghM11yGlH0j43FKL2UhWdELkyps0zuf7qVTUVCCR+tgSlyLLw==", - "dev": true, - "dependencies": { - "@types/yargs-parser": "*" - } - }, - "node_modules/@types/yargs-parser": { - "version": "21.0.0", - "resolved": "https://registry.npmjs.org/@types/yargs-parser/-/yargs-parser-21.0.0.tgz", - "integrity": "sha512-iO9ZQHkZxHn4mSakYV0vFHAVDyEOIJQrV2uZ06HxEPcx+mt8swXoZHIbaaJ2crJYFfErySgktuTZ3BeLz+XmFA==", - "dev": true - }, - "node_modules/@typescript-eslint/eslint-plugin": { - "version": "5.59.0", - "resolved": "https://registry.npmjs.org/@typescript-eslint/eslint-plugin/-/eslint-plugin-5.59.0.tgz", - "integrity": "sha512-p0QgrEyrxAWBecR56gyn3wkG15TJdI//eetInP3zYRewDh0XS+DhB3VUAd3QqvziFsfaQIoIuZMxZRB7vXYaYw==", - "dev": true, - "dependencies": { - "@eslint-community/regexpp": "^4.4.0", - "@typescript-eslint/scope-manager": "5.59.0", - "@typescript-eslint/type-utils": "5.59.0", - "@typescript-eslint/utils": "5.59.0", - "debug": "^4.3.4", - "grapheme-splitter": "^1.0.4", - "ignore": "^5.2.0", - "natural-compare-lite": "^1.4.0", - "semver": "^7.3.7", - "tsutils": "^3.21.0" - }, - "engines": { - "node": "^12.22.0 || ^14.17.0 || >=16.0.0" - }, - "funding": { - "type": "opencollective", - "url": "https://opencollective.com/typescript-eslint" - }, - "peerDependencies": { - "@typescript-eslint/parser": "^5.0.0", - "eslint": "^6.0.0 || ^7.0.0 || ^8.0.0" - }, - "peerDependenciesMeta": { - "typescript": { - "optional": true - } - } - }, - "node_modules/@typescript-eslint/parser": { - "version": "5.59.0", - "resolved": "https://registry.npmjs.org/@typescript-eslint/parser/-/parser-5.59.0.tgz", - "integrity": "sha512-qK9TZ70eJtjojSUMrrEwA9ZDQ4N0e/AuoOIgXuNBorXYcBDk397D2r5MIe1B3cok/oCtdNC5j+lUUpVB+Dpb+w==", - "dev": true, - "dependencies": { - "@typescript-eslint/scope-manager": "5.59.0", - "@typescript-eslint/types": "5.59.0", - "@typescript-eslint/typescript-estree": "5.59.0", - "debug": "^4.3.4" - }, - "engines": { - "node": "^12.22.0 || ^14.17.0 || >=16.0.0" - }, - "funding": { - "type": "opencollective", - "url": "https://opencollective.com/typescript-eslint" - }, - "peerDependencies": { - "eslint": "^6.0.0 || ^7.0.0 || ^8.0.0" - }, - "peerDependenciesMeta": { - "typescript": { - "optional": true - } - } - }, - "node_modules/@typescript-eslint/scope-manager": { - "version": "5.59.0", - "resolved": "https://registry.npmjs.org/@typescript-eslint/scope-manager/-/scope-manager-5.59.0.tgz", - "integrity": "sha512-tsoldKaMh7izN6BvkK6zRMINj4Z2d6gGhO2UsI8zGZY3XhLq1DndP3Ycjhi1JwdwPRwtLMW4EFPgpuKhbCGOvQ==", - "dev": true, - "dependencies": { - "@typescript-eslint/types": "5.59.0", - "@typescript-eslint/visitor-keys": "5.59.0" - }, - "engines": { - "node": "^12.22.0 || ^14.17.0 || >=16.0.0" - }, - "funding": { - "type": "opencollective", - "url": "https://opencollective.com/typescript-eslint" - } - }, - "node_modules/@typescript-eslint/type-utils": { - "version": "5.59.0", - "resolved": "https://registry.npmjs.org/@typescript-eslint/type-utils/-/type-utils-5.59.0.tgz", - "integrity": "sha512-d/B6VSWnZwu70kcKQSCqjcXpVH+7ABKH8P1KNn4K7j5PXXuycZTPXF44Nui0TEm6rbWGi8kc78xRgOC4n7xFgA==", - "dev": true, - "dependencies": { - "@typescript-eslint/typescript-estree": "5.59.0", - "@typescript-eslint/utils": "5.59.0", - "debug": "^4.3.4", - "tsutils": "^3.21.0" - }, - "engines": { - "node": "^12.22.0 || ^14.17.0 || >=16.0.0" - }, - "funding": { - "type": "opencollective", - "url": "https://opencollective.com/typescript-eslint" - }, - "peerDependencies": { - "eslint": "*" - }, - "peerDependenciesMeta": { - "typescript": { - "optional": true - } - } - }, - "node_modules/@typescript-eslint/types": { - "version": "5.59.0", - "resolved": "https://registry.npmjs.org/@typescript-eslint/types/-/types-5.59.0.tgz", - "integrity": "sha512-yR2h1NotF23xFFYKHZs17QJnB51J/s+ud4PYU4MqdZbzeNxpgUr05+dNeCN/bb6raslHvGdd6BFCkVhpPk/ZeA==", - "dev": true, - "engines": { - "node": "^12.22.0 || ^14.17.0 || >=16.0.0" - }, - "funding": { - "type": "opencollective", - "url": "https://opencollective.com/typescript-eslint" - } - }, - "node_modules/@typescript-eslint/typescript-estree": { - "version": "5.59.0", - "resolved": "https://registry.npmjs.org/@typescript-eslint/typescript-estree/-/typescript-estree-5.59.0.tgz", - "integrity": "sha512-sUNnktjmI8DyGzPdZ8dRwW741zopGxltGs/SAPgGL/AAgDpiLsCFLcMNSpbfXfmnNeHmK9h3wGmCkGRGAoUZAg==", - "dev": true, - "dependencies": { - "@typescript-eslint/types": "5.59.0", - "@typescript-eslint/visitor-keys": "5.59.0", - "debug": "^4.3.4", - "globby": "^11.1.0", - "is-glob": "^4.0.3", - "semver": "^7.3.7", - "tsutils": "^3.21.0" - }, - "engines": { - "node": "^12.22.0 || ^14.17.0 || >=16.0.0" - }, - "funding": { - "type": "opencollective", - "url": "https://opencollective.com/typescript-eslint" - }, - "peerDependenciesMeta": { - "typescript": { - "optional": true - } - } - }, - "node_modules/@typescript-eslint/utils": { - "version": "5.59.0", - "resolved": "https://registry.npmjs.org/@typescript-eslint/utils/-/utils-5.59.0.tgz", - "integrity": "sha512-GGLFd+86drlHSvPgN/el6dRQNYYGOvRSDVydsUaQluwIW3HvbXuxyuD5JETvBt/9qGYe+lOrDk6gRrWOHb/FvA==", - "dev": true, - "dependencies": { - "@eslint-community/eslint-utils": "^4.2.0", - "@types/json-schema": "^7.0.9", - "@types/semver": "^7.3.12", - "@typescript-eslint/scope-manager": "5.59.0", - "@typescript-eslint/types": "5.59.0", - "@typescript-eslint/typescript-estree": "5.59.0", - "eslint-scope": "^5.1.1", - "semver": "^7.3.7" - }, - "engines": { - "node": "^12.22.0 || ^14.17.0 || >=16.0.0" - }, - "funding": { - "type": "opencollective", - "url": "https://opencollective.com/typescript-eslint" - }, - "peerDependencies": { - "eslint": "^6.0.0 || ^7.0.0 || ^8.0.0" - } - }, - "node_modules/@typescript-eslint/visitor-keys": { - "version": "5.59.0", - "resolved": "https://registry.npmjs.org/@typescript-eslint/visitor-keys/-/visitor-keys-5.59.0.tgz", - "integrity": "sha512-qZ3iXxQhanchCeaExlKPV3gDQFxMUmU35xfd5eCXB6+kUw1TUAbIy2n7QIrwz9s98DQLzNWyHp61fY0da4ZcbA==", - "dev": true, - "dependencies": { - "@typescript-eslint/types": "5.59.0", - "eslint-visitor-keys": "^3.3.0" - }, - "engines": { - "node": "^12.22.0 || ^14.17.0 || >=16.0.0" - }, - "funding": { - "type": "opencollective", - "url": "https://opencollective.com/typescript-eslint" - } - }, - "node_modules/@webassemblyjs/ast": { - "version": "1.11.5", - "resolved": "https://registry.npmjs.org/@webassemblyjs/ast/-/ast-1.11.5.tgz", - "integrity": "sha512-LHY/GSAZZRpsNQH+/oHqhRQ5FT7eoULcBqgfyTB5nQHogFnK3/7QoN7dLnwSE/JkUAF0SrRuclT7ODqMFtWxxQ==", - "dependencies": { - "@webassemblyjs/helper-numbers": "1.11.5", - "@webassemblyjs/helper-wasm-bytecode": "1.11.5" - } - }, - "node_modules/@webassemblyjs/floating-point-hex-parser": { - "version": "1.11.5", - "resolved": "https://registry.npmjs.org/@webassemblyjs/floating-point-hex-parser/-/floating-point-hex-parser-1.11.5.tgz", - "integrity": "sha512-1j1zTIC5EZOtCplMBG/IEwLtUojtwFVwdyVMbL/hwWqbzlQoJsWCOavrdnLkemwNoC/EOwtUFch3fuo+cbcXYQ==" - }, - "node_modules/@webassemblyjs/helper-api-error": { - "version": "1.11.5", - "resolved": "https://registry.npmjs.org/@webassemblyjs/helper-api-error/-/helper-api-error-1.11.5.tgz", - "integrity": "sha512-L65bDPmfpY0+yFrsgz8b6LhXmbbs38OnwDCf6NpnMUYqa+ENfE5Dq9E42ny0qz/PdR0LJyq/T5YijPnU8AXEpA==" - }, - "node_modules/@webassemblyjs/helper-buffer": { - "version": "1.11.5", - "resolved": "https://registry.npmjs.org/@webassemblyjs/helper-buffer/-/helper-buffer-1.11.5.tgz", - "integrity": "sha512-fDKo1gstwFFSfacIeH5KfwzjykIE6ldh1iH9Y/8YkAZrhmu4TctqYjSh7t0K2VyDSXOZJ1MLhht/k9IvYGcIxg==" - }, - "node_modules/@webassemblyjs/helper-numbers": { - "version": "1.11.5", - "resolved": "https://registry.npmjs.org/@webassemblyjs/helper-numbers/-/helper-numbers-1.11.5.tgz", - "integrity": "sha512-DhykHXM0ZABqfIGYNv93A5KKDw/+ywBFnuWybZZWcuzWHfbp21wUfRkbtz7dMGwGgT4iXjWuhRMA2Mzod6W4WA==", - "dependencies": { - "@webassemblyjs/floating-point-hex-parser": "1.11.5", - "@webassemblyjs/helper-api-error": "1.11.5", - "@xtuc/long": "4.2.2" - } - }, - "node_modules/@webassemblyjs/helper-wasm-bytecode": { - "version": "1.11.5", - "resolved": "https://registry.npmjs.org/@webassemblyjs/helper-wasm-bytecode/-/helper-wasm-bytecode-1.11.5.tgz", - "integrity": "sha512-oC4Qa0bNcqnjAowFn7MPCETQgDYytpsfvz4ujZz63Zu/a/v71HeCAAmZsgZ3YVKec3zSPYytG3/PrRCqbtcAvA==" - }, - "node_modules/@webassemblyjs/helper-wasm-section": { - "version": "1.11.5", - "resolved": "https://registry.npmjs.org/@webassemblyjs/helper-wasm-section/-/helper-wasm-section-1.11.5.tgz", - "integrity": "sha512-uEoThA1LN2NA+K3B9wDo3yKlBfVtC6rh0i4/6hvbz071E8gTNZD/pT0MsBf7MeD6KbApMSkaAK0XeKyOZC7CIA==", - "dependencies": { - "@webassemblyjs/ast": "1.11.5", - "@webassemblyjs/helper-buffer": "1.11.5", - "@webassemblyjs/helper-wasm-bytecode": "1.11.5", - "@webassemblyjs/wasm-gen": "1.11.5" - } - }, - "node_modules/@webassemblyjs/ieee754": { - "version": "1.11.5", - "resolved": "https://registry.npmjs.org/@webassemblyjs/ieee754/-/ieee754-1.11.5.tgz", - "integrity": "sha512-37aGq6qVL8A8oPbPrSGMBcp38YZFXcHfiROflJn9jxSdSMMM5dS5P/9e2/TpaJuhE+wFrbukN2WI6Hw9MH5acg==", - "dependencies": { - "@xtuc/ieee754": "^1.2.0" - } - }, - "node_modules/@webassemblyjs/leb128": { - "version": "1.11.5", - "resolved": "https://registry.npmjs.org/@webassemblyjs/leb128/-/leb128-1.11.5.tgz", - "integrity": "sha512-ajqrRSXaTJoPW+xmkfYN6l8VIeNnR4vBOTQO9HzR7IygoCcKWkICbKFbVTNMjMgMREqXEr0+2M6zukzM47ZUfQ==", - "dependencies": { - "@xtuc/long": "4.2.2" - } - }, - "node_modules/@webassemblyjs/utf8": { - "version": "1.11.5", - "resolved": "https://registry.npmjs.org/@webassemblyjs/utf8/-/utf8-1.11.5.tgz", - "integrity": "sha512-WiOhulHKTZU5UPlRl53gHR8OxdGsSOxqfpqWeA2FmcwBMaoEdz6b2x2si3IwC9/fSPLfe8pBMRTHVMk5nlwnFQ==" - }, - "node_modules/@webassemblyjs/wasm-edit": { - "version": "1.11.5", - "resolved": "https://registry.npmjs.org/@webassemblyjs/wasm-edit/-/wasm-edit-1.11.5.tgz", - "integrity": "sha512-C0p9D2fAu3Twwqvygvf42iGCQ4av8MFBLiTb+08SZ4cEdwzWx9QeAHDo1E2k+9s/0w1DM40oflJOpkZ8jW4HCQ==", - "dependencies": { - "@webassemblyjs/ast": "1.11.5", - "@webassemblyjs/helper-buffer": "1.11.5", - "@webassemblyjs/helper-wasm-bytecode": "1.11.5", - "@webassemblyjs/helper-wasm-section": "1.11.5", - "@webassemblyjs/wasm-gen": "1.11.5", - "@webassemblyjs/wasm-opt": "1.11.5", - "@webassemblyjs/wasm-parser": "1.11.5", - "@webassemblyjs/wast-printer": "1.11.5" - } - }, - "node_modules/@webassemblyjs/wasm-gen": { - "version": "1.11.5", - "resolved": "https://registry.npmjs.org/@webassemblyjs/wasm-gen/-/wasm-gen-1.11.5.tgz", - "integrity": "sha512-14vteRlRjxLK9eSyYFvw1K8Vv+iPdZU0Aebk3j6oB8TQiQYuO6hj9s4d7qf6f2HJr2khzvNldAFG13CgdkAIfA==", - "dependencies": { - "@webassemblyjs/ast": "1.11.5", - "@webassemblyjs/helper-wasm-bytecode": "1.11.5", - "@webassemblyjs/ieee754": "1.11.5", - "@webassemblyjs/leb128": "1.11.5", - "@webassemblyjs/utf8": "1.11.5" - } - }, - "node_modules/@webassemblyjs/wasm-opt": { - "version": "1.11.5", - "resolved": "https://registry.npmjs.org/@webassemblyjs/wasm-opt/-/wasm-opt-1.11.5.tgz", - "integrity": "sha512-tcKwlIXstBQgbKy1MlbDMlXaxpucn42eb17H29rawYLxm5+MsEmgPzeCP8B1Cl69hCice8LeKgZpRUAPtqYPgw==", - "dependencies": { - "@webassemblyjs/ast": "1.11.5", - "@webassemblyjs/helper-buffer": "1.11.5", - "@webassemblyjs/wasm-gen": "1.11.5", - "@webassemblyjs/wasm-parser": "1.11.5" - } - }, - "node_modules/@webassemblyjs/wasm-parser": { - "version": "1.11.5", - "resolved": "https://registry.npmjs.org/@webassemblyjs/wasm-parser/-/wasm-parser-1.11.5.tgz", - "integrity": "sha512-SVXUIwsLQlc8srSD7jejsfTU83g7pIGr2YYNb9oHdtldSxaOhvA5xwvIiWIfcX8PlSakgqMXsLpLfbbJ4cBYew==", - "dependencies": { - "@webassemblyjs/ast": "1.11.5", - "@webassemblyjs/helper-api-error": "1.11.5", - "@webassemblyjs/helper-wasm-bytecode": "1.11.5", - "@webassemblyjs/ieee754": "1.11.5", - "@webassemblyjs/leb128": "1.11.5", - "@webassemblyjs/utf8": "1.11.5" - } - }, - "node_modules/@webassemblyjs/wast-printer": { - "version": "1.11.5", - "resolved": "https://registry.npmjs.org/@webassemblyjs/wast-printer/-/wast-printer-1.11.5.tgz", - "integrity": "sha512-f7Pq3wvg3GSPUPzR0F6bmI89Hdb+u9WXrSKc4v+N0aV0q6r42WoF92Jp2jEorBEBRoRNXgjp53nBniDXcqZYPA==", - "dependencies": { - "@webassemblyjs/ast": "1.11.5", - "@xtuc/long": "4.2.2" - } - }, - "node_modules/@xtuc/ieee754": { - "version": "1.2.0", - "resolved": "https://registry.npmjs.org/@xtuc/ieee754/-/ieee754-1.2.0.tgz", - "integrity": "sha512-DX8nKgqcGwsc0eJSqYt5lwP4DH5FlHnmuWWBRy7X0NcaGR0ZtuyeESgMwTYVEtxmsNGY+qit4QYT/MIYTOTPeA==" - }, - "node_modules/@xtuc/long": { - "version": "4.2.2", - "resolved": "https://registry.npmjs.org/@xtuc/long/-/long-4.2.2.tgz", - "integrity": "sha512-NuHqBY1PB/D8xU6s/thBgOAiAP7HOYDQ32+BFZILJ8ivkUkAHQnWfn6WhL79Owj1qmUnoN/YPhktdIoucipkAQ==" - }, - "node_modules/acorn": { - "version": "8.8.2", - "resolved": "https://registry.npmjs.org/acorn/-/acorn-8.8.2.tgz", - "integrity": "sha512-xjIYgE8HBrkpd/sJqOGNspf8uHG+NOHGOw6a/Urj8taM2EXfdNAH2oFcPeIFfsv3+kz/mJrS5VuMqbNLjCa2vw==", - "bin": { - "acorn": "bin/acorn" - }, - "engines": { - "node": ">=0.4.0" - } - }, - "node_modules/acorn-import-assertions": { - "version": "1.8.0", - "resolved": "https://registry.npmjs.org/acorn-import-assertions/-/acorn-import-assertions-1.8.0.tgz", - "integrity": "sha512-m7VZ3jwz4eK6A4Vtt8Ew1/mNbP24u0FhdyfA7fSvnJR6LMdfOYnmuIrrJAgrYfYJ10F/otaHTtrtrtmHdMNzEw==", - "peerDependencies": { - "acorn": "^8" - } - }, - "node_modules/acorn-jsx": { - "version": "5.3.2", - "resolved": "https://registry.npmjs.org/acorn-jsx/-/acorn-jsx-5.3.2.tgz", - "integrity": "sha512-rq9s+JNhf0IChjtDXxllJ7g41oZk5SlXtp0LHwyA5cejwn7vKmKp4pPri6YEePv2PU65sAsegbXtIinmDFDXgQ==", - "dev": true, - "peerDependencies": { - "acorn": "^6.0.0 || ^7.0.0 || ^8.0.0" - } - }, - "node_modules/acorn-walk": { - "version": "8.2.0", - "resolved": "https://registry.npmjs.org/acorn-walk/-/acorn-walk-8.2.0.tgz", - "integrity": "sha512-k+iyHEuPgSw6SbuDpGQM+06HQUa04DZ3o+F6CSzXMvvI5KMvnaEqXe+YVe555R9nn6GPt404fos4wcgpw12SDA==", - "dev": true, - "engines": { - "node": ">=0.4.0" - } - }, - "node_modules/agent-base": { - "version": "6.0.2", - "resolved": "https://registry.npmjs.org/agent-base/-/agent-base-6.0.2.tgz", - "integrity": "sha512-RZNwNclF7+MS/8bDg70amg32dyeZGZxiDuQmZxKLAlQjr3jGyLx+4Kkk58UO7D2QdgFIQCovuSuZESne6RG6XQ==", - "dev": true, - "dependencies": { - "debug": "4" - }, - "engines": { - "node": ">= 6.0.0" - } - }, - "node_modules/aggregate-error": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/aggregate-error/-/aggregate-error-3.1.0.tgz", - "integrity": "sha512-4I7Td01quW/RpocfNayFdFVk1qSuoh0E7JrbRJ16nH01HhKFQ88INq9Sd+nd72zqRySlr9BmDA8xlEJ6vJMrYA==", - "dev": true, - "dependencies": { - "clean-stack": "^2.0.0", - "indent-string": "^4.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/ajv": { - "version": "8.12.0", - "resolved": "https://registry.npmjs.org/ajv/-/ajv-8.12.0.tgz", - "integrity": "sha512-sRu1kpcO9yLtYxBKvqfTeh9KzZEwO3STyX1HT+4CaDzC6HpTGYhIhPIzj9XuKU7KYDwnaeh5hcOwjy1QuJzBPA==", - "dependencies": { - "fast-deep-equal": "^3.1.1", - "json-schema-traverse": "^1.0.0", - "require-from-string": "^2.0.2", - "uri-js": "^4.2.2" - }, - "funding": { - "type": "github", - "url": "https://github.com/sponsors/epoberezkin" - } - }, - "node_modules/ajv-formats": { - "version": "2.1.1", - "resolved": "https://registry.npmjs.org/ajv-formats/-/ajv-formats-2.1.1.tgz", - "integrity": "sha512-Wx0Kx52hxE7C18hkMEggYlEifqWZtYaRgouJor+WMdPnQyEK13vgEWyVNup7SoeeoLMsr4kf5h6dOW11I15MUA==", - "dependencies": { - "ajv": "^8.0.0" - }, - "peerDependencies": { - "ajv": "^8.0.0" - }, - "peerDependenciesMeta": { - "ajv": { - "optional": true - } - } - }, - "node_modules/ansi-align": { - "version": "3.0.1", - "resolved": "https://registry.npmjs.org/ansi-align/-/ansi-align-3.0.1.tgz", - "integrity": "sha512-IOfwwBF5iczOjp/WeY4YxyjqAFMQoZufdQWDd19SEExbVLNXqvpzSJ/M7Za4/sCPmQ0+GRquoA7bGcINcxew6w==", - "dev": true, - "dependencies": { - "string-width": "^4.1.0" - } - }, - "node_modules/ansi-colors": { - "version": "4.1.3", - "resolved": "https://registry.npmjs.org/ansi-colors/-/ansi-colors-4.1.3.tgz", - "integrity": "sha512-/6w/C21Pm1A7aZitlI5Ni/2J6FFQN8i1Cvz3kHABAAbw93v/NlvKdVOqz7CCWz/3iv/JplRSEEZ83XION15ovw==", - "engines": { - "node": ">=6" - } - }, - "node_modules/ansi-cyan": { - "version": "0.1.1", - "resolved": "https://registry.npmjs.org/ansi-cyan/-/ansi-cyan-0.1.1.tgz", - "integrity": "sha512-eCjan3AVo/SxZ0/MyIYRtkpxIu/H3xZN7URr1vXVrISxeyz8fUFz0FJziamK4sS8I+t35y4rHg1b2PklyBe/7A==", - "dev": true, - "dependencies": { - "ansi-wrap": "0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/ansi-escapes": { - "version": "4.3.2", - "resolved": "https://registry.npmjs.org/ansi-escapes/-/ansi-escapes-4.3.2.tgz", - "integrity": "sha512-gKXj5ALrKWQLsYG9jlTRmR/xKluxHV+Z9QEwNIgCfM1/uwPMCuzVVnh5mwTd+OuBZcwSIMbqssNWRm1lE51QaQ==", - "dependencies": { - "type-fest": "^0.21.3" - }, - "engines": { - "node": ">=8" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/ansi-escapes/node_modules/type-fest": { - "version": "0.21.3", - "resolved": "https://registry.npmjs.org/type-fest/-/type-fest-0.21.3.tgz", - "integrity": "sha512-t0rzBq87m3fVcduHDUFhKmyyX+9eo6WQjZvf51Ea/M0Q7+T374Jp1aUiyUl0GKxp8M/OETVHSDvmkyPgvX+X2w==", - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/ansi-gray": { - "version": "0.1.1", - "resolved": "https://registry.npmjs.org/ansi-gray/-/ansi-gray-0.1.1.tgz", - "integrity": "sha512-HrgGIZUl8h2EHuZaU9hTR/cU5nhKxpVE1V6kdGsQ8e4zirElJ5fvtfc8N7Q1oq1aatO275i8pUFUCpNWCAnVWw==", - "dev": true, - "dependencies": { - "ansi-wrap": "0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/ansi-red": { - "version": "0.1.1", - "resolved": "https://registry.npmjs.org/ansi-red/-/ansi-red-0.1.1.tgz", - "integrity": "sha512-ewaIr5y+9CUTGFwZfpECUbFlGcC0GCw1oqR9RI6h1gQCd9Aj2GxSckCnPsVJnmfMZbwFYE+leZGASgkWl06Jow==", - "dev": true, - "dependencies": { - "ansi-wrap": "0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/ansi-regex": { - "version": "5.0.1", - "resolved": "https://registry.npmjs.org/ansi-regex/-/ansi-regex-5.0.1.tgz", - "integrity": "sha512-quJQXlTSUGL2LH9SUXo8VwsY4soanhgo6LNSm84E1LBcE8s3O0wpdiRzyR9z/ZZJMlMWv37qOOb9pdJlMUEKFQ==", - "engines": { - "node": ">=8" - } - }, - "node_modules/ansi-styles": { - "version": "4.3.0", - "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz", - "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==", - "dependencies": { - "color-convert": "^2.0.1" - }, - "engines": { - "node": ">=8" - }, - "funding": { - "url": "https://github.com/chalk/ansi-styles?sponsor=1" - } - }, - "node_modules/ansi-wrap": { - "version": "0.1.0", - "resolved": "https://registry.npmjs.org/ansi-wrap/-/ansi-wrap-0.1.0.tgz", - "integrity": "sha512-ZyznvL8k/FZeQHr2T6LzcJ/+vBApDnMNZvfVFy3At0knswWd6rJ3/0Hhmpu8oqa6C92npmozs890sX9Dl6q+Qw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/anymatch": { - "version": "3.1.3", - "resolved": "https://registry.npmjs.org/anymatch/-/anymatch-3.1.3.tgz", - "integrity": "sha512-KMReFUr0B4t+D+OBkjR3KYqvocp2XaSzO55UcB6mgQMd3KbcE+mWTyvVV7D/zsdEbNnV6acZUutkiHQXvTr1Rw==", - "dependencies": { - "normalize-path": "^3.0.0", - "picomatch": "^2.0.4" - }, - "engines": { - "node": ">= 8" - } - }, - "node_modules/append-buffer": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/append-buffer/-/append-buffer-1.0.2.tgz", - "integrity": "sha512-WLbYiXzD3y/ATLZFufV/rZvWdZOs+Z/+5v1rBZ463Jn398pa6kcde27cvozYnBoxXblGZTFfoPpsaEw0orU5BA==", - "dev": true, - "dependencies": { - "buffer-equal": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/archy": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/archy/-/archy-1.0.0.tgz", - "integrity": "sha512-Xg+9RwCg/0p32teKdGMPTPnVXKD0w3DfHnFTficozsAgsvq2XenPJq/MYpzzQ/v8zrOyJn6Ds39VA4JIDwFfqw==", - "dev": true - }, - "node_modules/arg": { - "version": "4.1.3", - "resolved": "https://registry.npmjs.org/arg/-/arg-4.1.3.tgz", - "integrity": "sha512-58S9QDqG0Xx27YwPSt9fJxivjYl432YCwfDMfZ+71RAqUrZef7LrKQZ3LHLOwCS4FLNBplP533Zx895SeOCHvA==", - "dev": true - }, - "node_modules/argparse": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/argparse/-/argparse-2.0.1.tgz", - "integrity": "sha512-8+9WqebbFzpX9OR+Wa6O29asIogeRMzcGtAINdpMHHyAg10f05aSFVBbcEqGf/PXw1EjAZ+q2/bEBg3DvurK3Q==", - "dev": true - }, - "node_modules/arr-diff": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/arr-diff/-/arr-diff-1.1.0.tgz", - "integrity": "sha512-OQwDZUqYaQwyyhDJHThmzId8daf4/RFNLaeh3AevmSeZ5Y7ug4Ga/yKc6l6kTZOBW781rCj103ZuTh8GAsB3+Q==", - "dev": true, - "dependencies": { - "arr-flatten": "^1.0.1", - "array-slice": "^0.2.3" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/arr-diff/node_modules/array-slice": { - "version": "0.2.3", - "resolved": "https://registry.npmjs.org/array-slice/-/array-slice-0.2.3.tgz", - "integrity": "sha512-rlVfZW/1Ph2SNySXwR9QYkChp8EkOEiTMO5Vwx60usw04i4nWemkm9RXmQqgkQFaLHsqLuADvjp6IfgL9l2M8Q==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/arr-filter": { - "version": "1.1.2", - "resolved": "https://registry.npmjs.org/arr-filter/-/arr-filter-1.1.2.tgz", - "integrity": "sha512-A2BETWCqhsecSvCkWAeVBFLH6sXEUGASuzkpjL3GR1SlL/PWL6M3J8EAAld2Uubmh39tvkJTqC9LeLHCUKmFXA==", - "dev": true, - "dependencies": { - "make-iterator": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/arr-flatten": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/arr-flatten/-/arr-flatten-1.1.0.tgz", - "integrity": "sha512-L3hKV5R/p5o81R7O02IGnwpDmkp6E982XhtbuwSe3O4qOtMMMtodicASA1Cny2U+aCXcNpml+m4dPsvsJ3jatg==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/arr-map": { - "version": "2.0.2", - "resolved": "https://registry.npmjs.org/arr-map/-/arr-map-2.0.2.tgz", - "integrity": "sha512-tVqVTHt+Q5Xb09qRkbu+DidW1yYzz5izWS2Xm2yFm7qJnmUfz4HPzNxbHkdRJbz2lrqI7S+z17xNYdFcBBO8Hw==", - "dev": true, - "dependencies": { - "make-iterator": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/arr-union": { - "version": "2.1.0", - "resolved": "https://registry.npmjs.org/arr-union/-/arr-union-2.1.0.tgz", - "integrity": "sha512-t5db90jq+qdgk8aFnxEkjqta0B/GHrM1pxzuuZz2zWsOXc5nKu3t+76s/PQBA8FTcM/ipspIH9jWG4OxCBc2eA==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/array-buffer-byte-length": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/array-buffer-byte-length/-/array-buffer-byte-length-1.0.0.tgz", - "integrity": "sha512-LPuwb2P+NrQw3XhxGc36+XSvuBPopovXYTR9Ew++Du9Yb/bx5AzBfrIsBoj0EZUifjQU+sHL21sseZ3jerWO/A==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2", - "is-array-buffer": "^3.0.1" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/array-each": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/array-each/-/array-each-1.0.1.tgz", - "integrity": "sha512-zHjL5SZa68hkKHBFBK6DJCTtr9sfTCPCaph/L7tMSLcTFgy+zX7E+6q5UArbtOtMBCtxdICpfTCspRse+ywyXA==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/array-ify": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/array-ify/-/array-ify-1.0.0.tgz", - "integrity": "sha512-c5AMf34bKdvPhQ7tBGhqkgKNUzMr4WUs+WDtC2ZUGOUncbxKMTvqxYctiseW3+L4bA8ec+GcZ6/A/FW4m8ukng==", - "dev": true - }, - "node_modules/array-initial": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/array-initial/-/array-initial-1.1.0.tgz", - "integrity": "sha512-BC4Yl89vneCYfpLrs5JU2aAu9/a+xWbeKhvISg9PT7eWFB9UlRvI+rKEtk6mgxWr3dSkk9gQ8hCrdqt06NXPdw==", - "dev": true, - "dependencies": { - "array-slice": "^1.0.0", - "is-number": "^4.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/array-initial/node_modules/is-number": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/is-number/-/is-number-4.0.0.tgz", - "integrity": "sha512-rSklcAIlf1OmFdyAqbnWTLVelsQ58uvZ66S/ZyawjWqIviTWCjg2PzVGw8WUA+nNuPTqb4wgA+NszrJ+08LlgQ==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/array-last": { - "version": "1.3.0", - "resolved": "https://registry.npmjs.org/array-last/-/array-last-1.3.0.tgz", - "integrity": "sha512-eOCut5rXlI6aCOS7Z7kCplKRKyiFQ6dHFBem4PwlwKeNFk2/XxTrhRh5T9PyaEWGy/NHTZWbY+nsZlNFJu9rYg==", - "dev": true, - "dependencies": { - "is-number": "^4.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/array-last/node_modules/is-number": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/is-number/-/is-number-4.0.0.tgz", - "integrity": "sha512-rSklcAIlf1OmFdyAqbnWTLVelsQ58uvZ66S/ZyawjWqIviTWCjg2PzVGw8WUA+nNuPTqb4wgA+NszrJ+08LlgQ==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/array-slice": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/array-slice/-/array-slice-1.1.0.tgz", - "integrity": "sha512-B1qMD3RBP7O8o0H2KbrXDyB0IccejMF15+87Lvlor12ONPRHP6gTjXMNkt/d3ZuOGbAe66hFmaCfECI24Ufp6w==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/array-sort": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/array-sort/-/array-sort-1.0.0.tgz", - "integrity": "sha512-ihLeJkonmdiAsD7vpgN3CRcx2J2S0TiYW+IS/5zHBI7mKUq3ySvBdzzBfD236ubDBQFiiyG3SWCPc+msQ9KoYg==", - "dev": true, - "dependencies": { - "default-compare": "^1.0.0", - "get-value": "^2.0.6", - "kind-of": "^5.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/array-union": { - "version": "2.1.0", - "resolved": "https://registry.npmjs.org/array-union/-/array-union-2.1.0.tgz", - "integrity": "sha512-HGyxoOTYUyCM6stUe6EJgnd4EoewAI7zMdfqO+kGjnlZmBDz/cR5pf8r/cR4Wq60sL/p0IkcjUEEPwS3GFrIyw==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/array-unique": { - "version": "0.3.2", - "resolved": "https://registry.npmjs.org/array-unique/-/array-unique-0.3.2.tgz", - "integrity": "sha512-SleRWjh9JUud2wH1hPs9rZBZ33H6T9HOiL0uwGnGx9FpE6wKGyfWugmbkEOIs6qWrZhg0LWeLziLrEwQJhs5mQ==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/array.prototype.map": { - "version": "1.0.5", - "resolved": "https://registry.npmjs.org/array.prototype.map/-/array.prototype.map-1.0.5.tgz", - "integrity": "sha512-gfaKntvwqYIuC7mLLyv2wzZIJqrRhn5PZ9EfFejSx6a78sV7iDsGpG9P+3oUPtm1Rerqm6nrKS4FYuTIvWfo3g==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2", - "define-properties": "^1.1.4", - "es-abstract": "^1.20.4", - "es-array-method-boxes-properly": "^1.0.0", - "is-string": "^1.0.7" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/arrify": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/arrify/-/arrify-1.0.1.tgz", - "integrity": "sha512-3CYzex9M9FGQjCGMGyi6/31c8GJbgb0qGyrx5HWxPd0aCwh4cB2YjMb2Xf9UuoogrMrlO9cTqnB5rI5GHZTcUA==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/assign-symbols": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/assign-symbols/-/assign-symbols-1.0.0.tgz", - "integrity": "sha512-Q+JC7Whu8HhmTdBph/Tq59IoRtoy6KAm5zzPv00WdujX82lbAL8K7WVjne7vdCsAmbF4AYaDOPyO3k0kl8qIrw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/ast-types": { - "version": "0.13.4", - "resolved": "https://registry.npmjs.org/ast-types/-/ast-types-0.13.4.tgz", - "integrity": "sha512-x1FCFnFifvYDDzTaLII71vG5uvDwgtmDTEVWAxrgeiR8VjMONcCXJx7E+USjDtHlwFmt9MysbqgF9b9Vjr6w+w==", - "dev": true, - "dependencies": { - "tslib": "^2.0.1" - }, - "engines": { - "node": ">=4" - } - }, - "node_modules/astral-regex": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/astral-regex/-/astral-regex-2.0.0.tgz", - "integrity": "sha512-Z7tMw1ytTXt5jqMcOP+OQteU1VuNK9Y02uuJtKQ1Sv69jXQKKg5cibLwGJow8yzZP+eAc18EmLGPal0bp36rvQ==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/async-done": { - "version": "1.3.2", - "resolved": "https://registry.npmjs.org/async-done/-/async-done-1.3.2.tgz", - "integrity": "sha512-uYkTP8dw2og1tu1nmza1n1CMW0qb8gWWlwqMmLb7MhBVs4BXrFziT6HXUd+/RlRA/i4H9AkofYloUbs1fwMqlw==", - "dev": true, - "dependencies": { - "end-of-stream": "^1.1.0", - "once": "^1.3.2", - "process-nextick-args": "^2.0.0", - "stream-exhaust": "^1.0.1" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/async-each": { - "version": "1.0.6", - "resolved": "https://registry.npmjs.org/async-each/-/async-each-1.0.6.tgz", - "integrity": "sha512-c646jH1avxr+aVpndVMeAfYw7wAa6idufrlN3LPA4PmKS0QEGp6PIC9nwz0WQkkvBGAMEki3pFdtxaF39J9vvg==", - "dev": true, - "funding": [ - { - "type": "individual", - "url": "https://paulmillr.com/funding/" - } - ] - }, - "node_modules/async-retry": { - "version": "1.3.3", - "resolved": "https://registry.npmjs.org/async-retry/-/async-retry-1.3.3.tgz", - "integrity": "sha512-wfr/jstw9xNi/0teMHrRW7dsz3Lt5ARhYNZ2ewpadnhaIp5mbALhOAP+EAdsC7t4Z6wqsDVv9+W6gm1Dk9mEyw==", - "dev": true, - "dependencies": { - "retry": "0.13.1" - } - }, - "node_modules/async-settle": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/async-settle/-/async-settle-1.0.0.tgz", - "integrity": "sha512-VPXfB4Vk49z1LHHodrEQ6Xf7W4gg1w0dAPROHngx7qgDjqmIQ+fXmwgGXTW/ITLai0YLSvWepJOP9EVpMnEAcw==", - "dev": true, - "dependencies": { - "async-done": "^1.2.2" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/atob": { - "version": "2.1.2", - "resolved": "https://registry.npmjs.org/atob/-/atob-2.1.2.tgz", - "integrity": "sha512-Wm6ukoaOGJi/73p/cl2GvLjTI5JM1k/O14isD73YML8StrH/7/lRFgmg8nICZgD3bZZvjwCGxtMOD3wWNAu8cg==", - "dev": true, - "bin": { - "atob": "bin/atob.js" - }, - "engines": { - "node": ">= 4.5.0" - } - }, - "node_modules/available-typed-arrays": { - "version": "1.0.5", - "resolved": "https://registry.npmjs.org/available-typed-arrays/-/available-typed-arrays-1.0.5.tgz", - "integrity": "sha512-DMD0KiN46eipeziST1LPP/STfDU0sufISXmjSgvVsoU2tqxctQeASejWcfNtxYKqETM1UxQ8sp2OrSBWpHY6sw==", - "dev": true, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/babel-jest": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/babel-jest/-/babel-jest-29.5.0.tgz", - "integrity": "sha512-mA4eCDh5mSo2EcA9xQjVTpmbbNk32Zb3Q3QFQsNhaK56Q+yoXowzFodLux30HRgyOho5rsQ6B0P9QpMkvvnJ0Q==", - "dev": true, - "dependencies": { - "@jest/transform": "^29.5.0", - "@types/babel__core": "^7.1.14", - "babel-plugin-istanbul": "^6.1.1", - "babel-preset-jest": "^29.5.0", - "chalk": "^4.0.0", - "graceful-fs": "^4.2.9", - "slash": "^3.0.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - }, - "peerDependencies": { - "@babel/core": "^7.8.0" - } - }, - "node_modules/babel-plugin-istanbul": { - "version": "6.1.1", - "resolved": "https://registry.npmjs.org/babel-plugin-istanbul/-/babel-plugin-istanbul-6.1.1.tgz", - "integrity": "sha512-Y1IQok9821cC9onCx5otgFfRm7Lm+I+wwxOx738M/WLPZ9Q42m4IG5W0FNX8WLL2gYMZo3JkuXIH2DOpWM+qwA==", - "dev": true, - "dependencies": { - "@babel/helper-plugin-utils": "^7.0.0", - "@istanbuljs/load-nyc-config": "^1.0.0", - "@istanbuljs/schema": "^0.1.2", - "istanbul-lib-instrument": "^5.0.4", - "test-exclude": "^6.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/babel-plugin-jest-hoist": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/babel-plugin-jest-hoist/-/babel-plugin-jest-hoist-29.5.0.tgz", - "integrity": "sha512-zSuuuAlTMT4mzLj2nPnUm6fsE6270vdOfnpbJ+RmruU75UhLFvL0N2NgI7xpeS7NaB6hGqmd5pVpGTDYvi4Q3w==", - "dev": true, - "dependencies": { - "@babel/template": "^7.3.3", - "@babel/types": "^7.3.3", - "@types/babel__core": "^7.1.14", - "@types/babel__traverse": "^7.0.6" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/babel-preset-current-node-syntax": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/babel-preset-current-node-syntax/-/babel-preset-current-node-syntax-1.0.1.tgz", - "integrity": "sha512-M7LQ0bxarkxQoN+vz5aJPsLBn77n8QgTFmo8WK0/44auK2xlCXrYcUxHFxgU7qW5Yzw/CjmLRK2uJzaCd7LvqQ==", - "dev": true, - "dependencies": { - "@babel/plugin-syntax-async-generators": "^7.8.4", - "@babel/plugin-syntax-bigint": "^7.8.3", - "@babel/plugin-syntax-class-properties": "^7.8.3", - "@babel/plugin-syntax-import-meta": "^7.8.3", - "@babel/plugin-syntax-json-strings": "^7.8.3", - "@babel/plugin-syntax-logical-assignment-operators": "^7.8.3", - "@babel/plugin-syntax-nullish-coalescing-operator": "^7.8.3", - "@babel/plugin-syntax-numeric-separator": "^7.8.3", - "@babel/plugin-syntax-object-rest-spread": "^7.8.3", - "@babel/plugin-syntax-optional-catch-binding": "^7.8.3", - "@babel/plugin-syntax-optional-chaining": "^7.8.3", - "@babel/plugin-syntax-top-level-await": "^7.8.3" - }, - "peerDependencies": { - "@babel/core": "^7.0.0" - } - }, - "node_modules/babel-preset-jest": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/babel-preset-jest/-/babel-preset-jest-29.5.0.tgz", - "integrity": "sha512-JOMloxOqdiBSxMAzjRaH023/vvcaSaec49zvg+2LmNsktC7ei39LTJGw02J+9uUtTZUq6xbLyJ4dxe9sSmIuAg==", - "dev": true, - "dependencies": { - "babel-plugin-jest-hoist": "^29.5.0", - "babel-preset-current-node-syntax": "^1.0.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - }, - "peerDependencies": { - "@babel/core": "^7.0.0" - } - }, - "node_modules/bach": { - "version": "1.2.0", - "resolved": "https://registry.npmjs.org/bach/-/bach-1.2.0.tgz", - "integrity": "sha512-bZOOfCb3gXBXbTFXq3OZtGR88LwGeJvzu6szttaIzymOTS4ZttBNOWSv7aLZja2EMycKtRYV0Oa8SNKH/zkxvg==", - "dev": true, - "dependencies": { - "arr-filter": "^1.1.1", - "arr-flatten": "^1.0.1", - "arr-map": "^2.0.0", - "array-each": "^1.0.0", - "array-initial": "^1.0.0", - "array-last": "^1.1.1", - "async-done": "^1.2.2", - "async-settle": "^1.0.0", - "now-and-later": "^2.0.0" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/balanced-match": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/balanced-match/-/balanced-match-1.0.2.tgz", - "integrity": "sha512-3oSeUO0TMV67hN1AmbXsK4yaqU7tjiHlbxRDZOpH0KW9+CeX4bRAaX0Anxt0tx2MrpRpWwQaPwIlISEJhYU5Pw==" - }, - "node_modules/base": { - "version": "0.11.2", - "resolved": "https://registry.npmjs.org/base/-/base-0.11.2.tgz", - "integrity": "sha512-5T6P4xPgpp0YDFvSWwEZ4NoE3aM4QBQXDzmVbraCkFj8zHM+mba8SyqB5DbZWyR7mYHo6Y7BdQo3MoA4m0TeQg==", - "dev": true, - "dependencies": { - "cache-base": "^1.0.1", - "class-utils": "^0.3.5", - "component-emitter": "^1.2.1", - "define-property": "^1.0.0", - "isobject": "^3.0.1", - "mixin-deep": "^1.2.0", - "pascalcase": "^0.1.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/base/node_modules/define-property": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/define-property/-/define-property-1.0.0.tgz", - "integrity": "sha512-cZTYKFWspt9jZsMscWo8sc/5lbPC9Q0N5nBLgb+Yd915iL3udB1uFgS3B8YCx66UVHq018DAVFoee7x+gxggeA==", - "dev": true, - "dependencies": { - "is-descriptor": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/base64-js": { - "version": "1.5.1", - "resolved": "https://registry.npmjs.org/base64-js/-/base64-js-1.5.1.tgz", - "integrity": "sha512-AKpaYlHn8t4SVbOHCy+b5+KKgvR4vrsD8vbvrbiQJps7fKDTkjkDry6ji0rUJjC0kzbNePLwzxq8iypo41qeWA==", - "funding": [ - { - "type": "github", - "url": "https://github.com/sponsors/feross" - }, - { - "type": "patreon", - "url": "https://www.patreon.com/feross" - }, - { - "type": "consulting", - "url": "https://feross.org/support" - } - ] - }, - "node_modules/before-after-hook": { - "version": "2.2.3", - "resolved": "https://registry.npmjs.org/before-after-hook/-/before-after-hook-2.2.3.tgz", - "integrity": "sha512-NzUnlZexiaH/46WDhANlyR2bXRopNg4F/zuSA3OpZnllCUgRaOF2znDioDWrmbNVsuZk6l9pMquQB38cfBZwkQ==", - "dev": true - }, - "node_modules/big-integer": { - "version": "1.6.51", - "resolved": "https://registry.npmjs.org/big-integer/-/big-integer-1.6.51.tgz", - "integrity": "sha512-GPEid2Y9QU1Exl1rpO9B2IPJGHPSupF5GnVIP0blYvNOMer2bTvSWs1jGOUg04hTmu67nmLsQ9TBo1puaotBHg==", - "dev": true, - "engines": { - "node": ">=0.6" - } - }, - "node_modules/binary-extensions": { - "version": "2.2.0", - "resolved": "https://registry.npmjs.org/binary-extensions/-/binary-extensions-2.2.0.tgz", - "integrity": "sha512-jDctJ/IVQbZoJykoeHbhXpOlNBqGNcwXJKJog42E5HDPUwQTSdjCHdihjj0DlnheQ7blbT6dHOafNAiS8ooQKA==", - "engines": { - "node": ">=8" - } - }, - "node_modules/bindings": { - "version": "1.5.0", - "resolved": "https://registry.npmjs.org/bindings/-/bindings-1.5.0.tgz", - "integrity": "sha512-p2q/t/mhvuOj/UeLlV6566GD/guowlr0hHxClI0W9m7MWYkL1F0hLo+0Aexs9HSPCtR1SXQ0TD3MMKrXZajbiQ==", - "dev": true, - "optional": true, - "dependencies": { - "file-uri-to-path": "1.0.0" - } - }, - "node_modules/bindings/node_modules/file-uri-to-path": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/file-uri-to-path/-/file-uri-to-path-1.0.0.tgz", - "integrity": "sha512-0Zt+s3L7Vf1biwWZ29aARiVYLx7iMGnEUl9x33fbB/j3jR81u/O2LbqK+Bm1CDSNDKVtJ/YjwY7TUd5SkeLQLw==", - "dev": true, - "optional": true - }, - "node_modules/bl": { - "version": "4.1.0", - "resolved": "https://registry.npmjs.org/bl/-/bl-4.1.0.tgz", - "integrity": "sha512-1W07cM9gS6DcLperZfFSj+bWLtaPGSOHWhPiGzXmvVJbRLdG82sH/Kn8EtW1VqWVA54AKf2h5k5BbnIbwF3h6w==", - "dependencies": { - "buffer": "^5.5.0", - "inherits": "^2.0.4", - "readable-stream": "^3.4.0" - } - }, - "node_modules/bl/node_modules/readable-stream": { - "version": "3.6.2", - "resolved": "https://registry.npmjs.org/readable-stream/-/readable-stream-3.6.2.tgz", - "integrity": "sha512-9u/sniCrY3D5WdsERHzHE4G2YCXqoG5FTHUiCC4SIbr6XcLZBY05ya9EKjYek9O5xOAwjGq+1JdGBAS7Q9ScoA==", - "dependencies": { - "inherits": "^2.0.3", - "string_decoder": "^1.1.1", - "util-deprecate": "^1.0.1" - }, - "engines": { - "node": ">= 6" - } - }, - "node_modules/boxen": { - "version": "7.0.2", - "resolved": "https://registry.npmjs.org/boxen/-/boxen-7.0.2.tgz", - "integrity": "sha512-1Z4UJabXUP1/R9rLpoU3O2lEMnG3pPLAs/ZD2lF3t2q7qD5lM8rqbtnvtvm4N0wEyNlE+9yZVTVAGmd1V5jabg==", - "dev": true, - "dependencies": { - "ansi-align": "^3.0.1", - "camelcase": "^7.0.0", - "chalk": "^5.0.1", - "cli-boxes": "^3.0.0", - "string-width": "^5.1.2", - "type-fest": "^2.13.0", - "widest-line": "^4.0.1", - "wrap-ansi": "^8.0.1" - }, - "engines": { - "node": ">=14.16" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/boxen/node_modules/ansi-regex": { - "version": "6.0.1", - "resolved": "https://registry.npmjs.org/ansi-regex/-/ansi-regex-6.0.1.tgz", - "integrity": "sha512-n5M855fKb2SsfMIiFFoVrABHJC8QtHwVx+mHWP3QcEqBHYienj5dHSgjbxtC0WEZXYt4wcD6zrQElDPhFuZgfA==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/chalk/ansi-regex?sponsor=1" - } - }, - "node_modules/boxen/node_modules/ansi-styles": { - "version": "6.2.1", - "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-6.2.1.tgz", - "integrity": "sha512-bN798gFfQX+viw3R7yrGWRqnrN2oRkEkUjjl4JNn4E8GxxbjtG3FbrEIIY3l8/hrwUwIeCZvi4QuOTP4MErVug==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/chalk/ansi-styles?sponsor=1" - } - }, - "node_modules/boxen/node_modules/camelcase": { - "version": "7.0.1", - "resolved": "https://registry.npmjs.org/camelcase/-/camelcase-7.0.1.tgz", - "integrity": "sha512-xlx1yCK2Oc1APsPXDL2LdlNP6+uu8OCDdhOBSVT279M/S+y75O30C2VuD8T2ogdePBBl7PfPF4504tnLgX3zfw==", - "dev": true, - "engines": { - "node": ">=14.16" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/boxen/node_modules/chalk": { - "version": "5.2.0", - "resolved": "https://registry.npmjs.org/chalk/-/chalk-5.2.0.tgz", - "integrity": "sha512-ree3Gqw/nazQAPuJJEy+avdl7QfZMcUvmHIKgEZkGL+xOBzRvup5Hxo6LHuMceSxOabuJLJm5Yp/92R9eMmMvA==", - "dev": true, - "engines": { - "node": "^12.17.0 || ^14.13 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/chalk/chalk?sponsor=1" - } - }, - "node_modules/boxen/node_modules/emoji-regex": { - "version": "9.2.2", - "resolved": "https://registry.npmjs.org/emoji-regex/-/emoji-regex-9.2.2.tgz", - "integrity": "sha512-L18DaJsXSUk2+42pv8mLs5jJT2hqFkFE4j21wOmgbUqsZ2hL72NsUU785g9RXgo3s0ZNgVl42TiHp3ZtOv/Vyg==", - "dev": true - }, - "node_modules/boxen/node_modules/string-width": { - "version": "5.1.2", - "resolved": "https://registry.npmjs.org/string-width/-/string-width-5.1.2.tgz", - "integrity": "sha512-HnLOCR3vjcY8beoNLtcjZ5/nxn2afmME6lhrDrebokqMap+XbeW8n9TXpPDOqdGK5qcI3oT0GKTW6wC7EMiVqA==", - "dev": true, - "dependencies": { - "eastasianwidth": "^0.2.0", - "emoji-regex": "^9.2.2", - "strip-ansi": "^7.0.1" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/boxen/node_modules/strip-ansi": { - "version": "7.0.1", - "resolved": "https://registry.npmjs.org/strip-ansi/-/strip-ansi-7.0.1.tgz", - "integrity": "sha512-cXNxvT8dFNRVfhVME3JAe98mkXDYN2O1l7jmcwMnOslDeESg1rF/OZMtK0nRAhiari1unG5cD4jG3rapUAkLbw==", - "dev": true, - "dependencies": { - "ansi-regex": "^6.0.1" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/chalk/strip-ansi?sponsor=1" - } - }, - "node_modules/boxen/node_modules/type-fest": { - "version": "2.19.0", - "resolved": "https://registry.npmjs.org/type-fest/-/type-fest-2.19.0.tgz", - "integrity": "sha512-RAH822pAdBgcNMAfWnCBU3CFZcfZ/i1eZjwFU/dsLKumyuuP3niueg2UAukXYF0E2AAoc82ZSSf9J0WQBinzHA==", - "dev": true, - "engines": { - "node": ">=12.20" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/boxen/node_modules/wrap-ansi": { - "version": "8.1.0", - "resolved": "https://registry.npmjs.org/wrap-ansi/-/wrap-ansi-8.1.0.tgz", - "integrity": "sha512-si7QWI6zUMq56bESFvagtmzMdGOtoxfR+Sez11Mobfc7tm+VkUckk9bW2UeffTGVUbOksxmSw0AA2gs8g71NCQ==", - "dev": true, - "dependencies": { - "ansi-styles": "^6.1.0", - "string-width": "^5.0.1", - "strip-ansi": "^7.0.1" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/chalk/wrap-ansi?sponsor=1" - } - }, - "node_modules/bplist-parser": { - "version": "0.2.0", - "resolved": "https://registry.npmjs.org/bplist-parser/-/bplist-parser-0.2.0.tgz", - "integrity": "sha512-z0M+byMThzQmD9NILRniCUXYsYpjwnlO8N5uCFaCqIOpqRsJCrQL9NK3JsD67CN5a08nF5oIL2bD6loTdHOuKw==", - "dev": true, - "dependencies": { - "big-integer": "^1.6.44" - }, - "engines": { - "node": ">= 5.10.0" - } - }, - "node_modules/brace-expansion": { - "version": "1.1.11", - "resolved": "https://registry.npmjs.org/brace-expansion/-/brace-expansion-1.1.11.tgz", - "integrity": "sha512-iCuPHDFgrHX7H2vEI/5xpz07zSHB00TpugqhmYtVmMO6518mCuRMoOYFldEBl0g187ufozdaHgWKcYFb61qGiA==", - "dependencies": { - "balanced-match": "^1.0.0", - "concat-map": "0.0.1" - } - }, - "node_modules/braces": { - "version": "3.0.2", - "resolved": "https://registry.npmjs.org/braces/-/braces-3.0.2.tgz", - "integrity": "sha512-b8um+L1RzM3WDSzvhm6gIz1yfTbBt6YTlcEKAvsmqCZZFw46z626lVj9j1yEPW33H5H+lBQpZMP1k8l+78Ha0A==", - "dependencies": { - "fill-range": "^7.0.1" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/browserslist": { - "version": "4.21.5", - "resolved": "https://registry.npmjs.org/browserslist/-/browserslist-4.21.5.tgz", - "integrity": "sha512-tUkiguQGW7S3IhB7N+c2MV/HZPSCPAAiYBZXLsBhFB/PCy6ZKKsZrmBayHV9fdGV/ARIfJ14NkxKzRDjvp7L6w==", - "funding": [ - { - "type": "opencollective", - "url": "https://opencollective.com/browserslist" - }, - { - "type": "tidelift", - "url": "https://tidelift.com/funding/github/npm/browserslist" - } - ], - "dependencies": { - "caniuse-lite": "^1.0.30001449", - "electron-to-chromium": "^1.4.284", - "node-releases": "^2.0.8", - "update-browserslist-db": "^1.0.10" - }, - "bin": { - "browserslist": "cli.js" - }, - "engines": { - "node": "^6 || ^7 || ^8 || ^9 || ^10 || ^11 || ^12 || >=13.7" - } - }, - "node_modules/bs-logger": { - "version": "0.2.6", - "resolved": "https://registry.npmjs.org/bs-logger/-/bs-logger-0.2.6.tgz", - "integrity": "sha512-pd8DCoxmbgc7hyPKOvxtqNcjYoOsABPQdcCUjGp3d42VR2CX1ORhk2A87oqqu5R1kk+76nsxZupkmyd+MVtCog==", - "dev": true, - "dependencies": { - "fast-json-stable-stringify": "2.x" - }, - "engines": { - "node": ">= 6" - } - }, - "node_modules/bser": { - "version": "2.1.1", - "resolved": "https://registry.npmjs.org/bser/-/bser-2.1.1.tgz", - "integrity": "sha512-gQxTNE/GAfIIrmHLUE3oJyp5FO6HRBfhjnw4/wMmA63ZGDJnWBmgY/lyQBpnDUkGmAhbSe39tx2d/iTOAfglwQ==", - "dev": true, - "dependencies": { - "node-int64": "^0.4.0" - } - }, - "node_modules/buffer": { - "version": "5.7.1", - "resolved": "https://registry.npmjs.org/buffer/-/buffer-5.7.1.tgz", - "integrity": "sha512-EHcyIPBQ4BSGlvjB16k5KgAJ27CIsHY/2JBmCRReo48y9rQ3MaUzWX3KVlBa4U7MyX02HdVj0K7C3WaB3ju7FQ==", - "funding": [ - { - "type": "github", - "url": "https://github.com/sponsors/feross" - }, - { - "type": "patreon", - "url": "https://www.patreon.com/feross" - }, - { - "type": "consulting", - "url": "https://feross.org/support" - } - ], - "dependencies": { - "base64-js": "^1.3.1", - "ieee754": "^1.1.13" - } - }, - "node_modules/buffer-equal": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/buffer-equal/-/buffer-equal-1.0.1.tgz", - "integrity": "sha512-QoV3ptgEaQpvVwbXdSO39iqPQTCxSF7A5U99AxbHYqUdCizL/lH2Z0A2y6nbZucxMEOtNyZfG2s6gsVugGpKkg==", - "dev": true, - "engines": { - "node": ">=0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/buffer-from": { - "version": "1.1.2", - "resolved": "https://registry.npmjs.org/buffer-from/-/buffer-from-1.1.2.tgz", - "integrity": "sha512-E+XQCRwSbaaiChtv6k6Dwgc+bx+Bs6vuKJHHl5kox/BaKbhiXzqQOwK4cO22yElGp2OCmjwVhT3HmxgyPGnJfQ==" - }, - "node_modules/bundle-name": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/bundle-name/-/bundle-name-3.0.0.tgz", - "integrity": "sha512-PKA4BeSvBpQKQ8iPOGCSiell+N8P+Tf1DlwqmYhpe2gAhKPHn8EYOxVT+ShuGmhg8lN8XiSlS80yiExKXrURlw==", - "dev": true, - "dependencies": { - "run-applescript": "^5.0.0" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/bytes": { - "version": "3.1.2", - "resolved": "https://registry.npmjs.org/bytes/-/bytes-3.1.2.tgz", - "integrity": "sha512-/Nf7TyzTx6S3yRJObOAV7956r8cr2+Oj8AC5dt8wSP3BQAoeX58NoHyCU8P8zGkNXStjTSi6fzO6F0pBdcYbEg==", - "dev": true, - "engines": { - "node": ">= 0.8" - } - }, - "node_modules/cache-base": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/cache-base/-/cache-base-1.0.1.tgz", - "integrity": "sha512-AKcdTnFSWATd5/GCPRxr2ChwIJ85CeyrEyjRHlKxQ56d4XJMGym0uAiKn0xbLOGOl3+yRpOTi484dVCEc5AUzQ==", - "dev": true, - "dependencies": { - "collection-visit": "^1.0.0", - "component-emitter": "^1.2.1", - "get-value": "^2.0.6", - "has-value": "^1.0.0", - "isobject": "^3.0.1", - "set-value": "^2.0.0", - "to-object-path": "^0.3.0", - "union-value": "^1.0.0", - "unset-value": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/cacheable-lookup": { - "version": "7.0.0", - "resolved": "https://registry.npmjs.org/cacheable-lookup/-/cacheable-lookup-7.0.0.tgz", - "integrity": "sha512-+qJyx4xiKra8mZrcwhjMRMUhD5NR1R8esPkzIYxX96JiecFoxAXFuz/GpR3+ev4PE1WamHip78wV0vcmPQtp8w==", - "dev": true, - "engines": { - "node": ">=14.16" - } - }, - "node_modules/cacheable-request": { - "version": "10.2.10", - "resolved": "https://registry.npmjs.org/cacheable-request/-/cacheable-request-10.2.10.tgz", - "integrity": "sha512-v6WB+Epm/qO4Hdlio/sfUn69r5Shgh39SsE9DSd4bIezP0mblOlObI+I0kUEM7J0JFc+I7pSeMeYaOYtX1N/VQ==", - "dev": true, - "dependencies": { - "@types/http-cache-semantics": "^4.0.1", - "get-stream": "^6.0.1", - "http-cache-semantics": "^4.1.1", - "keyv": "^4.5.2", - "mimic-response": "^4.0.0", - "normalize-url": "^8.0.0", - "responselike": "^3.0.0" - }, - "engines": { - "node": ">=14.16" - } - }, - "node_modules/call-bind": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/call-bind/-/call-bind-1.0.2.tgz", - "integrity": "sha512-7O+FbCihrB5WGbFYesctwmTKae6rOiIzmz1icreWJ+0aA7LJfuqhEso2T9ncpcFtzMQtzXf2QGGueWJGTYsqrA==", - "dev": true, - "dependencies": { - "function-bind": "^1.1.1", - "get-intrinsic": "^1.0.2" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/callsites": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/callsites/-/callsites-3.1.0.tgz", - "integrity": "sha512-P8BjAsXvZS+VIDUI11hHCQEv74YT67YUi5JJFNWIqL235sBmjX4+qx9Muvls5ivyNENctx46xQLQ3aTuE7ssaQ==", - "engines": { - "node": ">=6" - } - }, - "node_modules/camelcase": { - "version": "5.3.1", - "resolved": "https://registry.npmjs.org/camelcase/-/camelcase-5.3.1.tgz", - "integrity": "sha512-L28STB170nwWS63UjtlEOE3dldQApaJXZkOI1uMFfzf3rRuPegHaHesyee+YxQ+W6SvRDQV6UrdOdRiR153wJg==", - "dev": true, - "engines": { - "node": ">=6" - } - }, - "node_modules/camelcase-keys": { - "version": "6.2.2", - "resolved": "https://registry.npmjs.org/camelcase-keys/-/camelcase-keys-6.2.2.tgz", - "integrity": "sha512-YrwaA0vEKazPBkn0ipTiMpSajYDSe+KjQfrjhcBMxJt/znbvlHd8Pw/Vamaz5EB4Wfhs3SUR3Z9mwRu/P3s3Yg==", - "dev": true, - "dependencies": { - "camelcase": "^5.3.1", - "map-obj": "^4.0.0", - "quick-lru": "^4.0.1" - }, - "engines": { - "node": ">=8" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/caniuse-lite": { - "version": "1.0.30001482", - "resolved": "https://registry.npmjs.org/caniuse-lite/-/caniuse-lite-1.0.30001482.tgz", - "integrity": "sha512-F1ZInsg53cegyjroxLNW9DmrEQ1SuGRTO1QlpA0o2/6OpQ0gFeDRoq1yFmnr8Sakn9qwwt9DmbxHB6w167OSuQ==", - "funding": [ - { - "type": "opencollective", - "url": "https://opencollective.com/browserslist" - }, - { - "type": "tidelift", - "url": "https://tidelift.com/funding/github/npm/caniuse-lite" - }, - { - "type": "github", - "url": "https://github.com/sponsors/ai" - } - ] - }, - "node_modules/chalk": { - "version": "4.1.2", - "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz", - "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==", - "dependencies": { - "ansi-styles": "^4.1.0", - "supports-color": "^7.1.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/chalk/chalk?sponsor=1" - } - }, - "node_modules/char-regex": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/char-regex/-/char-regex-1.0.2.tgz", - "integrity": "sha512-kWWXztvZ5SBQV+eRgKFeh8q5sLuZY2+8WUIzlxWVTg+oGwY14qylx1KbKzHd8P6ZYkAg0xyIDU9JMHhyJMZ1jw==", - "dev": true, - "engines": { - "node": ">=10" - } - }, - "node_modules/chardet": { - "version": "0.7.0", - "resolved": "https://registry.npmjs.org/chardet/-/chardet-0.7.0.tgz", - "integrity": "sha512-mT8iDcrh03qDGRRmoA2hmBJnxpllMR+0/0qlzjqZES6NdiWDcZkCNAk4rPFZ9Q85r27unkiNNg8ZOiwZXBHwcA==" - }, - "node_modules/chokidar": { - "version": "3.5.3", - "resolved": "https://registry.npmjs.org/chokidar/-/chokidar-3.5.3.tgz", - "integrity": "sha512-Dr3sfKRP6oTcjf2JmUmFJfeVMvXBdegxB0iVQ5eb2V10uFJUCAS8OByZdVAyVb8xXNz3GjjTgj9kLWsZTqE6kw==", - "funding": [ - { - "type": "individual", - "url": "https://paulmillr.com/funding/" - } - ], - "dependencies": { - "anymatch": "~3.1.2", - "braces": "~3.0.2", - "glob-parent": "~5.1.2", - "is-binary-path": "~2.1.0", - "is-glob": "~4.0.1", - "normalize-path": "~3.0.0", - "readdirp": "~3.6.0" - }, - "engines": { - "node": ">= 8.10.0" - }, - "optionalDependencies": { - "fsevents": "~2.3.2" - } - }, - "node_modules/chrome-trace-event": { - "version": "1.0.3", - "resolved": "https://registry.npmjs.org/chrome-trace-event/-/chrome-trace-event-1.0.3.tgz", - "integrity": "sha512-p3KULyQg4S7NIHixdwbGX+nFHkoBiA4YQmyWtjb8XngSKV124nJmRysgAeujbUVb15vh+RvFUfCPqU7rXk+hZg==", - "engines": { - "node": ">=6.0" - } - }, - "node_modules/ci-info": { - "version": "3.8.0", - "resolved": "https://registry.npmjs.org/ci-info/-/ci-info-3.8.0.tgz", - "integrity": "sha512-eXTggHWSooYhq49F2opQhuHWgzucfF2YgODK4e1566GQs5BIfP30B0oenwBJHfWxAs2fyPB1s7Mg949zLf61Yw==", - "dev": true, - "funding": [ - { - "type": "github", - "url": "https://github.com/sponsors/sibiraj-s" - } - ], - "engines": { - "node": ">=8" - } - }, - "node_modules/cjs-module-lexer": { - "version": "1.2.2", - "resolved": "https://registry.npmjs.org/cjs-module-lexer/-/cjs-module-lexer-1.2.2.tgz", - "integrity": "sha512-cOU9usZw8/dXIXKtwa8pM0OTJQuJkxMN6w30csNRUerHfeQ5R6U3kkU/FtJeIf3M202OHfY2U8ccInBG7/xogA==", - "dev": true - }, - "node_modules/class-utils": { - "version": "0.3.6", - "resolved": "https://registry.npmjs.org/class-utils/-/class-utils-0.3.6.tgz", - "integrity": "sha512-qOhPa/Fj7s6TY8H8esGu5QNpMMQxz79h+urzrNYN6mn+9BnxlDGf5QZ+XeCDsxSjPqsSR56XOZOJmpeurnLMeg==", - "dev": true, - "dependencies": { - "arr-union": "^3.1.0", - "define-property": "^0.2.5", - "isobject": "^3.0.0", - "static-extend": "^0.1.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/class-utils/node_modules/arr-union": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/arr-union/-/arr-union-3.1.0.tgz", - "integrity": "sha512-sKpyeERZ02v1FeCZT8lrfJq5u6goHCtpTAzPwJYe7c8SPFOboNjNg1vz2L4VTn9T4PQxEx13TbXLmYUcS6Ug7Q==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/class-utils/node_modules/define-property": { - "version": "0.2.5", - "resolved": "https://registry.npmjs.org/define-property/-/define-property-0.2.5.tgz", - "integrity": "sha512-Rr7ADjQZenceVOAKop6ALkkRAmH1A4Gx9hV/7ZujPUN2rkATqFO0JZLZInbAjpZYoJ1gUx8MRMQVkYemcbMSTA==", - "dev": true, - "dependencies": { - "is-descriptor": "^0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/class-utils/node_modules/is-accessor-descriptor": { - "version": "0.1.6", - "resolved": "https://registry.npmjs.org/is-accessor-descriptor/-/is-accessor-descriptor-0.1.6.tgz", - "integrity": "sha512-e1BM1qnDbMRG3ll2U9dSK0UMHuWOs3pY3AtcFsmvwPtKL3MML/Q86i+GilLfvqEs4GW+ExB91tQ3Ig9noDIZ+A==", - "dev": true, - "dependencies": { - "kind-of": "^3.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/class-utils/node_modules/is-accessor-descriptor/node_modules/kind-of": { - "version": "3.2.2", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-3.2.2.tgz", - "integrity": "sha512-NOW9QQXMoZGg/oqnVNoNTTIFEIid1627WCffUBJEdMxYApq7mNE7CpzucIPc+ZQg25Phej7IJSmX3hO+oblOtQ==", - "dev": true, - "dependencies": { - "is-buffer": "^1.1.5" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/class-utils/node_modules/is-data-descriptor": { - "version": "0.1.4", - "resolved": "https://registry.npmjs.org/is-data-descriptor/-/is-data-descriptor-0.1.4.tgz", - "integrity": "sha512-+w9D5ulSoBNlmw9OHn3U2v51SyoCd0he+bB3xMl62oijhrspxowjU+AIcDY0N3iEJbUEkB15IlMASQsxYigvXg==", - "dev": true, - "dependencies": { - "kind-of": "^3.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/class-utils/node_modules/is-data-descriptor/node_modules/kind-of": { - "version": "3.2.2", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-3.2.2.tgz", - "integrity": "sha512-NOW9QQXMoZGg/oqnVNoNTTIFEIid1627WCffUBJEdMxYApq7mNE7CpzucIPc+ZQg25Phej7IJSmX3hO+oblOtQ==", - "dev": true, - "dependencies": { - "is-buffer": "^1.1.5" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/class-utils/node_modules/is-descriptor": { - "version": "0.1.6", - "resolved": "https://registry.npmjs.org/is-descriptor/-/is-descriptor-0.1.6.tgz", - "integrity": "sha512-avDYr0SB3DwO9zsMov0gKCESFYqCnE4hq/4z3TdUlukEy5t9C0YRq7HLrsN52NAcqXKaepeCD0n+B0arnVG3Hg==", - "dev": true, - "dependencies": { - "is-accessor-descriptor": "^0.1.6", - "is-data-descriptor": "^0.1.4", - "kind-of": "^5.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/clean-stack": { - "version": "2.2.0", - "resolved": "https://registry.npmjs.org/clean-stack/-/clean-stack-2.2.0.tgz", - "integrity": "sha512-4diC9HaTE+KRAMWhDhrGOECgWZxoevMc5TlkObMqNSsVU62PYzXZ/SMTjzyGAFF1YusgxGcSWTEXBhp0CPwQ1A==", - "dev": true, - "engines": { - "node": ">=6" - } - }, - "node_modules/cli-boxes": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/cli-boxes/-/cli-boxes-3.0.0.tgz", - "integrity": "sha512-/lzGpEWL/8PfI0BmBOPRwp0c/wFNX1RdUML3jK/RcSBA9T8mZDdQpqYBKtCFTOfQbwPqWEOpjqW+Fnayc0969g==", - "dev": true, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/cli-cursor": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/cli-cursor/-/cli-cursor-3.1.0.tgz", - "integrity": "sha512-I/zHAwsKf9FqGoXM4WWRACob9+SNukZTd94DWF57E4toouRulbCxcUh6RKUEOQlYTHJnzkPMySvPNaaSLNfLZw==", - "dependencies": { - "restore-cursor": "^3.1.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/cli-spinners": { - "version": "2.9.0", - "resolved": "https://registry.npmjs.org/cli-spinners/-/cli-spinners-2.9.0.tgz", - "integrity": "sha512-4/aL9X3Wh0yiMQlE+eeRhWP6vclO3QRtw1JHKIT0FFUs5FjpFmESqtMvYZ0+lbzBw900b95mS0hohy+qn2VK/g==", - "engines": { - "node": ">=6" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/cli-table3": { - "version": "0.6.3", - "resolved": "https://registry.npmjs.org/cli-table3/-/cli-table3-0.6.3.tgz", - "integrity": "sha512-w5Jac5SykAeZJKntOxJCrm63Eg5/4dhMWIcuTbo9rpE+brgaSZo0RuNJZeOyMgsUdhDeojvgyQLmjI+K50ZGyg==", - "dependencies": { - "string-width": "^4.2.0" - }, - "engines": { - "node": "10.* || >= 12.*" - }, - "optionalDependencies": { - "@colors/colors": "1.5.0" - } - }, - "node_modules/cli-truncate": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/cli-truncate/-/cli-truncate-3.1.0.tgz", - "integrity": "sha512-wfOBkjXteqSnI59oPcJkcPl/ZmwvMMOj340qUIY1SKZCv0B9Cf4D4fAucRkIKQmsIuYK3x1rrgU7MeGRruiuiA==", - "dev": true, - "dependencies": { - "slice-ansi": "^5.0.0", - "string-width": "^5.0.0" - }, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/cli-truncate/node_modules/ansi-regex": { - "version": "6.0.1", - "resolved": "https://registry.npmjs.org/ansi-regex/-/ansi-regex-6.0.1.tgz", - "integrity": "sha512-n5M855fKb2SsfMIiFFoVrABHJC8QtHwVx+mHWP3QcEqBHYienj5dHSgjbxtC0WEZXYt4wcD6zrQElDPhFuZgfA==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/chalk/ansi-regex?sponsor=1" - } - }, - "node_modules/cli-truncate/node_modules/emoji-regex": { - "version": "9.2.2", - "resolved": "https://registry.npmjs.org/emoji-regex/-/emoji-regex-9.2.2.tgz", - "integrity": "sha512-L18DaJsXSUk2+42pv8mLs5jJT2hqFkFE4j21wOmgbUqsZ2hL72NsUU785g9RXgo3s0ZNgVl42TiHp3ZtOv/Vyg==", - "dev": true - }, - "node_modules/cli-truncate/node_modules/string-width": { - "version": "5.1.2", - "resolved": "https://registry.npmjs.org/string-width/-/string-width-5.1.2.tgz", - "integrity": "sha512-HnLOCR3vjcY8beoNLtcjZ5/nxn2afmME6lhrDrebokqMap+XbeW8n9TXpPDOqdGK5qcI3oT0GKTW6wC7EMiVqA==", - "dev": true, - "dependencies": { - "eastasianwidth": "^0.2.0", - "emoji-regex": "^9.2.2", - "strip-ansi": "^7.0.1" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/cli-truncate/node_modules/strip-ansi": { - "version": "7.0.1", - "resolved": "https://registry.npmjs.org/strip-ansi/-/strip-ansi-7.0.1.tgz", - "integrity": "sha512-cXNxvT8dFNRVfhVME3JAe98mkXDYN2O1l7jmcwMnOslDeESg1rF/OZMtK0nRAhiari1unG5cD4jG3rapUAkLbw==", - "dev": true, - "dependencies": { - "ansi-regex": "^6.0.1" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/chalk/strip-ansi?sponsor=1" - } - }, - "node_modules/cli-width": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/cli-width/-/cli-width-3.0.0.tgz", - "integrity": "sha512-FxqpkPPwu1HjuN93Omfm4h8uIanXofW0RxVEW3k5RKx+mJJYSthzNhp32Kzxxy3YAEZ/Dc/EWN1vZRY0+kOhbw==", - "engines": { - "node": ">= 10" - } - }, - "node_modules/cliui": { - "version": "8.0.1", - "resolved": "https://registry.npmjs.org/cliui/-/cliui-8.0.1.tgz", - "integrity": "sha512-BSeNnyus75C4//NQ9gQt1/csTXyo/8Sb+afLAkzAptFuMsod9HFokGNudZpi/oQV73hnVK+sR+5PVRMd+Dr7YQ==", - "dev": true, - "dependencies": { - "string-width": "^4.2.0", - "strip-ansi": "^6.0.1", - "wrap-ansi": "^7.0.0" - }, - "engines": { - "node": ">=12" - } - }, - "node_modules/clone": { - "version": "2.1.2", - "resolved": "https://registry.npmjs.org/clone/-/clone-2.1.2.tgz", - "integrity": "sha512-3Pe/CF1Nn94hyhIYpjtiLhdCoEoz0DqQ+988E9gmeEdQZlojxnOb74wctFyuwWQHzqyf9X7C7MG8juUpqBJT8w==", - "dev": true, - "engines": { - "node": ">=0.8" - } - }, - "node_modules/clone-buffer": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/clone-buffer/-/clone-buffer-1.0.0.tgz", - "integrity": "sha512-KLLTJWrvwIP+OPfMn0x2PheDEP20RPUcGXj/ERegTgdmPEZylALQldygiqrPPu8P45uNuPs7ckmReLY6v/iA5g==", - "dev": true, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/clone-stats": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/clone-stats/-/clone-stats-1.0.0.tgz", - "integrity": "sha512-au6ydSpg6nsrigcZ4m8Bc9hxjeW+GJ8xh5G3BJCMt4WXe1H10UNaVOamqQTmrx1kjVuxAHIQSNU6hY4Nsn9/ag==", - "dev": true - }, - "node_modules/cloneable-readable": { - "version": "1.1.3", - "resolved": "https://registry.npmjs.org/cloneable-readable/-/cloneable-readable-1.1.3.tgz", - "integrity": "sha512-2EF8zTQOxYq70Y4XKtorQupqF0m49MBz2/yf5Bj+MHjvpG3Hy7sImifnqD6UA+TKYxeSV+u6qqQPawN5UvnpKQ==", - "dev": true, - "dependencies": { - "inherits": "^2.0.1", - "process-nextick-args": "^2.0.0", - "readable-stream": "^2.3.5" - } - }, - "node_modules/co": { - "version": "4.6.0", - "resolved": "https://registry.npmjs.org/co/-/co-4.6.0.tgz", - "integrity": "sha512-QVb0dM5HvG+uaxitm8wONl7jltx8dqhfU33DcqtOZcLSVIKSDDLDi7+0LbAKiyI8hD9u42m2YxXSkMGWThaecQ==", - "dev": true, - "engines": { - "iojs": ">= 1.0.0", - "node": ">= 0.12.0" - } - }, - "node_modules/code-point-at": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/code-point-at/-/code-point-at-1.1.0.tgz", - "integrity": "sha512-RpAVKQA5T63xEj6/giIbUEtZwJ4UFIc3ZtvEkiaUERylqe8xb5IvqcgOurZLahv93CLKfxcw5YI+DZcUBRyLXA==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/collect-v8-coverage": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/collect-v8-coverage/-/collect-v8-coverage-1.0.1.tgz", - "integrity": "sha512-iBPtljfCNcTKNAto0KEtDfZ3qzjJvqE3aTGZsbhjSBlorqpXJlaWWtPO35D+ZImoC3KWejX64o+yPGxhWSTzfg==", - "dev": true - }, - "node_modules/collection-map": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/collection-map/-/collection-map-1.0.0.tgz", - "integrity": "sha512-5D2XXSpkOnleOI21TG7p3T0bGAsZ/XknZpKBmGYyluO8pw4zA3K8ZlrBIbC4FXg3m6z/RNFiUFfT2sQK01+UHA==", - "dev": true, - "dependencies": { - "arr-map": "^2.0.2", - "for-own": "^1.0.0", - "make-iterator": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/collection-visit": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/collection-visit/-/collection-visit-1.0.0.tgz", - "integrity": "sha512-lNkKvzEeMBBjUGHZ+q6z9pSJla0KWAQPvtzhEV9+iGyQYG+pBpl7xKDhxoNSOZH2hhv0v5k0y2yAM4o4SjoSkw==", - "dev": true, - "dependencies": { - "map-visit": "^1.0.0", - "object-visit": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/color-convert": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz", - "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==", - "dependencies": { - "color-name": "~1.1.4" - }, - "engines": { - "node": ">=7.0.0" - } - }, - "node_modules/color-name": { - "version": "1.1.4", - "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz", - "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA==" - }, - "node_modules/color-support": { - "version": "1.1.3", - "resolved": "https://registry.npmjs.org/color-support/-/color-support-1.1.3.tgz", - "integrity": "sha512-qiBjkpbMLO/HL68y+lh4q0/O1MZFj2RX6X/KmMa3+gJD3z+WwI1ZzDHysvqHGS3mP6mznPckpXmw1nI9cJjyRg==", - "dev": true, - "bin": { - "color-support": "bin.js" - } - }, - "node_modules/colorette": { - "version": "2.0.20", - "resolved": "https://registry.npmjs.org/colorette/-/colorette-2.0.20.tgz", - "integrity": "sha512-IfEDxwoWIjkeXL1eXcDiow4UbKjhLdq6/EuSVR9GMN7KVH3r9gQ83e73hsz1Nd1T3ijd5xv1wcWRYO+D6kCI2w==", - "dev": true - }, - "node_modules/commander": { - "version": "4.1.1", - "resolved": "https://registry.npmjs.org/commander/-/commander-4.1.1.tgz", - "integrity": "sha512-NOKm8xhkzAjzFx8B2v5OAHT+u5pRQc2UCa2Vq9jYL/31o2wi9mxBA7LIFs3sV5VSC49z6pEhfbMULvShKj26WA==", - "engines": { - "node": ">= 6" - } - }, - "node_modules/compare-func": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/compare-func/-/compare-func-2.0.0.tgz", - "integrity": "sha512-zHig5N+tPWARooBnb0Zx1MFcdfpyJrfTJ3Y5L+IFvUm8rM74hHz66z0gw0x4tijh5CorKkKUCnW82R2vmpeCRA==", - "dev": true, - "dependencies": { - "array-ify": "^1.0.0", - "dot-prop": "^5.1.0" - } - }, - "node_modules/component-emitter": { - "version": "1.3.0", - "resolved": "https://registry.npmjs.org/component-emitter/-/component-emitter-1.3.0.tgz", - "integrity": "sha512-Rd3se6QB+sO1TwqZjscQrurpEPIfO0/yYnSin6Q/rD3mOutHvUrCAhJub3r90uNb+SESBuE0QYoB90YdfatsRg==", - "dev": true - }, - "node_modules/concat-map": { - "version": "0.0.1", - "resolved": "https://registry.npmjs.org/concat-map/-/concat-map-0.0.1.tgz", - "integrity": "sha512-/Srv4dswyQNBfohGpz9o6Yb3Gz3SrUDqBH5rTuhGR7ahtlbYKnVxw2bCFMRljaA7EXHaXZ8wsHdodFvbkhKmqg==" - }, - "node_modules/concat-stream": { - "version": "1.6.2", - "resolved": "https://registry.npmjs.org/concat-stream/-/concat-stream-1.6.2.tgz", - "integrity": "sha512-27HBghJxjiZtIk3Ycvn/4kbJk/1uZuJFfuPEns6LaEvpvG1f0hTea8lilrouyo9mVc2GWdcEZ8OLoGmSADlrCw==", - "dev": true, - "engines": [ - "node >= 0.8" - ], - "dependencies": { - "buffer-from": "^1.0.0", - "inherits": "^2.0.3", - "readable-stream": "^2.2.2", - "typedarray": "^0.0.6" - } - }, - "node_modules/config-chain": { - "version": "1.1.13", - "resolved": "https://registry.npmjs.org/config-chain/-/config-chain-1.1.13.tgz", - "integrity": "sha512-qj+f8APARXHrM0hraqXYb2/bOVSV4PvJQlNZ/DVj0QrmNM2q2euizkeuVckQ57J+W0mRH6Hvi+k50M4Jul2VRQ==", - "dev": true, - "dependencies": { - "ini": "^1.3.4", - "proto-list": "~1.2.1" - } - }, - "node_modules/configstore": { - "version": "6.0.0", - "resolved": "https://registry.npmjs.org/configstore/-/configstore-6.0.0.tgz", - "integrity": "sha512-cD31W1v3GqUlQvbBCGcXmd2Nj9SvLDOP1oQ0YFuLETufzSPaKp11rYBsSOm7rCsW3OnIRAFM3OxRhceaXNYHkA==", - "dev": true, - "dependencies": { - "dot-prop": "^6.0.1", - "graceful-fs": "^4.2.6", - "unique-string": "^3.0.0", - "write-file-atomic": "^3.0.3", - "xdg-basedir": "^5.0.1" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/yeoman/configstore?sponsor=1" - } - }, - "node_modules/configstore/node_modules/dot-prop": { - "version": "6.0.1", - "resolved": "https://registry.npmjs.org/dot-prop/-/dot-prop-6.0.1.tgz", - "integrity": "sha512-tE7ztYzXHIeyvc7N+hR3oi7FIbf/NIjVP9hmAt3yMXzrQ072/fpjGLx2GxNxGxUl5V73MEqYzioOMoVhGMJ5cA==", - "dev": true, - "dependencies": { - "is-obj": "^2.0.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/configstore/node_modules/write-file-atomic": { - "version": "3.0.3", - "resolved": "https://registry.npmjs.org/write-file-atomic/-/write-file-atomic-3.0.3.tgz", - "integrity": "sha512-AvHcyZ5JnSfq3ioSyjrBkH9yW4m7Ayk8/9My/DD9onKeu/94fwrMocemO2QAJFAlnnDN+ZDS+ZjAR5ua1/PV/Q==", - "dev": true, - "dependencies": { - "imurmurhash": "^0.1.4", - "is-typedarray": "^1.0.0", - "signal-exit": "^3.0.2", - "typedarray-to-buffer": "^3.1.5" - } - }, - "node_modules/conventional-changelog-angular": { - "version": "5.0.13", - "resolved": "https://registry.npmjs.org/conventional-changelog-angular/-/conventional-changelog-angular-5.0.13.tgz", - "integrity": "sha512-i/gipMxs7s8L/QeuavPF2hLnJgH6pEZAttySB6aiQLWcX3puWDL3ACVmvBhJGxnAy52Qc15ua26BufY6KpmrVA==", - "dev": true, - "dependencies": { - "compare-func": "^2.0.0", - "q": "^1.5.1" - }, - "engines": { - "node": ">=10" - } - }, - "node_modules/conventional-commits-parser": { - "version": "3.2.4", - "resolved": "https://registry.npmjs.org/conventional-commits-parser/-/conventional-commits-parser-3.2.4.tgz", - "integrity": "sha512-nK7sAtfi+QXbxHCYfhpZsfRtaitZLIA6889kFIouLvz6repszQDgxBu7wf2WbU+Dco7sAnNCJYERCwt54WPC2Q==", - "dev": true, - "dependencies": { - "is-text-path": "^1.0.1", - "JSONStream": "^1.0.4", - "lodash": "^4.17.15", - "meow": "^8.0.0", - "split2": "^3.0.0", - "through2": "^4.0.0" - }, - "bin": { - "conventional-commits-parser": "cli.js" - }, - "engines": { - "node": ">=10" - } - }, - "node_modules/convert-source-map": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/convert-source-map/-/convert-source-map-2.0.0.tgz", - "integrity": "sha512-Kvp459HrV2FEJ1CAsi1Ku+MY3kasH19TFykTz2xWmMeq6bk2NU3XXvfJ+Q61m0xktWwt+1HSYf3JZsTms3aRJg==", - "dev": true - }, - "node_modules/copy-descriptor": { - "version": "0.1.1", - "resolved": "https://registry.npmjs.org/copy-descriptor/-/copy-descriptor-0.1.1.tgz", - "integrity": "sha512-XgZ0pFcakEUlbwQEVNg3+QAis1FyTL3Qel9FYy8pSkQqoG3PNoT0bOCQtOXcOkur21r2Eq2kI+IE+gsmAEVlYw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/copy-props": { - "version": "2.0.5", - "resolved": "https://registry.npmjs.org/copy-props/-/copy-props-2.0.5.tgz", - "integrity": "sha512-XBlx8HSqrT0ObQwmSzM7WE5k8FxTV75h1DX1Z3n6NhQ/UYYAvInWYmG06vFt7hQZArE2fuO62aihiWIVQwh1sw==", - "dev": true, - "dependencies": { - "each-props": "^1.3.2", - "is-plain-object": "^5.0.0" - } - }, - "node_modules/core-util-is": { - "version": "1.0.3", - "resolved": "https://registry.npmjs.org/core-util-is/-/core-util-is-1.0.3.tgz", - "integrity": "sha512-ZQBvi1DcpJ4GDqanjucZ2Hj3wEO5pZDS89BWbkcrvdxksJorwUDDZamX9ldFkp9aw2lmBDLgkObEA4DWNJ9FYQ==", - "dev": true - }, - "node_modules/cosmiconfig": { - "version": "8.1.3", - "resolved": "https://registry.npmjs.org/cosmiconfig/-/cosmiconfig-8.1.3.tgz", - "integrity": "sha512-/UkO2JKI18b5jVMJUp0lvKFMpa/Gye+ZgZjKD+DGEN9y7NRcf/nK1A0sp67ONmKtnDCNMS44E6jrk0Yc3bDuUw==", - "dev": true, - "dependencies": { - "import-fresh": "^3.2.1", - "js-yaml": "^4.1.0", - "parse-json": "^5.0.0", - "path-type": "^4.0.0" - }, - "engines": { - "node": ">=14" - }, - "funding": { - "url": "https://github.com/sponsors/d-fischer" - } - }, - "node_modules/cosmiconfig-typescript-loader": { - "version": "4.3.0", - "resolved": "https://registry.npmjs.org/cosmiconfig-typescript-loader/-/cosmiconfig-typescript-loader-4.3.0.tgz", - "integrity": "sha512-NTxV1MFfZDLPiBMjxbHRwSh5LaLcPMwNdCutmnHJCKoVnlvldPWlllonKwrsRJ5pYZBIBGRWWU2tfvzxgeSW5Q==", - "dev": true, - "engines": { - "node": ">=12", - "npm": ">=6" - }, - "peerDependencies": { - "@types/node": "*", - "cosmiconfig": ">=7", - "ts-node": ">=10", - "typescript": ">=3" - } - }, - "node_modules/create-require": { - "version": "1.1.1", - "resolved": "https://registry.npmjs.org/create-require/-/create-require-1.1.1.tgz", - "integrity": "sha512-dcKFX3jn0MpIaXjisoRvexIJVEKzaq7z2rZKxf+MSr9TkdmHmsU4m2lcLojrj/FHl8mk5VxMmYA+ftRkP/3oKQ==", - "dev": true - }, - "node_modules/cross-spawn": { - "version": "7.0.3", - "resolved": "https://registry.npmjs.org/cross-spawn/-/cross-spawn-7.0.3.tgz", - "integrity": "sha512-iRDPJKUPVEND7dHPO8rkbOnPpyDygcDFtWjpeWNCgy8WP2rXcxXL8TskReQl6OrB2G7+UJrags1q15Fudc7G6w==", - "dependencies": { - "path-key": "^3.1.0", - "shebang-command": "^2.0.0", - "which": "^2.0.1" - }, - "engines": { - "node": ">= 8" - } - }, - "node_modules/crypto-random-string": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/crypto-random-string/-/crypto-random-string-4.0.0.tgz", - "integrity": "sha512-x8dy3RnvYdlUcPOjkEHqozhiwzKNSq7GcPuXFbnyMOCHxX8V3OgIg/pYuabl2sbUPfIJaeAQB7PMOK8DFIdoRA==", - "dev": true, - "dependencies": { - "type-fest": "^1.0.1" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/crypto-random-string/node_modules/type-fest": { - "version": "1.4.0", - "resolved": "https://registry.npmjs.org/type-fest/-/type-fest-1.4.0.tgz", - "integrity": "sha512-yGSza74xk0UG8k+pLh5oeoYirvIiWo5t0/o3zHHAO2tRDiZcxWP7fywNlXhqb6/r6sWvwi+RsyQMWhVLe4BVuA==", - "dev": true, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/d": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/d/-/d-1.0.1.tgz", - "integrity": "sha512-m62ShEObQ39CfralilEQRjH6oAMtNCV1xJyEx5LpRYUVN+EviphDgUc/F3hnYbADmkiNs67Y+3ylmlG7Lnu+FA==", - "dev": true, - "dependencies": { - "es5-ext": "^0.10.50", - "type": "^1.0.1" - } - }, - "node_modules/dargs": { - "version": "7.0.0", - "resolved": "https://registry.npmjs.org/dargs/-/dargs-7.0.0.tgz", - "integrity": "sha512-2iy1EkLdlBzQGvbweYRFxmFath8+K7+AKB0TlhHWkNuH+TmovaMH/Wp7V7R4u7f4SnX3OgLsU9t1NI9ioDnUpg==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/data-uri-to-buffer": { - "version": "4.0.1", - "resolved": "https://registry.npmjs.org/data-uri-to-buffer/-/data-uri-to-buffer-4.0.1.tgz", - "integrity": "sha512-0R9ikRb668HB7QDxT1vkpuUBtqc53YyAwMwGeUFKRojY/NWKvdZ+9UYtRfGmhqNbRkTSVpMbmyhXipFFv2cb/A==", - "dev": true, - "engines": { - "node": ">= 12" - } - }, - "node_modules/debug": { - "version": "4.3.4", - "resolved": "https://registry.npmjs.org/debug/-/debug-4.3.4.tgz", - "integrity": "sha512-PRWFHuSU3eDtQJPvnNY7Jcket1j0t5OuOsFzPPzsekD52Zl8qUfFIPEiswXqIvHWGVHOgX+7G/vCNNhehwxfkQ==", - "dev": true, - "dependencies": { - "ms": "2.1.2" - }, - "engines": { - "node": ">=6.0" - }, - "peerDependenciesMeta": { - "supports-color": { - "optional": true - } - } - }, - "node_modules/decamelize": { - "version": "1.2.0", - "resolved": "https://registry.npmjs.org/decamelize/-/decamelize-1.2.0.tgz", - "integrity": "sha512-z2S+W9X73hAUUki+N+9Za2lBlun89zigOyGrsax+KUQ6wKW4ZoWpEYBkGhQjwAjjDCkWxhY0VKEhk8wzY7F5cA==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/decamelize-keys": { - "version": "1.1.1", - "resolved": "https://registry.npmjs.org/decamelize-keys/-/decamelize-keys-1.1.1.tgz", - "integrity": "sha512-WiPxgEirIV0/eIOMcnFBA3/IJZAZqKnwAwWyvvdi4lsr1WCN22nhdf/3db3DoZcUjTV2SqfzIwNyp6y2xs3nmg==", - "dev": true, - "dependencies": { - "decamelize": "^1.1.0", - "map-obj": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/decamelize-keys/node_modules/map-obj": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/map-obj/-/map-obj-1.0.1.tgz", - "integrity": "sha512-7N/q3lyZ+LVCp7PzuxrJr4KMbBE2hW7BT7YNia330OFxIf4d3r5zVpicP2650l7CPN6RM9zOJRl3NGpqSiw3Eg==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/decode-uri-component": { - "version": "0.2.2", - "resolved": "https://registry.npmjs.org/decode-uri-component/-/decode-uri-component-0.2.2.tgz", - "integrity": "sha512-FqUYQ+8o158GyGTrMFJms9qh3CqTKvAqgqsTnkLI8sKu0028orqBhxNMFkFen0zGyg6epACD32pjVk58ngIErQ==", - "dev": true, - "engines": { - "node": ">=0.10" - } - }, - "node_modules/decompress-response": { - "version": "6.0.0", - "resolved": "https://registry.npmjs.org/decompress-response/-/decompress-response-6.0.0.tgz", - "integrity": "sha512-aW35yZM6Bb/4oJlZncMH2LCoZtJXTRxES17vE3hoRiowU2kWHaJKFkSBDnDR+cm9J+9QhXmREyIfv0pji9ejCQ==", - "dev": true, - "dependencies": { - "mimic-response": "^3.1.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/decompress-response/node_modules/mimic-response": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/mimic-response/-/mimic-response-3.1.0.tgz", - "integrity": "sha512-z0yWI+4FDrrweS8Zmt4Ej5HdJmky15+L2e6Wgn3+iK5fWzb6T3fhNFq2+MeTRb064c6Wr4N/wv0DzQTjNzHNGQ==", - "dev": true, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/dedent": { - "version": "0.7.0", - "resolved": "https://registry.npmjs.org/dedent/-/dedent-0.7.0.tgz", - "integrity": "sha512-Q6fKUPqnAHAyhiUgFU7BUzLiv0kd8saH9al7tnu5Q/okj6dnupxyTgFIBjVzJATdfIAm9NAsvXNzjaKa+bxVyA==", - "dev": true - }, - "node_modules/deep-extend": { - "version": "0.6.0", - "resolved": "https://registry.npmjs.org/deep-extend/-/deep-extend-0.6.0.tgz", - "integrity": "sha512-LOHxIOaPYdHlJRtCQfDIVZtfw/ufM8+rVj649RIHzcm/vGwQRXFt6OPqIFWsm2XEMrNIEtWR64sY1LEKD2vAOA==", - "dev": true, - "engines": { - "node": ">=4.0.0" - } - }, - "node_modules/deep-is": { - "version": "0.1.4", - "resolved": "https://registry.npmjs.org/deep-is/-/deep-is-0.1.4.tgz", - "integrity": "sha512-oIPzksmTg4/MriiaYGO+okXDT7ztn/w3Eptv/+gSIdMdKsJo0u4CfYNFJPy+4SKMuCqGw2wxnA+URMg3t8a/bQ==", - "dev": true - }, - "node_modules/deepmerge": { - "version": "4.3.1", - "resolved": "https://registry.npmjs.org/deepmerge/-/deepmerge-4.3.1.tgz", - "integrity": "sha512-3sUqbMEc77XqpdNO7FRyRog+eW3ph+GYCbj+rK+uYyRMuwsVy0rMiVtPn+QJlKFvWP/1PYpapqYn0Me2knFn+A==", - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/default-browser": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/default-browser/-/default-browser-4.0.0.tgz", - "integrity": "sha512-wX5pXO1+BrhMkSbROFsyxUm0i/cJEScyNhA4PPxc41ICuv05ZZB/MX28s8aZx6xjmatvebIapF6hLEKEcpneUA==", - "dev": true, - "dependencies": { - "bundle-name": "^3.0.0", - "default-browser-id": "^3.0.0", - "execa": "^7.1.1", - "titleize": "^3.0.0" - }, - "engines": { - "node": ">=14.16" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/default-browser-id": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/default-browser-id/-/default-browser-id-3.0.0.tgz", - "integrity": "sha512-OZ1y3y0SqSICtE8DE4S8YOE9UZOJ8wO16fKWVP5J1Qz42kV9jcnMVFrEE/noXb/ss3Q4pZIH79kxofzyNNtUNA==", - "dev": true, - "dependencies": { - "bplist-parser": "^0.2.0", - "untildify": "^4.0.0" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/default-browser/node_modules/execa": { - "version": "7.1.1", - "resolved": "https://registry.npmjs.org/execa/-/execa-7.1.1.tgz", - "integrity": "sha512-wH0eMf/UXckdUYnO21+HDztteVv05rq2GXksxT4fCGeHkBhw1DROXh40wcjMcRqDOWE7iPJ4n3M7e2+YFP+76Q==", - "dev": true, - "dependencies": { - "cross-spawn": "^7.0.3", - "get-stream": "^6.0.1", - "human-signals": "^4.3.0", - "is-stream": "^3.0.0", - "merge-stream": "^2.0.0", - "npm-run-path": "^5.1.0", - "onetime": "^6.0.0", - "signal-exit": "^3.0.7", - "strip-final-newline": "^3.0.0" - }, - "engines": { - "node": "^14.18.0 || ^16.14.0 || >=18.0.0" - }, - "funding": { - "url": "https://github.com/sindresorhus/execa?sponsor=1" - } - }, - "node_modules/default-browser/node_modules/human-signals": { - "version": "4.3.1", - "resolved": "https://registry.npmjs.org/human-signals/-/human-signals-4.3.1.tgz", - "integrity": "sha512-nZXjEF2nbo7lIw3mgYjItAfgQXog3OjJogSbKa2CQIIvSGWcKgeJnQlNXip6NglNzYH45nSRiEVimMvYL8DDqQ==", - "dev": true, - "engines": { - "node": ">=14.18.0" - } - }, - "node_modules/default-browser/node_modules/is-stream": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/is-stream/-/is-stream-3.0.0.tgz", - "integrity": "sha512-LnQR4bZ9IADDRSkvpqMGvt/tEJWclzklNgSw48V5EAaAeDd6qGvN8ei6k5p0tvxSR171VmGyHuTiAOfxAbr8kA==", - "dev": true, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/default-browser/node_modules/mimic-fn": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/mimic-fn/-/mimic-fn-4.0.0.tgz", - "integrity": "sha512-vqiC06CuhBTUdZH+RYl8sFrL096vA45Ok5ISO6sE/Mr1jRbGH4Csnhi8f3wKVl7x8mO4Au7Ir9D3Oyv1VYMFJw==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/default-browser/node_modules/npm-run-path": { - "version": "5.1.0", - "resolved": "https://registry.npmjs.org/npm-run-path/-/npm-run-path-5.1.0.tgz", - "integrity": "sha512-sJOdmRGrY2sjNTRMbSvluQqg+8X7ZK61yvzBEIDhz4f8z1TZFYABsqjjCBd/0PUNE9M6QDgHJXQkGUEm7Q+l9Q==", - "dev": true, - "dependencies": { - "path-key": "^4.0.0" - }, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/default-browser/node_modules/onetime": { - "version": "6.0.0", - "resolved": "https://registry.npmjs.org/onetime/-/onetime-6.0.0.tgz", - "integrity": "sha512-1FlR+gjXK7X+AsAHso35MnyN5KqGwJRi/31ft6x0M194ht7S+rWAvd7PHss9xSKMzE0asv1pyIHaJYq+BbacAQ==", - "dev": true, - "dependencies": { - "mimic-fn": "^4.0.0" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/default-browser/node_modules/path-key": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/path-key/-/path-key-4.0.0.tgz", - "integrity": "sha512-haREypq7xkM7ErfgIyA0z+Bj4AGKlMSdlQE2jvJo6huWD1EdkKYV+G/T4nq0YEF2vgTT8kqMFKo1uHn950r4SQ==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/default-browser/node_modules/strip-final-newline": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/strip-final-newline/-/strip-final-newline-3.0.0.tgz", - "integrity": "sha512-dOESqjYr96iWYylGObzd39EuNTa5VJxyvVAEm5Jnh7KGo75V43Hk1odPQkNDyXNmUR6k+gEiDVXnjB8HJ3crXw==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/default-compare": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/default-compare/-/default-compare-1.0.0.tgz", - "integrity": "sha512-QWfXlM0EkAbqOCbD/6HjdwT19j7WCkMyiRhWilc4H9/5h/RzTF9gv5LYh1+CmDV5d1rki6KAWLtQale0xt20eQ==", - "dev": true, - "dependencies": { - "kind-of": "^5.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/default-resolution": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/default-resolution/-/default-resolution-2.0.0.tgz", - "integrity": "sha512-2xaP6GiwVwOEbXCGoJ4ufgC76m8cj805jrghScewJC2ZDsb9U0b4BIrba+xt/Uytyd0HvQ6+WymSRTfnYj59GQ==", - "dev": true, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/defaults": { - "version": "1.0.4", - "resolved": "https://registry.npmjs.org/defaults/-/defaults-1.0.4.tgz", - "integrity": "sha512-eFuaLoy/Rxalv2kr+lqMlUnrDWV+3j4pljOIJgLIhI058IQfWJ7vXhyEIHu+HtC738klGALYxOKDO0bQP3tg8A==", - "dependencies": { - "clone": "^1.0.2" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/defaults/node_modules/clone": { - "version": "1.0.4", - "resolved": "https://registry.npmjs.org/clone/-/clone-1.0.4.tgz", - "integrity": "sha512-JQHZ2QMW6l3aH/j6xCqQThY/9OH4D/9ls34cgkUBiEeocRTU04tHfKPBsUK1PqZCUQM7GiA0IIXJSuXHI64Kbg==", - "engines": { - "node": ">=0.8" - } - }, - "node_modules/defer-to-connect": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/defer-to-connect/-/defer-to-connect-2.0.1.tgz", - "integrity": "sha512-4tvttepXG1VaYGrRibk5EwJd1t4udunSOVMdLSAL6mId1ix438oPwPZMALY41FCijukO1L0twNcGsdzS7dHgDg==", - "dev": true, - "engines": { - "node": ">=10" - } - }, - "node_modules/define-lazy-prop": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/define-lazy-prop/-/define-lazy-prop-3.0.0.tgz", - "integrity": "sha512-N+MeXYoqr3pOgn8xfyRPREN7gHakLYjhsHhWGT3fWAiL4IkAt0iDw14QiiEm2bE30c5XX5q0FtAA3CK5f9/BUg==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/define-properties": { - "version": "1.2.0", - "resolved": "https://registry.npmjs.org/define-properties/-/define-properties-1.2.0.tgz", - "integrity": "sha512-xvqAVKGfT1+UAvPwKTVw/njhdQ8ZhXK4lI0bCIuCMrp2up9nPnaDftrLtmpTazqd1o+UY4zgzU+avtMbDP+ldA==", - "dev": true, - "dependencies": { - "has-property-descriptors": "^1.0.0", - "object-keys": "^1.1.1" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/define-property": { - "version": "2.0.2", - "resolved": "https://registry.npmjs.org/define-property/-/define-property-2.0.2.tgz", - "integrity": "sha512-jwK2UV4cnPpbcG7+VRARKTZPUWowwXA8bzH5NP6ud0oeAxyYPuGZUAC7hMugpCdz4BeSZl2Dl9k66CHJ/46ZYQ==", - "dev": true, - "dependencies": { - "is-descriptor": "^1.0.2", - "isobject": "^3.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/degenerator": { - "version": "3.0.4", - "resolved": "https://registry.npmjs.org/degenerator/-/degenerator-3.0.4.tgz", - "integrity": "sha512-Z66uPeBfHZAHVmue3HPfyKu2Q0rC2cRxbTOsvmU/po5fvvcx27W4mIu9n0PUlQih4oUYvcG1BsbtVv8x7KDOSw==", - "dev": true, - "dependencies": { - "ast-types": "^0.13.2", - "escodegen": "^1.8.1", - "esprima": "^4.0.0", - "vm2": "^3.9.17" - }, - "engines": { - "node": ">= 6" - } - }, - "node_modules/delete-empty": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/delete-empty/-/delete-empty-3.0.0.tgz", - "integrity": "sha512-ZUyiwo76W+DYnKsL3Kim6M/UOavPdBJgDYWOmuQhYaZvJH0AXAHbUNyEDtRbBra8wqqr686+63/0azfEk1ebUQ==", - "dev": true, - "dependencies": { - "ansi-colors": "^4.1.0", - "minimist": "^1.2.0", - "path-starts-with": "^2.0.0", - "rimraf": "^2.6.2" - }, - "bin": { - "delete-empty": "bin/cli.js" - }, - "engines": { - "node": ">=10" - } - }, - "node_modules/delete-empty/node_modules/rimraf": { - "version": "2.7.1", - "resolved": "https://registry.npmjs.org/rimraf/-/rimraf-2.7.1.tgz", - "integrity": "sha512-uWjbaKIK3T1OSVptzX7Nl6PvQ3qAGtKEtVRjRuazjfL3Bx5eI409VZSqgND+4UNnmzLVdPj9FqFJNPqBZFve4w==", - "dev": true, - "dependencies": { - "glob": "^7.1.3" - }, - "bin": { - "rimraf": "bin.js" - } - }, - "node_modules/depd": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/depd/-/depd-2.0.0.tgz", - "integrity": "sha512-g7nH6P6dyDioJogAAGprGpCtVImJhpPk/roCzdb3fIh61/s/nPsfR6onyMwkCAR/OlC3yBC0lESvUoQEAssIrw==", - "dev": true, - "engines": { - "node": ">= 0.8" - } - }, - "node_modules/deprecation": { - "version": "2.3.1", - "resolved": "https://registry.npmjs.org/deprecation/-/deprecation-2.3.1.tgz", - "integrity": "sha512-xmHIy4F3scKVwMsQ4WnVaS8bHOx0DmVwRywosKhaILI0ywMDWPtBSku2HNxRvF7jtwDRsoEwYQSfbxj8b7RlJQ==", - "dev": true - }, - "node_modules/detect-file": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/detect-file/-/detect-file-1.0.0.tgz", - "integrity": "sha512-DtCOLG98P007x7wiiOmfI0fi3eIKyWiLTGJ2MDnVi/E04lWGbf+JzrRHMm0rgIIZJGtHpKpbVgLWHrv8xXpc3Q==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/detect-newline": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/detect-newline/-/detect-newline-3.1.0.tgz", - "integrity": "sha512-TLz+x/vEXm/Y7P7wn1EJFNLxYpUD4TgMosxY6fAVJUnJMbupHBOncxyWUG9OpTaH9EBD7uFI5LfEgmMOc54DsA==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/diff": { - "version": "4.0.2", - "resolved": "https://registry.npmjs.org/diff/-/diff-4.0.2.tgz", - "integrity": "sha512-58lmxKSA4BNyLz+HHMUzlOEpg09FV+ev6ZMe3vJihgdxzgcwZ8VoEEPmALCZG9LmqfVoNMMKpttIYTVG6uDY7A==", - "dev": true, - "engines": { - "node": ">=0.3.1" - } - }, - "node_modules/diff-sequences": { - "version": "29.4.3", - "resolved": "https://registry.npmjs.org/diff-sequences/-/diff-sequences-29.4.3.tgz", - "integrity": "sha512-ofrBgwpPhCD85kMKtE9RYFFq6OC1A89oW2vvgWZNCwxrUpRUILopY7lsYyMDSjc8g6U6aiO0Qubg6r4Wgt5ZnA==", - "dev": true, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/dir-glob": { - "version": "3.0.1", - "resolved": "https://registry.npmjs.org/dir-glob/-/dir-glob-3.0.1.tgz", - "integrity": "sha512-WkrWp9GR4KXfKGYzOLmTuGVi1UWFfws377n9cc55/tb6DuqyF6pcQ5AbiHEshaDpY9v6oaSr2XCDidGmMwdzIA==", - "dev": true, - "dependencies": { - "path-type": "^4.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/doctrine": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/doctrine/-/doctrine-3.0.0.tgz", - "integrity": "sha512-yS+Q5i3hBf7GBkd4KG8a7eBNNWNGLTaEwwYWUijIYM7zrlYDM0BFXHjjPWlWZ1Rg7UaddZeIDmi9jF3HmqiQ2w==", - "dev": true, - "dependencies": { - "esutils": "^2.0.2" - }, - "engines": { - "node": ">=6.0.0" - } - }, - "node_modules/dot-prop": { - "version": "5.3.0", - "resolved": "https://registry.npmjs.org/dot-prop/-/dot-prop-5.3.0.tgz", - "integrity": "sha512-QM8q3zDe58hqUqjraQOmzZ1LIH9SWQJTlEKCH4kJ2oQvLZk7RbQXvtDM2XEq3fwkV9CCvvH4LA0AV+ogFsBM2Q==", - "dev": true, - "dependencies": { - "is-obj": "^2.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/duplexify": { - "version": "3.7.1", - "resolved": "https://registry.npmjs.org/duplexify/-/duplexify-3.7.1.tgz", - "integrity": "sha512-07z8uv2wMyS51kKhD1KsdXJg5WQ6t93RneqRxUHnskXVtlYYkLqM0gqStQZ3pj073g687jPCHrqNfCzawLYh5g==", - "dev": true, - "dependencies": { - "end-of-stream": "^1.0.0", - "inherits": "^2.0.1", - "readable-stream": "^2.0.0", - "stream-shift": "^1.0.0" - } - }, - "node_modules/each-props": { - "version": "1.3.2", - "resolved": "https://registry.npmjs.org/each-props/-/each-props-1.3.2.tgz", - "integrity": "sha512-vV0Hem3zAGkJAyU7JSjixeU66rwdynTAa1vofCrSA5fEln+m67Az9CcnkVD776/fsN/UjIWmBDoNRS6t6G9RfA==", - "dev": true, - "dependencies": { - "is-plain-object": "^2.0.1", - "object.defaults": "^1.1.0" - } - }, - "node_modules/each-props/node_modules/is-plain-object": { - "version": "2.0.4", - "resolved": "https://registry.npmjs.org/is-plain-object/-/is-plain-object-2.0.4.tgz", - "integrity": "sha512-h5PpgXkWitc38BBMYawTYMWJHFZJVnBquFE57xFpjB8pJFiF6gZ+bU+WyI/yqXiFR5mdLsgYNaPe8uao6Uv9Og==", - "dev": true, - "dependencies": { - "isobject": "^3.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/eastasianwidth": { - "version": "0.2.0", - "resolved": "https://registry.npmjs.org/eastasianwidth/-/eastasianwidth-0.2.0.tgz", - "integrity": "sha512-I88TYZWc9XiYHRQ4/3c5rjjfgkjhLyW2luGIheGERbNQ6OY7yTybanSpDXZa8y7VUP9YmDcYa+eyq4ca7iLqWA==", - "dev": true - }, - "node_modules/electron-to-chromium": { - "version": "1.4.380", - "resolved": "https://registry.npmjs.org/electron-to-chromium/-/electron-to-chromium-1.4.380.tgz", - "integrity": "sha512-XKGdI4pWM78eLH2cbXJHiBnWUwFSzZM7XujsB6stDiGu9AeSqziedP6amNLpJzE3i0rLTcfAwdCTs5ecP5yeSg==" - }, - "node_modules/emittery": { - "version": "0.13.1", - "resolved": "https://registry.npmjs.org/emittery/-/emittery-0.13.1.tgz", - "integrity": "sha512-DeWwawk6r5yR9jFgnDKYt4sLS0LmHJJi3ZOnb5/JdbYwj3nW+FxQnHIjhBKz8YLC7oRNPVM9NQ47I3CVx34eqQ==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sindresorhus/emittery?sponsor=1" - } - }, - "node_modules/emoji-regex": { - "version": "8.0.0", - "resolved": "https://registry.npmjs.org/emoji-regex/-/emoji-regex-8.0.0.tgz", - "integrity": "sha512-MSjYzcWNOA0ewAHpz0MxpYFvwg6yjy1NG3xteoqz644VCo/RPgnr1/GGt+ic3iJTzQ8Eu3TdM14SawnVUmGE6A==" - }, - "node_modules/end-of-stream": { - "version": "1.4.4", - "resolved": "https://registry.npmjs.org/end-of-stream/-/end-of-stream-1.4.4.tgz", - "integrity": "sha512-+uw1inIHVPQoaVuHzRyXd21icM+cnt4CzD5rW+NC1wjOUSTOs+Te7FOv7AhN7vS9x/oIyhLP5PR1H+phQAHu5Q==", - "dependencies": { - "once": "^1.4.0" - } - }, - "node_modules/enhanced-resolve": { - "version": "5.13.0", - "resolved": "https://registry.npmjs.org/enhanced-resolve/-/enhanced-resolve-5.13.0.tgz", - "integrity": "sha512-eyV8f0y1+bzyfh8xAwW/WTSZpLbjhqc4ne9eGSH4Zo2ejdyiNG9pU6mf9DG8a7+Auk6MFTlNOT4Y2y/9k8GKVg==", - "dependencies": { - "graceful-fs": "^4.2.4", - "tapable": "^2.2.0" - }, - "engines": { - "node": ">=10.13.0" - } - }, - "node_modules/error-ex": { - "version": "1.3.2", - "resolved": "https://registry.npmjs.org/error-ex/-/error-ex-1.3.2.tgz", - "integrity": "sha512-7dFHNmqeFSEt2ZBsCriorKnn3Z2pj+fd9kmI6QoWw4//DL+icEBfc0U7qJCisqrTsKTjw4fNFy2pW9OqStD84g==", - "dependencies": { - "is-arrayish": "^0.2.1" - } - }, - "node_modules/es-abstract": { - "version": "1.21.2", - "resolved": "https://registry.npmjs.org/es-abstract/-/es-abstract-1.21.2.tgz", - "integrity": "sha512-y/B5POM2iBnIxCiernH1G7rC9qQoM77lLIMQLuob0zhp8C56Po81+2Nj0WFKnd0pNReDTnkYryc+zhOzpEIROg==", - "dev": true, - "dependencies": { - "array-buffer-byte-length": "^1.0.0", - "available-typed-arrays": "^1.0.5", - "call-bind": "^1.0.2", - "es-set-tostringtag": "^2.0.1", - "es-to-primitive": "^1.2.1", - "function.prototype.name": "^1.1.5", - "get-intrinsic": "^1.2.0", - "get-symbol-description": "^1.0.0", - "globalthis": "^1.0.3", - "gopd": "^1.0.1", - "has": "^1.0.3", - "has-property-descriptors": "^1.0.0", - "has-proto": "^1.0.1", - "has-symbols": "^1.0.3", - "internal-slot": "^1.0.5", - "is-array-buffer": "^3.0.2", - "is-callable": "^1.2.7", - "is-negative-zero": "^2.0.2", - "is-regex": "^1.1.4", - "is-shared-array-buffer": "^1.0.2", - "is-string": "^1.0.7", - "is-typed-array": "^1.1.10", - "is-weakref": "^1.0.2", - "object-inspect": "^1.12.3", - "object-keys": "^1.1.1", - "object.assign": "^4.1.4", - "regexp.prototype.flags": "^1.4.3", - "safe-regex-test": "^1.0.0", - "string.prototype.trim": "^1.2.7", - "string.prototype.trimend": "^1.0.6", - "string.prototype.trimstart": "^1.0.6", - "typed-array-length": "^1.0.4", - "unbox-primitive": "^1.0.2", - "which-typed-array": "^1.1.9" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/es-array-method-boxes-properly": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/es-array-method-boxes-properly/-/es-array-method-boxes-properly-1.0.0.tgz", - "integrity": "sha512-wd6JXUmyHmt8T5a2xreUwKcGPq6f1f+WwIJkijUqiGcJz1qqnZgP6XIK+QyIWU5lT7imeNxUll48bziG+TSYcA==", - "dev": true - }, - "node_modules/es-get-iterator": { - "version": "1.1.3", - "resolved": "https://registry.npmjs.org/es-get-iterator/-/es-get-iterator-1.1.3.tgz", - "integrity": "sha512-sPZmqHBe6JIiTfN5q2pEi//TwxmAFHwj/XEuYjTuse78i8KxaqMTTzxPoFKuzRpDpTJ+0NAbpfenkmH2rePtuw==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2", - "get-intrinsic": "^1.1.3", - "has-symbols": "^1.0.3", - "is-arguments": "^1.1.1", - "is-map": "^2.0.2", - "is-set": "^2.0.2", - "is-string": "^1.0.7", - "isarray": "^2.0.5", - "stop-iteration-iterator": "^1.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/es-get-iterator/node_modules/isarray": { - "version": "2.0.5", - "resolved": "https://registry.npmjs.org/isarray/-/isarray-2.0.5.tgz", - "integrity": "sha512-xHjhDr3cNBK0BzdUJSPXZntQUx/mwMS5Rw4A7lPJ90XGAO6ISP/ePDNuo0vhqOZU+UD5JoodwCAAoZQd3FeAKw==", - "dev": true - }, - "node_modules/es-module-lexer": { - "version": "1.2.1", - "resolved": "https://registry.npmjs.org/es-module-lexer/-/es-module-lexer-1.2.1.tgz", - "integrity": "sha512-9978wrXM50Y4rTMmW5kXIC09ZdXQZqkE4mxhwkd8VbzsGkXGPgV4zWuqQJgCEzYngdo2dYDa0l8xhX4fkSwJSg==" - }, - "node_modules/es-set-tostringtag": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/es-set-tostringtag/-/es-set-tostringtag-2.0.1.tgz", - "integrity": "sha512-g3OMbtlwY3QewlqAiMLI47KywjWZoEytKr8pf6iTC8uJq5bIAH52Z9pnQ8pVL6whrCto53JZDuUIsifGeLorTg==", - "dev": true, - "dependencies": { - "get-intrinsic": "^1.1.3", - "has": "^1.0.3", - "has-tostringtag": "^1.0.0" - }, - "engines": { - "node": ">= 0.4" - } - }, - "node_modules/es-to-primitive": { - "version": "1.2.1", - "resolved": "https://registry.npmjs.org/es-to-primitive/-/es-to-primitive-1.2.1.tgz", - "integrity": "sha512-QCOllgZJtaUo9miYBcLChTUaHNjJF3PYs1VidD7AwiEj1kYxKeQTctLAezAOH5ZKRH0g2IgPn6KwB4IT8iRpvA==", - "dev": true, - "dependencies": { - "is-callable": "^1.1.4", - "is-date-object": "^1.0.1", - "is-symbol": "^1.0.2" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/es5-ext": { - "version": "0.10.62", - "resolved": "https://registry.npmjs.org/es5-ext/-/es5-ext-0.10.62.tgz", - "integrity": "sha512-BHLqn0klhEpnOKSrzn/Xsz2UIW8j+cGmo9JLzr8BiUapV8hPL9+FliFqjwr9ngW7jWdnxv6eO+/LqyhJVqgrjA==", - "dev": true, - "hasInstallScript": true, - "dependencies": { - "es6-iterator": "^2.0.3", - "es6-symbol": "^3.1.3", - "next-tick": "^1.1.0" - }, - "engines": { - "node": ">=0.10" - } - }, - "node_modules/es6-iterator": { - "version": "2.0.3", - "resolved": "https://registry.npmjs.org/es6-iterator/-/es6-iterator-2.0.3.tgz", - "integrity": "sha512-zw4SRzoUkd+cl+ZoE15A9o1oQd920Bb0iOJMQkQhl3jNc03YqVjAhG7scf9C5KWRU/R13Orf588uCC6525o02g==", - "dev": true, - "dependencies": { - "d": "1", - "es5-ext": "^0.10.35", - "es6-symbol": "^3.1.1" - } - }, - "node_modules/es6-symbol": { - "version": "3.1.3", - "resolved": "https://registry.npmjs.org/es6-symbol/-/es6-symbol-3.1.3.tgz", - "integrity": "sha512-NJ6Yn3FuDinBaBRWl/q5X/s4koRHBrgKAu+yGI6JCBeiu3qrcbJhwT2GeR/EXVfylRk8dpQVJoLEFhK+Mu31NA==", - "dev": true, - "dependencies": { - "d": "^1.0.1", - "ext": "^1.1.2" - } - }, - "node_modules/es6-weak-map": { - "version": "2.0.3", - "resolved": "https://registry.npmjs.org/es6-weak-map/-/es6-weak-map-2.0.3.tgz", - "integrity": "sha512-p5um32HOTO1kP+w7PRnB+5lQ43Z6muuMuIMffvDN8ZB4GcnjLBV6zGStpbASIMk4DCAvEaamhe2zhyCb/QXXsA==", - "dev": true, - "dependencies": { - "d": "1", - "es5-ext": "^0.10.46", - "es6-iterator": "^2.0.3", - "es6-symbol": "^3.1.1" - } - }, - "node_modules/escalade": { - "version": "3.1.1", - "resolved": "https://registry.npmjs.org/escalade/-/escalade-3.1.1.tgz", - "integrity": "sha512-k0er2gUkLf8O0zKJiAhmkTnJlTvINGv7ygDNPbeIsX/TJjGJZHuh9B2UxbsaEkmlEo9MfhrSzmhIlhRlI2GXnw==", - "engines": { - "node": ">=6" - } - }, - "node_modules/escape-goat": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/escape-goat/-/escape-goat-4.0.0.tgz", - "integrity": "sha512-2Sd4ShcWxbx6OY1IHyla/CVNwvg7XwZVoXZHcSu9w9SReNP1EzzD5T8NWKIR38fIqEns9kDWKUQTXXAmlDrdPg==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/escape-string-regexp": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/escape-string-regexp/-/escape-string-regexp-4.0.0.tgz", - "integrity": "sha512-TtpcNJ3XAzx3Gq8sWRzJaVajRs0uVxA2YAkdb1jm2YkPz4G6egUFAyA3n5vtEIZefPk5Wa4UXbKuS5fKkJWdgA==", - "dev": true, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/escodegen": { - "version": "1.14.3", - "resolved": "https://registry.npmjs.org/escodegen/-/escodegen-1.14.3.tgz", - "integrity": "sha512-qFcX0XJkdg+PB3xjZZG/wKSuT1PnQWx57+TVSjIMmILd2yC/6ByYElPwJnslDsuWuSAp4AwJGumarAAmJch5Kw==", - "dev": true, - "dependencies": { - "esprima": "^4.0.1", - "estraverse": "^4.2.0", - "esutils": "^2.0.2", - "optionator": "^0.8.1" - }, - "bin": { - "escodegen": "bin/escodegen.js", - "esgenerate": "bin/esgenerate.js" - }, - "engines": { - "node": ">=4.0" - }, - "optionalDependencies": { - "source-map": "~0.6.1" - } - }, - "node_modules/escodegen/node_modules/levn": { - "version": "0.3.0", - "resolved": "https://registry.npmjs.org/levn/-/levn-0.3.0.tgz", - "integrity": "sha512-0OO4y2iOHix2W6ujICbKIaEQXvFQHue65vUG3pb5EUomzPI90z9hsA1VsO/dbIIpC53J8gxM9Q4Oho0jrCM/yA==", - "dev": true, - "dependencies": { - "prelude-ls": "~1.1.2", - "type-check": "~0.3.2" - }, - "engines": { - "node": ">= 0.8.0" - } - }, - "node_modules/escodegen/node_modules/optionator": { - "version": "0.8.3", - "resolved": "https://registry.npmjs.org/optionator/-/optionator-0.8.3.tgz", - "integrity": "sha512-+IW9pACdk3XWmmTXG8m3upGUJst5XRGzxMRjXzAuJ1XnIFNvfhjjIuYkDvysnPQ7qzqVzLt78BCruntqRhWQbA==", - "dev": true, - "dependencies": { - "deep-is": "~0.1.3", - "fast-levenshtein": "~2.0.6", - "levn": "~0.3.0", - "prelude-ls": "~1.1.2", - "type-check": "~0.3.2", - "word-wrap": "~1.2.3" - }, - "engines": { - "node": ">= 0.8.0" - } - }, - "node_modules/escodegen/node_modules/prelude-ls": { - "version": "1.1.2", - "resolved": "https://registry.npmjs.org/prelude-ls/-/prelude-ls-1.1.2.tgz", - "integrity": "sha512-ESF23V4SKG6lVSGZgYNpbsiaAkdab6ZgOxe52p7+Kid3W3u3bxR4Vfd/o21dmN7jSt0IwgZ4v5MUd26FEtXE9w==", - "dev": true, - "engines": { - "node": ">= 0.8.0" - } - }, - "node_modules/escodegen/node_modules/source-map": { - "version": "0.6.1", - "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz", - "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==", - "dev": true, - "optional": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/escodegen/node_modules/type-check": { - "version": "0.3.2", - "resolved": "https://registry.npmjs.org/type-check/-/type-check-0.3.2.tgz", - "integrity": "sha512-ZCmOJdvOWDBYJlzAoFkC+Q0+bUyEOS1ltgp1MGU03fqHG+dbi9tBFU2Rd9QKiDZFAYrhPh2JUf7rZRIuHRKtOg==", - "dev": true, - "dependencies": { - "prelude-ls": "~1.1.2" - }, - "engines": { - "node": ">= 0.8.0" - } - }, - "node_modules/eslint": { - "version": "8.39.0", - "resolved": "https://registry.npmjs.org/eslint/-/eslint-8.39.0.tgz", - "integrity": "sha512-mwiok6cy7KTW7rBpo05k6+p4YVZByLNjAZ/ACB9DRCu4YDRwjXI01tWHp6KAUWelsBetTxKK/2sHB0vdS8Z2Og==", - "dev": true, - "dependencies": { - "@eslint-community/eslint-utils": "^4.2.0", - "@eslint-community/regexpp": "^4.4.0", - "@eslint/eslintrc": "^2.0.2", - "@eslint/js": "8.39.0", - "@humanwhocodes/config-array": "^0.11.8", - "@humanwhocodes/module-importer": "^1.0.1", - "@nodelib/fs.walk": "^1.2.8", - "ajv": "^6.10.0", - "chalk": "^4.0.0", - "cross-spawn": "^7.0.2", - "debug": "^4.3.2", - "doctrine": "^3.0.0", - "escape-string-regexp": "^4.0.0", - "eslint-scope": "^7.2.0", - "eslint-visitor-keys": "^3.4.0", - "espree": "^9.5.1", - "esquery": "^1.4.2", - "esutils": "^2.0.2", - "fast-deep-equal": "^3.1.3", - "file-entry-cache": "^6.0.1", - "find-up": "^5.0.0", - "glob-parent": "^6.0.2", - "globals": "^13.19.0", - "grapheme-splitter": "^1.0.4", - "ignore": "^5.2.0", - "import-fresh": "^3.0.0", - "imurmurhash": "^0.1.4", - "is-glob": "^4.0.0", - "is-path-inside": "^3.0.3", - "js-sdsl": "^4.1.4", - "js-yaml": "^4.1.0", - "json-stable-stringify-without-jsonify": "^1.0.1", - "levn": "^0.4.1", - "lodash.merge": "^4.6.2", - "minimatch": "^3.1.2", - "natural-compare": "^1.4.0", - "optionator": "^0.9.1", - "strip-ansi": "^6.0.1", - "strip-json-comments": "^3.1.0", - "text-table": "^0.2.0" - }, - "bin": { - "eslint": "bin/eslint.js" - }, - "engines": { - "node": "^12.22.0 || ^14.17.0 || >=16.0.0" - }, - "funding": { - "url": "https://opencollective.com/eslint" - } - }, - "node_modules/eslint-config-prettier": { - "version": "8.8.0", - "resolved": "https://registry.npmjs.org/eslint-config-prettier/-/eslint-config-prettier-8.8.0.tgz", - "integrity": "sha512-wLbQiFre3tdGgpDv67NQKnJuTlcUVYHas3k+DZCc2U2BadthoEY4B7hLPvAxaqdyOGCzuLfii2fqGph10va7oA==", - "dev": true, - "bin": { - "eslint-config-prettier": "bin/cli.js" - }, - "peerDependencies": { - "eslint": ">=7.0.0" - } - }, - "node_modules/eslint-scope": { - "version": "5.1.1", - "resolved": "https://registry.npmjs.org/eslint-scope/-/eslint-scope-5.1.1.tgz", - "integrity": "sha512-2NxwbF/hZ0KpepYN0cNbo+FN6XoK7GaHlQhgx/hIZl6Va0bF45RQOOwhLIy8lQDbuCiadSLCBnH2CFYquit5bw==", - "dependencies": { - "esrecurse": "^4.3.0", - "estraverse": "^4.1.1" - }, - "engines": { - "node": ">=8.0.0" - } - }, - "node_modules/eslint-visitor-keys": { - "version": "3.4.0", - "resolved": "https://registry.npmjs.org/eslint-visitor-keys/-/eslint-visitor-keys-3.4.0.tgz", - "integrity": "sha512-HPpKPUBQcAsZOsHAFwTtIKcYlCje62XB7SEAcxjtmW6TD1WVpkS6i6/hOVtTZIl4zGj/mBqpFVGvaDneik+VoQ==", - "dev": true, - "engines": { - "node": "^12.22.0 || ^14.17.0 || >=16.0.0" - }, - "funding": { - "url": "https://opencollective.com/eslint" - } - }, - "node_modules/eslint/node_modules/ajv": { - "version": "6.12.6", - "resolved": "https://registry.npmjs.org/ajv/-/ajv-6.12.6.tgz", - "integrity": "sha512-j3fVLgvTo527anyYyJOGTYJbG+vnnQYvE0m5mmkc1TK+nxAppkCLMIL0aZ4dblVCNoGShhm+kzE4ZUykBoMg4g==", - "dev": true, - "dependencies": { - "fast-deep-equal": "^3.1.1", - "fast-json-stable-stringify": "^2.0.0", - "json-schema-traverse": "^0.4.1", - "uri-js": "^4.2.2" - }, - "funding": { - "type": "github", - "url": "https://github.com/sponsors/epoberezkin" - } - }, - "node_modules/eslint/node_modules/eslint-scope": { - "version": "7.2.0", - "resolved": "https://registry.npmjs.org/eslint-scope/-/eslint-scope-7.2.0.tgz", - "integrity": "sha512-DYj5deGlHBfMt15J7rdtyKNq/Nqlv5KfU4iodrQ019XESsRnwXH9KAE0y3cwtUHDo2ob7CypAnCqefh6vioWRw==", - "dev": true, - "dependencies": { - "esrecurse": "^4.3.0", - "estraverse": "^5.2.0" - }, - "engines": { - "node": "^12.22.0 || ^14.17.0 || >=16.0.0" - }, - "funding": { - "url": "https://opencollective.com/eslint" - } - }, - "node_modules/eslint/node_modules/estraverse": { - "version": "5.3.0", - "resolved": "https://registry.npmjs.org/estraverse/-/estraverse-5.3.0.tgz", - "integrity": "sha512-MMdARuVEQziNTeJD8DgMqmhwR11BRQ/cBP+pLtYdSTnf3MIO8fFeiINEbX36ZdNlfU/7A9f3gUw49B3oQsvwBA==", - "dev": true, - "engines": { - "node": ">=4.0" - } - }, - "node_modules/eslint/node_modules/glob-parent": { - "version": "6.0.2", - "resolved": "https://registry.npmjs.org/glob-parent/-/glob-parent-6.0.2.tgz", - "integrity": "sha512-XxwI8EOhVQgWp6iDL+3b0r86f4d6AX6zSU55HfB4ydCEuXLXc5FcYeOu+nnGftS4TEju/11rt4KJPTMgbfmv4A==", - "dev": true, - "dependencies": { - "is-glob": "^4.0.3" - }, - "engines": { - "node": ">=10.13.0" - } - }, - "node_modules/eslint/node_modules/json-schema-traverse": { - "version": "0.4.1", - "resolved": "https://registry.npmjs.org/json-schema-traverse/-/json-schema-traverse-0.4.1.tgz", - "integrity": "sha512-xbbCH5dCYU5T8LcEhhuh7HJ88HXuW3qsI3Y0zOZFKfZEHcpWiHU/Jxzk629Brsab/mMiHQti9wMP+845RPe3Vg==", - "dev": true - }, - "node_modules/espree": { - "version": "9.5.1", - "resolved": "https://registry.npmjs.org/espree/-/espree-9.5.1.tgz", - "integrity": "sha512-5yxtHSZXRSW5pvv3hAlXM5+/Oswi1AUFqBmbibKb5s6bp3rGIDkyXU6xCoyuuLhijr4SFwPrXRoZjz0AZDN9tg==", - "dev": true, - "dependencies": { - "acorn": "^8.8.0", - "acorn-jsx": "^5.3.2", - "eslint-visitor-keys": "^3.4.0" - }, - "engines": { - "node": "^12.22.0 || ^14.17.0 || >=16.0.0" - }, - "funding": { - "url": "https://opencollective.com/eslint" - } - }, - "node_modules/esprima": { - "version": "4.0.1", - "resolved": "https://registry.npmjs.org/esprima/-/esprima-4.0.1.tgz", - "integrity": "sha512-eGuFFw7Upda+g4p+QHvnW0RyTX/SVeJBDM/gCtMARO0cLuT2HcEKnTPvhjV6aGeqrCB/sbNop0Kszm0jsaWU4A==", - "dev": true, - "bin": { - "esparse": "bin/esparse.js", - "esvalidate": "bin/esvalidate.js" - }, - "engines": { - "node": ">=4" - } - }, - "node_modules/esquery": { - "version": "1.5.0", - "resolved": "https://registry.npmjs.org/esquery/-/esquery-1.5.0.tgz", - "integrity": "sha512-YQLXUplAwJgCydQ78IMJywZCceoqk1oH01OERdSAJc/7U2AylwjhSCLDEtqwg811idIS/9fIU5GjG73IgjKMVg==", - "dev": true, - "dependencies": { - "estraverse": "^5.1.0" - }, - "engines": { - "node": ">=0.10" - } - }, - "node_modules/esquery/node_modules/estraverse": { - "version": "5.3.0", - "resolved": "https://registry.npmjs.org/estraverse/-/estraverse-5.3.0.tgz", - "integrity": "sha512-MMdARuVEQziNTeJD8DgMqmhwR11BRQ/cBP+pLtYdSTnf3MIO8fFeiINEbX36ZdNlfU/7A9f3gUw49B3oQsvwBA==", - "dev": true, - "engines": { - "node": ">=4.0" - } - }, - "node_modules/esrecurse": { - "version": "4.3.0", - "resolved": "https://registry.npmjs.org/esrecurse/-/esrecurse-4.3.0.tgz", - "integrity": "sha512-KmfKL3b6G+RXvP8N1vr3Tq1kL/oCFgn2NYXEtqP8/L3pKapUA4G8cFVaoF3SU323CD4XypR/ffioHmkti6/Tag==", - "dependencies": { - "estraverse": "^5.2.0" - }, - "engines": { - "node": ">=4.0" - } - }, - "node_modules/esrecurse/node_modules/estraverse": { - "version": "5.3.0", - "resolved": "https://registry.npmjs.org/estraverse/-/estraverse-5.3.0.tgz", - "integrity": "sha512-MMdARuVEQziNTeJD8DgMqmhwR11BRQ/cBP+pLtYdSTnf3MIO8fFeiINEbX36ZdNlfU/7A9f3gUw49B3oQsvwBA==", - "engines": { - "node": ">=4.0" - } - }, - "node_modules/estraverse": { - "version": "4.3.0", - "resolved": "https://registry.npmjs.org/estraverse/-/estraverse-4.3.0.tgz", - "integrity": "sha512-39nnKffWz8xN1BU/2c79n9nB9HDzo0niYUqx6xyqUnyoAnQyyWpOTdZEeiCch8BBu515t4wp9ZmgVfVhn9EBpw==", - "engines": { - "node": ">=4.0" - } - }, - "node_modules/esutils": { - "version": "2.0.3", - "resolved": "https://registry.npmjs.org/esutils/-/esutils-2.0.3.tgz", - "integrity": "sha512-kVscqXk4OCp68SZ0dkgEKVi6/8ij300KBWTJq32P/dYeWTSwK41WyTxalN1eRmA5Z9UU/LX9D7FWSmV9SAYx6g==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/events": { - "version": "3.3.0", - "resolved": "https://registry.npmjs.org/events/-/events-3.3.0.tgz", - "integrity": "sha512-mQw+2fkQbALzQ7V0MY0IqdnXNOeTtP4r0lN9z7AAawCXgqea7bDii20AYrIBrFd/Hx0M2Ocz6S111CaFkUcb0Q==", - "engines": { - "node": ">=0.8.x" - } - }, - "node_modules/execa": { - "version": "5.1.1", - "resolved": "https://registry.npmjs.org/execa/-/execa-5.1.1.tgz", - "integrity": "sha512-8uSpZZocAZRBAPIEINJj3Lo9HyGitllczc27Eh5YYojjMFMn8yHMDMaUHE2Jqfq05D/wucwI4JGURyXt1vchyg==", - "dev": true, - "dependencies": { - "cross-spawn": "^7.0.3", - "get-stream": "^6.0.0", - "human-signals": "^2.1.0", - "is-stream": "^2.0.0", - "merge-stream": "^2.0.0", - "npm-run-path": "^4.0.1", - "onetime": "^5.1.2", - "signal-exit": "^3.0.3", - "strip-final-newline": "^2.0.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sindresorhus/execa?sponsor=1" - } - }, - "node_modules/exit": { - "version": "0.1.2", - "resolved": "https://registry.npmjs.org/exit/-/exit-0.1.2.tgz", - "integrity": "sha512-Zk/eNKV2zbjpKzrsQ+n1G6poVbErQxJ0LBOJXaKZ1EViLzH+hrLu9cdXI4zw9dBQJslwBEpbQ2P1oS7nDxs6jQ==", - "dev": true, - "engines": { - "node": ">= 0.8.0" - } - }, - "node_modules/expand-brackets": { - "version": "2.1.4", - "resolved": "https://registry.npmjs.org/expand-brackets/-/expand-brackets-2.1.4.tgz", - "integrity": "sha512-w/ozOKR9Obk3qoWeY/WDi6MFta9AoMR+zud60mdnbniMcBxRuFJyDt2LdX/14A1UABeqk+Uk+LDfUpvoGKppZA==", - "dev": true, - "dependencies": { - "debug": "^2.3.3", - "define-property": "^0.2.5", - "extend-shallow": "^2.0.1", - "posix-character-classes": "^0.1.0", - "regex-not": "^1.0.0", - "snapdragon": "^0.8.1", - "to-regex": "^3.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/expand-brackets/node_modules/debug": { - "version": "2.6.9", - "resolved": "https://registry.npmjs.org/debug/-/debug-2.6.9.tgz", - "integrity": "sha512-bC7ElrdJaJnPbAP+1EotYvqZsb3ecl5wi6Bfi6BJTUcNowp6cvspg0jXznRTKDjm/E7AdgFBVeAPVMNcKGsHMA==", - "dev": true, - "dependencies": { - "ms": "2.0.0" - } - }, - "node_modules/expand-brackets/node_modules/define-property": { - "version": "0.2.5", - "resolved": "https://registry.npmjs.org/define-property/-/define-property-0.2.5.tgz", - "integrity": "sha512-Rr7ADjQZenceVOAKop6ALkkRAmH1A4Gx9hV/7ZujPUN2rkATqFO0JZLZInbAjpZYoJ1gUx8MRMQVkYemcbMSTA==", - "dev": true, - "dependencies": { - "is-descriptor": "^0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/expand-brackets/node_modules/extend-shallow": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/extend-shallow/-/extend-shallow-2.0.1.tgz", - "integrity": "sha512-zCnTtlxNoAiDc3gqY2aYAWFx7XWWiasuF2K8Me5WbN8otHKTUKBwjPtNpRs/rbUZm7KxWAaNj7P1a/p52GbVug==", - "dev": true, - "dependencies": { - "is-extendable": "^0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/expand-brackets/node_modules/is-accessor-descriptor": { - "version": "0.1.6", - "resolved": "https://registry.npmjs.org/is-accessor-descriptor/-/is-accessor-descriptor-0.1.6.tgz", - "integrity": "sha512-e1BM1qnDbMRG3ll2U9dSK0UMHuWOs3pY3AtcFsmvwPtKL3MML/Q86i+GilLfvqEs4GW+ExB91tQ3Ig9noDIZ+A==", - "dev": true, - "dependencies": { - "kind-of": "^3.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/expand-brackets/node_modules/is-accessor-descriptor/node_modules/kind-of": { - "version": "3.2.2", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-3.2.2.tgz", - "integrity": "sha512-NOW9QQXMoZGg/oqnVNoNTTIFEIid1627WCffUBJEdMxYApq7mNE7CpzucIPc+ZQg25Phej7IJSmX3hO+oblOtQ==", - "dev": true, - "dependencies": { - "is-buffer": "^1.1.5" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/expand-brackets/node_modules/is-data-descriptor": { - "version": "0.1.4", - "resolved": "https://registry.npmjs.org/is-data-descriptor/-/is-data-descriptor-0.1.4.tgz", - "integrity": "sha512-+w9D5ulSoBNlmw9OHn3U2v51SyoCd0he+bB3xMl62oijhrspxowjU+AIcDY0N3iEJbUEkB15IlMASQsxYigvXg==", - "dev": true, - "dependencies": { - "kind-of": "^3.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/expand-brackets/node_modules/is-data-descriptor/node_modules/kind-of": { - "version": "3.2.2", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-3.2.2.tgz", - "integrity": "sha512-NOW9QQXMoZGg/oqnVNoNTTIFEIid1627WCffUBJEdMxYApq7mNE7CpzucIPc+ZQg25Phej7IJSmX3hO+oblOtQ==", - "dev": true, - "dependencies": { - "is-buffer": "^1.1.5" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/expand-brackets/node_modules/is-descriptor": { - "version": "0.1.6", - "resolved": "https://registry.npmjs.org/is-descriptor/-/is-descriptor-0.1.6.tgz", - "integrity": "sha512-avDYr0SB3DwO9zsMov0gKCESFYqCnE4hq/4z3TdUlukEy5t9C0YRq7HLrsN52NAcqXKaepeCD0n+B0arnVG3Hg==", - "dev": true, - "dependencies": { - "is-accessor-descriptor": "^0.1.6", - "is-data-descriptor": "^0.1.4", - "kind-of": "^5.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/expand-brackets/node_modules/is-extendable": { - "version": "0.1.1", - "resolved": "https://registry.npmjs.org/is-extendable/-/is-extendable-0.1.1.tgz", - "integrity": "sha512-5BMULNob1vgFX6EjQw5izWDxrecWK9AM72rugNr0TFldMOi0fj6Jk+zeKIt0xGj4cEfQIJth4w3OKWOJ4f+AFw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/expand-brackets/node_modules/ms": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/ms/-/ms-2.0.0.tgz", - "integrity": "sha512-Tpp60P6IUJDTuOq/5Z8cdskzJujfwqfOTkrwIwj7IRISpnkJnT6SyJ4PCPnGMoFjC9ddhal5KVIYtAt97ix05A==", - "dev": true - }, - "node_modules/expand-tilde": { - "version": "2.0.2", - "resolved": "https://registry.npmjs.org/expand-tilde/-/expand-tilde-2.0.2.tgz", - "integrity": "sha512-A5EmesHW6rfnZ9ysHQjPdJRni0SRar0tjtG5MNtm9n5TUvsYU8oozprtRD4AqHxcZWWlVuAmQo2nWKfN9oyjTw==", - "dev": true, - "dependencies": { - "homedir-polyfill": "^1.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/expect": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/expect/-/expect-29.5.0.tgz", - "integrity": "sha512-yM7xqUrCO2JdpFo4XpM82t+PJBFybdqoQuJLDGeDX2ij8NZzqRHyu3Hp188/JX7SWqud+7t4MUdvcgGBICMHZg==", - "dev": true, - "dependencies": { - "@jest/expect-utils": "^29.5.0", - "jest-get-type": "^29.4.3", - "jest-matcher-utils": "^29.5.0", - "jest-message-util": "^29.5.0", - "jest-util": "^29.5.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/ext": { - "version": "1.7.0", - "resolved": "https://registry.npmjs.org/ext/-/ext-1.7.0.tgz", - "integrity": "sha512-6hxeJYaL110a9b5TEJSj0gojyHQAmA2ch5Os+ySCiA1QGdS697XWY1pzsrSjqA9LDEEgdB/KypIlR59RcLuHYw==", - "dev": true, - "dependencies": { - "type": "^2.7.2" - } - }, - "node_modules/ext/node_modules/type": { - "version": "2.7.2", - "resolved": "https://registry.npmjs.org/type/-/type-2.7.2.tgz", - "integrity": "sha512-dzlvlNlt6AXU7EBSfpAscydQ7gXB+pPGsPnfJnZpiNJBDj7IaJzQlBZYGdEi4R9HmPdBv2XmWJ6YUtoTa7lmCw==", - "dev": true - }, - "node_modules/extend": { - "version": "3.0.2", - "resolved": "https://registry.npmjs.org/extend/-/extend-3.0.2.tgz", - "integrity": "sha512-fjquC59cD7CyW6urNXK0FBufkZcoiGG80wTuPujX590cB5Ttln20E2UB4S/WARVqhXffZl2LNgS+gQdPIIim/g==", - "dev": true - }, - "node_modules/extend-shallow": { - "version": "1.1.4", - "resolved": "https://registry.npmjs.org/extend-shallow/-/extend-shallow-1.1.4.tgz", - "integrity": "sha512-L7AGmkO6jhDkEBBGWlLtftA80Xq8DipnrRPr0pyi7GQLXkaq9JYA4xF4z6qnadIC6euiTDKco0cGSU9muw+WTw==", - "dev": true, - "dependencies": { - "kind-of": "^1.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/extend-shallow/node_modules/kind-of": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-1.1.0.tgz", - "integrity": "sha512-aUH6ElPnMGon2/YkxRIigV32MOpTVcoXQ1Oo8aYn40s+sJ3j+0gFZsT8HKDcxNy7Fi9zuquWtGaGAahOdv5p/g==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/external-editor": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/external-editor/-/external-editor-3.1.0.tgz", - "integrity": "sha512-hMQ4CX1p1izmuLYyZqLMO/qGNw10wSv9QDCPfzXfyFrOaCSSoRfqE1Kf1s5an66J5JZC62NewG+mK49jOCtQew==", - "dependencies": { - "chardet": "^0.7.0", - "iconv-lite": "^0.4.24", - "tmp": "^0.0.33" - }, - "engines": { - "node": ">=4" - } - }, - "node_modules/extglob": { - "version": "2.0.4", - "resolved": "https://registry.npmjs.org/extglob/-/extglob-2.0.4.tgz", - "integrity": "sha512-Nmb6QXkELsuBr24CJSkilo6UHHgbekK5UiZgfE6UHD3Eb27YC6oD+bhcT+tJ6cl8dmsgdQxnWlcry8ksBIBLpw==", - "dev": true, - "dependencies": { - "array-unique": "^0.3.2", - "define-property": "^1.0.0", - "expand-brackets": "^2.1.4", - "extend-shallow": "^2.0.1", - "fragment-cache": "^0.2.1", - "regex-not": "^1.0.0", - "snapdragon": "^0.8.1", - "to-regex": "^3.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/extglob/node_modules/define-property": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/define-property/-/define-property-1.0.0.tgz", - "integrity": "sha512-cZTYKFWspt9jZsMscWo8sc/5lbPC9Q0N5nBLgb+Yd915iL3udB1uFgS3B8YCx66UVHq018DAVFoee7x+gxggeA==", - "dev": true, - "dependencies": { - "is-descriptor": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/extglob/node_modules/extend-shallow": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/extend-shallow/-/extend-shallow-2.0.1.tgz", - "integrity": "sha512-zCnTtlxNoAiDc3gqY2aYAWFx7XWWiasuF2K8Me5WbN8otHKTUKBwjPtNpRs/rbUZm7KxWAaNj7P1a/p52GbVug==", - "dev": true, - "dependencies": { - "is-extendable": "^0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/extglob/node_modules/is-extendable": { - "version": "0.1.1", - "resolved": "https://registry.npmjs.org/is-extendable/-/is-extendable-0.1.1.tgz", - "integrity": "sha512-5BMULNob1vgFX6EjQw5izWDxrecWK9AM72rugNr0TFldMOi0fj6Jk+zeKIt0xGj4cEfQIJth4w3OKWOJ4f+AFw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/fancy-log": { - "version": "1.3.3", - "resolved": "https://registry.npmjs.org/fancy-log/-/fancy-log-1.3.3.tgz", - "integrity": "sha512-k9oEhlyc0FrVh25qYuSELjr8oxsCoc4/LEZfg2iJJrfEk/tZL9bCoJE47gqAvI2m/AUjluCS4+3I0eTx8n3AEw==", - "dev": true, - "dependencies": { - "ansi-gray": "^0.1.1", - "color-support": "^1.1.3", - "parse-node-version": "^1.0.0", - "time-stamp": "^1.0.0" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/fast-deep-equal": { - "version": "3.1.3", - "resolved": "https://registry.npmjs.org/fast-deep-equal/-/fast-deep-equal-3.1.3.tgz", - "integrity": "sha512-f3qQ9oQy9j2AhBe/H9VC91wLmKBCCU/gDOnKNAYG5hswO7BLKj09Hc5HYNz9cGI++xlpDCIgDaitVs03ATR84Q==" - }, - "node_modules/fast-glob": { - "version": "3.2.12", - "resolved": "https://registry.npmjs.org/fast-glob/-/fast-glob-3.2.12.tgz", - "integrity": "sha512-DVj4CQIYYow0BlaelwK1pHl5n5cRSJfM60UA0zK891sVInoPri2Ekj7+e1CT3/3qxXenpI+nBBmQAcJPJgaj4w==", - "dev": true, - "dependencies": { - "@nodelib/fs.stat": "^2.0.2", - "@nodelib/fs.walk": "^1.2.3", - "glob-parent": "^5.1.2", - "merge2": "^1.3.0", - "micromatch": "^4.0.4" - }, - "engines": { - "node": ">=8.6.0" - } - }, - "node_modules/fast-json-stable-stringify": { - "version": "2.1.0", - "resolved": "https://registry.npmjs.org/fast-json-stable-stringify/-/fast-json-stable-stringify-2.1.0.tgz", - "integrity": "sha512-lhd/wF+Lk98HZoTCtlVraHtfh5XYijIjalXck7saUtuanSDyLMxnHhSXEDJqHxD7msR8D0uCmqlkwjCV8xvwHw==" - }, - "node_modules/fast-levenshtein": { - "version": "2.0.6", - "resolved": "https://registry.npmjs.org/fast-levenshtein/-/fast-levenshtein-2.0.6.tgz", - "integrity": "sha512-DCXu6Ifhqcks7TZKY3Hxp3y6qphY5SJZmrWMDrKcERSOXWQdMhU9Ig/PYrzyw/ul9jOIyh0N4M0tbC5hodg8dw==", - "dev": true - }, - "node_modules/fastq": { - "version": "1.15.0", - "resolved": "https://registry.npmjs.org/fastq/-/fastq-1.15.0.tgz", - "integrity": "sha512-wBrocU2LCXXa+lWBt8RoIRD89Fi8OdABODa/kEnyeyjS5aZO5/GNvI5sEINADqP/h8M29UHTHUb53sUu5Ihqdw==", - "dev": true, - "dependencies": { - "reusify": "^1.0.4" - } - }, - "node_modules/fb-watchman": { - "version": "2.0.2", - "resolved": "https://registry.npmjs.org/fb-watchman/-/fb-watchman-2.0.2.tgz", - "integrity": "sha512-p5161BqbuCaSnB8jIbzQHOlpgsPmK5rJVDfDKO91Axs5NC1uu3HRQm6wt9cd9/+GtQQIO53JdGXXoyDpTAsgYA==", - "dev": true, - "dependencies": { - "bser": "2.1.1" - } - }, - "node_modules/fetch-blob": { - "version": "3.2.0", - "resolved": "https://registry.npmjs.org/fetch-blob/-/fetch-blob-3.2.0.tgz", - "integrity": "sha512-7yAQpD2UMJzLi1Dqv7qFYnPbaPx7ZfFK6PiIxQ4PfkGPyNyl2Ugx+a/umUonmKqjhM4DnfbMvdX6otXq83soQQ==", - "dev": true, - "funding": [ - { - "type": "github", - "url": "https://github.com/sponsors/jimmywarting" - }, - { - "type": "paypal", - "url": "https://paypal.me/jimmywarting" - } - ], - "dependencies": { - "node-domexception": "^1.0.0", - "web-streams-polyfill": "^3.0.3" - }, - "engines": { - "node": "^12.20 || >= 14.13" - } - }, - "node_modules/figures": { - "version": "3.2.0", - "resolved": "https://registry.npmjs.org/figures/-/figures-3.2.0.tgz", - "integrity": "sha512-yaduQFRKLXYOGgEn6AZau90j3ggSOyiqXU0F9JZfeXYhNa+Jk4X+s45A2zg5jns87GAFa34BBm2kXw4XpNcbdg==", - "dependencies": { - "escape-string-regexp": "^1.0.5" - }, - "engines": { - "node": ">=8" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/figures/node_modules/escape-string-regexp": { - "version": "1.0.5", - "resolved": "https://registry.npmjs.org/escape-string-regexp/-/escape-string-regexp-1.0.5.tgz", - "integrity": "sha512-vbRorB5FUQWvla16U8R/qgaFIya2qGzwDrNmCZuYKrbdSUMG6I1ZCGQRefkRVhuOkIGVne7BQ35DSfo1qvJqFg==", - "engines": { - "node": ">=0.8.0" - } - }, - "node_modules/file-entry-cache": { - "version": "6.0.1", - "resolved": "https://registry.npmjs.org/file-entry-cache/-/file-entry-cache-6.0.1.tgz", - "integrity": "sha512-7Gps/XWymbLk2QLYK4NzpMOrYjMhdIxXuIvy2QBsLE6ljuodKvdkWs/cpyJJ3CVIVpH0Oi1Hvg1ovbMzLdFBBg==", - "dev": true, - "dependencies": { - "flat-cache": "^3.0.4" - }, - "engines": { - "node": "^10.12.0 || >=12.0.0" - } - }, - "node_modules/file-uri-to-path": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/file-uri-to-path/-/file-uri-to-path-2.0.0.tgz", - "integrity": "sha512-hjPFI8oE/2iQPVe4gbrJ73Pp+Xfub2+WI2LlXDbsaJBwT5wuMh35WNWVYYTpnz895shtwfyutMFLFywpQAFdLg==", - "dev": true, - "engines": { - "node": ">= 6" - } - }, - "node_modules/fill-range": { - "version": "7.0.1", - "resolved": "https://registry.npmjs.org/fill-range/-/fill-range-7.0.1.tgz", - "integrity": "sha512-qOo9F+dMUmC2Lcb4BbVvnKJxTPjCm+RRpe4gDuGrzkL7mEVl/djYSu2OdQ2Pa302N4oqkSg9ir6jaLWJ2USVpQ==", - "dependencies": { - "to-regex-range": "^5.0.1" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/find-up": { - "version": "5.0.0", - "resolved": "https://registry.npmjs.org/find-up/-/find-up-5.0.0.tgz", - "integrity": "sha512-78/PXT1wlLLDgTzDs7sjq9hzz0vXD+zn+7wypEe4fXQxCmdmqfGsEPQxmiCSQI3ajFV91bVSsvNtrJRiW6nGng==", - "dev": true, - "dependencies": { - "locate-path": "^6.0.0", - "path-exists": "^4.0.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/findup-sync": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/findup-sync/-/findup-sync-3.0.0.tgz", - "integrity": "sha512-YbffarhcicEhOrm4CtrwdKBdCuz576RLdhJDsIfvNtxUuhdRet1qZcsMjqbePtAseKdAnDyM/IyXbu7PRPRLYg==", - "dev": true, - "dependencies": { - "detect-file": "^1.0.0", - "is-glob": "^4.0.0", - "micromatch": "^3.0.4", - "resolve-dir": "^1.0.1" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/findup-sync/node_modules/arr-diff": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/arr-diff/-/arr-diff-4.0.0.tgz", - "integrity": "sha512-YVIQ82gZPGBebQV/a8dar4AitzCQs0jjXwMPZllpXMaGjXPYVUawSxQrRsjhjupyVxEvbHgUmIhKVlND+j02kA==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/findup-sync/node_modules/braces": { - "version": "2.3.2", - "resolved": "https://registry.npmjs.org/braces/-/braces-2.3.2.tgz", - "integrity": "sha512-aNdbnj9P8PjdXU4ybaWLK2IF3jc/EoDYbC7AazW6to3TRsfXxscC9UXOB5iDiEQrkyIbWp2SLQda4+QAa7nc3w==", - "dev": true, - "dependencies": { - "arr-flatten": "^1.1.0", - "array-unique": "^0.3.2", - "extend-shallow": "^2.0.1", - "fill-range": "^4.0.0", - "isobject": "^3.0.1", - "repeat-element": "^1.1.2", - "snapdragon": "^0.8.1", - "snapdragon-node": "^2.0.1", - "split-string": "^3.0.2", - "to-regex": "^3.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/findup-sync/node_modules/braces/node_modules/extend-shallow": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/extend-shallow/-/extend-shallow-2.0.1.tgz", - "integrity": "sha512-zCnTtlxNoAiDc3gqY2aYAWFx7XWWiasuF2K8Me5WbN8otHKTUKBwjPtNpRs/rbUZm7KxWAaNj7P1a/p52GbVug==", - "dev": true, - "dependencies": { - "is-extendable": "^0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/findup-sync/node_modules/braces/node_modules/is-extendable": { - "version": "0.1.1", - "resolved": "https://registry.npmjs.org/is-extendable/-/is-extendable-0.1.1.tgz", - "integrity": "sha512-5BMULNob1vgFX6EjQw5izWDxrecWK9AM72rugNr0TFldMOi0fj6Jk+zeKIt0xGj4cEfQIJth4w3OKWOJ4f+AFw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/findup-sync/node_modules/extend-shallow": { - "version": "3.0.2", - "resolved": "https://registry.npmjs.org/extend-shallow/-/extend-shallow-3.0.2.tgz", - "integrity": "sha512-BwY5b5Ql4+qZoefgMj2NUmx+tehVTH/Kf4k1ZEtOHNFcm2wSxMRo992l6X3TIgni2eZVTZ85xMOjF31fwZAj6Q==", - "dev": true, - "dependencies": { - "assign-symbols": "^1.0.0", - "is-extendable": "^1.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/findup-sync/node_modules/fill-range": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/fill-range/-/fill-range-4.0.0.tgz", - "integrity": "sha512-VcpLTWqWDiTerugjj8e3+esbg+skS3M9e54UuR3iCeIDMXCLTsAH8hTSzDQU/X6/6t3eYkOKoZSef2PlU6U1XQ==", - "dev": true, - "dependencies": { - "extend-shallow": "^2.0.1", - "is-number": "^3.0.0", - "repeat-string": "^1.6.1", - "to-regex-range": "^2.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/findup-sync/node_modules/fill-range/node_modules/extend-shallow": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/extend-shallow/-/extend-shallow-2.0.1.tgz", - "integrity": "sha512-zCnTtlxNoAiDc3gqY2aYAWFx7XWWiasuF2K8Me5WbN8otHKTUKBwjPtNpRs/rbUZm7KxWAaNj7P1a/p52GbVug==", - "dev": true, - "dependencies": { - "is-extendable": "^0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/findup-sync/node_modules/fill-range/node_modules/is-extendable": { - "version": "0.1.1", - "resolved": "https://registry.npmjs.org/is-extendable/-/is-extendable-0.1.1.tgz", - "integrity": "sha512-5BMULNob1vgFX6EjQw5izWDxrecWK9AM72rugNr0TFldMOi0fj6Jk+zeKIt0xGj4cEfQIJth4w3OKWOJ4f+AFw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/findup-sync/node_modules/is-number": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/is-number/-/is-number-3.0.0.tgz", - "integrity": "sha512-4cboCqIpliH+mAvFNegjZQ4kgKc3ZUhQVr3HvWbSh5q3WH2v82ct+T2Y1hdU5Gdtorx/cLifQjqCbL7bpznLTg==", - "dev": true, - "dependencies": { - "kind-of": "^3.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/findup-sync/node_modules/is-number/node_modules/kind-of": { - "version": "3.2.2", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-3.2.2.tgz", - "integrity": "sha512-NOW9QQXMoZGg/oqnVNoNTTIFEIid1627WCffUBJEdMxYApq7mNE7CpzucIPc+ZQg25Phej7IJSmX3hO+oblOtQ==", - "dev": true, - "dependencies": { - "is-buffer": "^1.1.5" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/findup-sync/node_modules/kind-of": { - "version": "6.0.3", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-6.0.3.tgz", - "integrity": "sha512-dcS1ul+9tmeD95T+x28/ehLgd9mENa3LsvDTtzm3vyBEO7RPptvAD+t44WVXaUjTBRcrpFeFlC8WCruUR456hw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/findup-sync/node_modules/micromatch": { - "version": "3.1.10", - "resolved": "https://registry.npmjs.org/micromatch/-/micromatch-3.1.10.tgz", - "integrity": "sha512-MWikgl9n9M3w+bpsY3He8L+w9eF9338xRl8IAO5viDizwSzziFEyUzo2xrrloB64ADbTf8uA8vRqqttDTOmccg==", - "dev": true, - "dependencies": { - "arr-diff": "^4.0.0", - "array-unique": "^0.3.2", - "braces": "^2.3.1", - "define-property": "^2.0.2", - "extend-shallow": "^3.0.2", - "extglob": "^2.0.4", - "fragment-cache": "^0.2.1", - "kind-of": "^6.0.2", - "nanomatch": "^1.2.9", - "object.pick": "^1.3.0", - "regex-not": "^1.0.0", - "snapdragon": "^0.8.1", - "to-regex": "^3.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/findup-sync/node_modules/to-regex-range": { - "version": "2.1.1", - "resolved": "https://registry.npmjs.org/to-regex-range/-/to-regex-range-2.1.1.tgz", - "integrity": "sha512-ZZWNfCjUokXXDGXFpZehJIkZqq91BcULFq/Pi7M5i4JnxXdhMKAK682z8bCW3o8Hj1wuuzoKcW3DfVzaP6VuNg==", - "dev": true, - "dependencies": { - "is-number": "^3.0.0", - "repeat-string": "^1.6.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/fined": { - "version": "1.2.0", - "resolved": "https://registry.npmjs.org/fined/-/fined-1.2.0.tgz", - "integrity": "sha512-ZYDqPLGxDkDhDZBjZBb+oD1+j0rA4E0pXY50eplAAOPg2N/gUBSSk5IM1/QhPfyVo19lJ+CvXpqfvk+b2p/8Ng==", - "dev": true, - "dependencies": { - "expand-tilde": "^2.0.2", - "is-plain-object": "^2.0.3", - "object.defaults": "^1.1.0", - "object.pick": "^1.2.0", - "parse-filepath": "^1.0.1" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/fined/node_modules/is-plain-object": { - "version": "2.0.4", - "resolved": "https://registry.npmjs.org/is-plain-object/-/is-plain-object-2.0.4.tgz", - "integrity": "sha512-h5PpgXkWitc38BBMYawTYMWJHFZJVnBquFE57xFpjB8pJFiF6gZ+bU+WyI/yqXiFR5mdLsgYNaPe8uao6Uv9Og==", - "dev": true, - "dependencies": { - "isobject": "^3.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/flagged-respawn": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/flagged-respawn/-/flagged-respawn-1.0.1.tgz", - "integrity": "sha512-lNaHNVymajmk0OJMBn8fVUAU1BtDeKIqKoVhk4xAALB57aALg6b4W0MfJ/cUE0g9YBXy5XhSlPIpYIJ7HaY/3Q==", - "dev": true, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/flat-cache": { - "version": "3.0.4", - "resolved": "https://registry.npmjs.org/flat-cache/-/flat-cache-3.0.4.tgz", - "integrity": "sha512-dm9s5Pw7Jc0GvMYbshN6zchCA9RgQlzzEZX3vylR9IqFfS8XciblUXOKfW6SiuJ0e13eDYZoZV5wdrev7P3Nwg==", - "dev": true, - "dependencies": { - "flatted": "^3.1.0", - "rimraf": "^3.0.2" - }, - "engines": { - "node": "^10.12.0 || >=12.0.0" - } - }, - "node_modules/flat-cache/node_modules/rimraf": { - "version": "3.0.2", - "resolved": "https://registry.npmjs.org/rimraf/-/rimraf-3.0.2.tgz", - "integrity": "sha512-JZkJMZkAGFFPP2YqXZXPbMlMBgsxzE8ILs4lMIX/2o0L9UBw9O/Y3o6wFw/i9YLapcUJWwqbi3kdxIPdC62TIA==", - "dev": true, - "dependencies": { - "glob": "^7.1.3" - }, - "bin": { - "rimraf": "bin.js" - }, - "funding": { - "url": "https://github.com/sponsors/isaacs" - } - }, - "node_modules/flatted": { - "version": "3.2.7", - "resolved": "https://registry.npmjs.org/flatted/-/flatted-3.2.7.tgz", - "integrity": "sha512-5nqDSxl8nn5BSNxyR3n4I6eDmbolI6WT+QqR547RwxQapgjQBmtktdP+HTBb/a/zLsbzERTONyUB5pefh5TtjQ==", - "dev": true - }, - "node_modules/flush-write-stream": { - "version": "1.1.1", - "resolved": "https://registry.npmjs.org/flush-write-stream/-/flush-write-stream-1.1.1.tgz", - "integrity": "sha512-3Z4XhFZ3992uIq0XOqb9AreonueSYphE6oYbpt5+3u06JWklbsPkNv3ZKkP9Bz/r+1MWCaMoSQ28P85+1Yc77w==", - "dev": true, - "dependencies": { - "inherits": "^2.0.3", - "readable-stream": "^2.3.6" - } - }, - "node_modules/for-each": { - "version": "0.3.3", - "resolved": "https://registry.npmjs.org/for-each/-/for-each-0.3.3.tgz", - "integrity": "sha512-jqYfLp7mo9vIyQf8ykW2v7A+2N4QjeCeI5+Dz9XraiO1ign81wjiH7Fb9vSOWvQfNtmSa4H2RoQTrrXivdUZmw==", - "dev": true, - "dependencies": { - "is-callable": "^1.1.3" - } - }, - "node_modules/for-in": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/for-in/-/for-in-1.0.2.tgz", - "integrity": "sha512-7EwmXrOjyL+ChxMhmG5lnW9MPt1aIeZEwKhQzoBUdTV0N3zuwWDZYVJatDvZ2OyzPUvdIAZDsCetk3coyMfcnQ==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/for-own": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/for-own/-/for-own-1.0.0.tgz", - "integrity": "sha512-0OABksIGrxKK8K4kynWkQ7y1zounQxP+CWnyclVwj81KW3vlLlGUx57DKGcP/LH216GzqnstnPocF16Nxs0Ycg==", - "dev": true, - "dependencies": { - "for-in": "^1.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/fork-ts-checker-webpack-plugin": { - "version": "8.0.0", - "resolved": "https://registry.npmjs.org/fork-ts-checker-webpack-plugin/-/fork-ts-checker-webpack-plugin-8.0.0.tgz", - "integrity": "sha512-mX3qW3idpueT2klaQXBzrIM/pHw+T0B/V9KHEvNrqijTq9NFnMZU6oreVxDYcf33P8a5cW+67PjodNHthGnNVg==", - "dependencies": { - "@babel/code-frame": "^7.16.7", - "chalk": "^4.1.2", - "chokidar": "^3.5.3", - "cosmiconfig": "^7.0.1", - "deepmerge": "^4.2.2", - "fs-extra": "^10.0.0", - "memfs": "^3.4.1", - "minimatch": "^3.0.4", - "node-abort-controller": "^3.0.1", - "schema-utils": "^3.1.1", - "semver": "^7.3.5", - "tapable": "^2.2.1" - }, - "engines": { - "node": ">=12.13.0", - "yarn": ">=1.0.0" - }, - "peerDependencies": { - "typescript": ">3.6.0", - "webpack": "^5.11.0" - } - }, - "node_modules/fork-ts-checker-webpack-plugin/node_modules/cosmiconfig": { - "version": "7.1.0", - "resolved": "https://registry.npmjs.org/cosmiconfig/-/cosmiconfig-7.1.0.tgz", - "integrity": "sha512-AdmX6xUzdNASswsFtmwSt7Vj8po9IuqXm0UXz7QKPuEUmPB4XyjGfaAr2PSuELMwkRMVH1EpIkX5bTZGRB3eCA==", - "dependencies": { - "@types/parse-json": "^4.0.0", - "import-fresh": "^3.2.1", - "parse-json": "^5.0.0", - "path-type": "^4.0.0", - "yaml": "^1.10.0" - }, - "engines": { - "node": ">=10" - } - }, - "node_modules/fork-ts-checker-webpack-plugin/node_modules/fs-extra": { - "version": "10.1.0", - "resolved": "https://registry.npmjs.org/fs-extra/-/fs-extra-10.1.0.tgz", - "integrity": "sha512-oRXApq54ETRj4eMiFzGnHWGy+zo5raudjuxN0b8H7s/RU2oW0Wvsx9O0ACRN/kRq9E8Vu/ReskGB5o3ji+FzHQ==", - "dependencies": { - "graceful-fs": "^4.2.0", - "jsonfile": "^6.0.1", - "universalify": "^2.0.0" - }, - "engines": { - "node": ">=12" - } - }, - "node_modules/fork-ts-checker-webpack-plugin/node_modules/yaml": { - "version": "1.10.2", - "resolved": "https://registry.npmjs.org/yaml/-/yaml-1.10.2.tgz", - "integrity": "sha512-r3vXyErRCYJ7wg28yvBY5VSoAF8ZvlcW9/BwUzEtUsjvX/DKs24dIkuwjtuprwJJHsbyUbLApepYTR1BN4uHrg==", - "engines": { - "node": ">= 6" - } - }, - "node_modules/form-data-encoder": { - "version": "2.1.4", - "resolved": "https://registry.npmjs.org/form-data-encoder/-/form-data-encoder-2.1.4.tgz", - "integrity": "sha512-yDYSgNMraqvnxiEXO4hi88+YZxaHC6QKzb5N84iRCTDeRO7ZALpir/lVmf/uXUhnwUr2O4HU8s/n6x+yNjQkHw==", - "dev": true, - "engines": { - "node": ">= 14.17" - } - }, - "node_modules/formdata-polyfill": { - "version": "4.0.10", - "resolved": "https://registry.npmjs.org/formdata-polyfill/-/formdata-polyfill-4.0.10.tgz", - "integrity": "sha512-buewHzMvYL29jdeQTVILecSaZKnt/RJWjoZCF5OW60Z67/GmSLBkOFM7qh1PI3zFNtJbaZL5eQu1vLfazOwj4g==", - "dev": true, - "dependencies": { - "fetch-blob": "^3.1.2" - }, - "engines": { - "node": ">=12.20.0" - } - }, - "node_modules/fragment-cache": { - "version": "0.2.1", - "resolved": "https://registry.npmjs.org/fragment-cache/-/fragment-cache-0.2.1.tgz", - "integrity": "sha512-GMBAbW9antB8iZRHLoGw0b3HANt57diZYFO/HL1JGIC1MjKrdmhxvrJbupnVvpys0zsz7yBApXdQyfepKly2kA==", - "dev": true, - "dependencies": { - "map-cache": "^0.2.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/fs-extra": { - "version": "11.1.1", - "resolved": "https://registry.npmjs.org/fs-extra/-/fs-extra-11.1.1.tgz", - "integrity": "sha512-MGIE4HOvQCeUCzmlHs0vXpih4ysz4wg9qiSAu6cd42lVwPbTM1TjV7RusoyQqMmk/95gdQZX72u+YW+c3eEpFQ==", - "dev": true, - "dependencies": { - "graceful-fs": "^4.2.0", - "jsonfile": "^6.0.1", - "universalify": "^2.0.0" - }, - "engines": { - "node": ">=14.14" - } - }, - "node_modules/fs-mkdirp-stream": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/fs-mkdirp-stream/-/fs-mkdirp-stream-1.0.0.tgz", - "integrity": "sha512-+vSd9frUnapVC2RZYfL3FCB2p3g4TBhaUmrsWlSudsGdnxIuUvBB2QM1VZeBtc49QFwrp+wQLrDs3+xxDgI5gQ==", - "dev": true, - "dependencies": { - "graceful-fs": "^4.1.11", - "through2": "^2.0.3" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/fs-mkdirp-stream/node_modules/through2": { - "version": "2.0.5", - "resolved": "https://registry.npmjs.org/through2/-/through2-2.0.5.tgz", - "integrity": "sha512-/mrRod8xqpA+IHSLyGCQ2s8SPHiCDEeQJSep1jqLYeEUClOFG2Qsh+4FU6G9VeqpZnGW/Su8LQGc4YKni5rYSQ==", - "dev": true, - "dependencies": { - "readable-stream": "~2.3.6", - "xtend": "~4.0.1" - } - }, - "node_modules/fs-monkey": { - "version": "1.0.3", - "resolved": "https://registry.npmjs.org/fs-monkey/-/fs-monkey-1.0.3.tgz", - "integrity": "sha512-cybjIfiiE+pTWicSCLFHSrXZ6EilF30oh91FDP9S2B051prEa7QWfrVTQm10/dDpswBDXZugPa1Ogu8Yh+HV0Q==" - }, - "node_modules/fs.realpath": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/fs.realpath/-/fs.realpath-1.0.0.tgz", - "integrity": "sha512-OO0pH2lK6a0hZnAdau5ItzHPI6pUlvI7jMVnxUQRtw4owF2wk8lOSabtGDCTP4Ggrg2MbGnWO9X8K1t4+fGMDw==" - }, - "node_modules/fsevents": { - "version": "2.3.2", - "resolved": "https://registry.npmjs.org/fsevents/-/fsevents-2.3.2.tgz", - "integrity": "sha512-xiqMQR4xAeHTuB9uWm+fFRcIOgKBMiOBP+eXiyT7jsgVCq1bkVygt00oASowB7EdtpOHaaPgKt812P9ab+DDKA==", - "hasInstallScript": true, - "optional": true, - "os": [ - "darwin" - ], - "engines": { - "node": "^8.16.0 || ^10.6.0 || >=11.0.0" - } - }, - "node_modules/ftp": { - "version": "0.3.10", - "resolved": "https://registry.npmjs.org/ftp/-/ftp-0.3.10.tgz", - "integrity": "sha512-faFVML1aBx2UoDStmLwv2Wptt4vw5x03xxX172nhA5Y5HBshW5JweqQ2W4xL4dezQTG8inJsuYcpPHHU3X5OTQ==", - "dev": true, - "dependencies": { - "readable-stream": "1.1.x", - "xregexp": "2.0.0" - }, - "engines": { - "node": ">=0.8.0" - } - }, - "node_modules/ftp/node_modules/isarray": { - "version": "0.0.1", - "resolved": "https://registry.npmjs.org/isarray/-/isarray-0.0.1.tgz", - "integrity": "sha512-D2S+3GLxWH+uhrNEcoh/fnmYeP8E8/zHl644d/jdA0g2uyXvy3sb0qxotE+ne0LtccHknQzWwZEzhak7oJ0COQ==", - "dev": true - }, - "node_modules/ftp/node_modules/readable-stream": { - "version": "1.1.14", - "resolved": "https://registry.npmjs.org/readable-stream/-/readable-stream-1.1.14.tgz", - "integrity": "sha512-+MeVjFf4L44XUkhM1eYbD8fyEsxcV81pqMSR5gblfcLCHfZvbrqy4/qYHE+/R5HoBUT11WV5O08Cr1n3YXkWVQ==", - "dev": true, - "dependencies": { - "core-util-is": "~1.0.0", - "inherits": "~2.0.1", - "isarray": "0.0.1", - "string_decoder": "~0.10.x" - } - }, - "node_modules/ftp/node_modules/string_decoder": { - "version": "0.10.31", - "resolved": "https://registry.npmjs.org/string_decoder/-/string_decoder-0.10.31.tgz", - "integrity": "sha512-ev2QzSzWPYmy9GuqfIVildA4OdcGLeFZQrq5ys6RtiuF+RQQiZWr8TZNyAcuVXyQRYfEO+MsoB/1BuQVhOJuoQ==", - "dev": true - }, - "node_modules/function-bind": { - "version": "1.1.1", - "resolved": "https://registry.npmjs.org/function-bind/-/function-bind-1.1.1.tgz", - "integrity": "sha512-yIovAzMX49sF8Yl58fSCWJ5svSLuaibPxXQJFLmBObTuCr0Mf1KiPopGM9NiFjiYBCbfaa2Fh6breQ6ANVTI0A==" - }, - "node_modules/function.prototype.name": { - "version": "1.1.5", - "resolved": "https://registry.npmjs.org/function.prototype.name/-/function.prototype.name-1.1.5.tgz", - "integrity": "sha512-uN7m/BzVKQnCUF/iW8jYea67v++2u7m5UgENbHRtdDVclOUP+FMPlCNdmk0h/ysGyo2tavMJEDqJAkJdRa1vMA==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2", - "define-properties": "^1.1.3", - "es-abstract": "^1.19.0", - "functions-have-names": "^1.2.2" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/functions-have-names": { - "version": "1.2.3", - "resolved": "https://registry.npmjs.org/functions-have-names/-/functions-have-names-1.2.3.tgz", - "integrity": "sha512-xckBUXyTIqT97tq2x2AMb+g163b5JFysYk0x4qxNFwbfQkmNZoiRHb6sPzI9/QV33WeuvVYBUIiD4NzNIyqaRQ==", - "dev": true, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/gensync": { - "version": "1.0.0-beta.2", - "resolved": "https://registry.npmjs.org/gensync/-/gensync-1.0.0-beta.2.tgz", - "integrity": "sha512-3hN7NaskYvMDLQY55gnW3NQ+mesEAepTqlg+VEbj7zzqEMBVNhzcGYYeqFo/TlYz6eQiFcp1HcsCZO+nGgS8zg==", - "dev": true, - "engines": { - "node": ">=6.9.0" - } - }, - "node_modules/get-caller-file": { - "version": "2.0.5", - "resolved": "https://registry.npmjs.org/get-caller-file/-/get-caller-file-2.0.5.tgz", - "integrity": "sha512-DyFP3BM/3YHTQOCUL/w0OZHR0lpKeGrxotcHWcqNEdnltqFwXVfhEBQ94eIo34AfQpo0rGki4cyIiftY06h2Fg==", - "dev": true, - "engines": { - "node": "6.* || 8.* || >= 10.*" - } - }, - "node_modules/get-intrinsic": { - "version": "1.2.0", - "resolved": "https://registry.npmjs.org/get-intrinsic/-/get-intrinsic-1.2.0.tgz", - "integrity": "sha512-L049y6nFOuom5wGyRc3/gdTLO94dySVKRACj1RmJZBQXlbTMhtNIgkWkUHq+jYmZvKf14EW1EoJnnjbmoHij0Q==", - "dev": true, - "dependencies": { - "function-bind": "^1.1.1", - "has": "^1.0.3", - "has-symbols": "^1.0.3" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/get-package-type": { - "version": "0.1.0", - "resolved": "https://registry.npmjs.org/get-package-type/-/get-package-type-0.1.0.tgz", - "integrity": "sha512-pjzuKtY64GYfWizNAJ0fr9VqttZkNiK2iS430LtIHzjBEr6bX8Am2zm4sW4Ro5wjWW5cAlRL1qAMTcXbjNAO2Q==", - "dev": true, - "engines": { - "node": ">=8.0.0" - } - }, - "node_modules/get-stream": { - "version": "6.0.1", - "resolved": "https://registry.npmjs.org/get-stream/-/get-stream-6.0.1.tgz", - "integrity": "sha512-ts6Wi+2j3jQjqi70w5AlN8DFnkSwC+MqmxEzdEALB2qXZYV3X/b1CTfgPLGJNMeAWxdPfU8FO1ms3NUfaHCPYg==", - "dev": true, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/get-symbol-description": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/get-symbol-description/-/get-symbol-description-1.0.0.tgz", - "integrity": "sha512-2EmdH1YvIQiZpltCNgkuiUnyukzxM/R6NDJX31Ke3BG1Nq5b0S2PhX59UKi9vZpPDQVdqn+1IcaAwnzTT5vCjw==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2", - "get-intrinsic": "^1.1.1" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/get-uri": { - "version": "3.0.2", - "resolved": "https://registry.npmjs.org/get-uri/-/get-uri-3.0.2.tgz", - "integrity": "sha512-+5s0SJbGoyiJTZZ2JTpFPLMPSch72KEqGOTvQsBqg0RBWvwhWUSYZFAtz3TPW0GXJuLBJPts1E241iHg+VRfhg==", - "dev": true, - "dependencies": { - "@tootallnate/once": "1", - "data-uri-to-buffer": "3", - "debug": "4", - "file-uri-to-path": "2", - "fs-extra": "^8.1.0", - "ftp": "^0.3.10" - }, - "engines": { - "node": ">= 6" - } - }, - "node_modules/get-uri/node_modules/data-uri-to-buffer": { - "version": "3.0.1", - "resolved": "https://registry.npmjs.org/data-uri-to-buffer/-/data-uri-to-buffer-3.0.1.tgz", - "integrity": "sha512-WboRycPNsVw3B3TL559F7kuBUM4d8CgMEvk6xEJlOp7OBPjt6G7z8WMWlD2rOFZLk6OYfFIUGsCOWzcQH9K2og==", - "dev": true, - "engines": { - "node": ">= 6" - } - }, - "node_modules/get-uri/node_modules/fs-extra": { - "version": "8.1.0", - "resolved": "https://registry.npmjs.org/fs-extra/-/fs-extra-8.1.0.tgz", - "integrity": "sha512-yhlQgA6mnOJUKOsRUFsgJdQCvkKhcz8tlZG5HBQfReYZy46OwLcY+Zia0mtdHsOo9y/hP+CxMN0TU9QxoOtG4g==", - "dev": true, - "dependencies": { - "graceful-fs": "^4.2.0", - "jsonfile": "^4.0.0", - "universalify": "^0.1.0" - }, - "engines": { - "node": ">=6 <7 || >=8" - } - }, - "node_modules/get-uri/node_modules/jsonfile": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/jsonfile/-/jsonfile-4.0.0.tgz", - "integrity": "sha512-m6F1R3z8jjlf2imQHS2Qez5sjKWQzbuuhuJ/FKYFRZvPE3PuHcSMVZzfsLhGVOkfd20obL5SWEBew5ShlquNxg==", - "dev": true, - "optionalDependencies": { - "graceful-fs": "^4.1.6" - } - }, - "node_modules/get-uri/node_modules/universalify": { - "version": "0.1.2", - "resolved": "https://registry.npmjs.org/universalify/-/universalify-0.1.2.tgz", - "integrity": "sha512-rBJeI5CXAlmy1pV+617WB9J63U6XcazHHF2f2dbJix4XzpUF0RS3Zbj0FGIOCAva5P/d/GBOYaACQ1w+0azUkg==", - "dev": true, - "engines": { - "node": ">= 4.0.0" - } - }, - "node_modules/get-value": { - "version": "2.0.6", - "resolved": "https://registry.npmjs.org/get-value/-/get-value-2.0.6.tgz", - "integrity": "sha512-Ln0UQDlxH1BapMu3GPtf7CuYNwRZf2gwCuPqbyG6pB8WfmFpzqcy4xtAaAMUhnNqjMKTiCPZG2oMT3YSx8U2NA==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/git-raw-commits": { - "version": "2.0.11", - "resolved": "https://registry.npmjs.org/git-raw-commits/-/git-raw-commits-2.0.11.tgz", - "integrity": "sha512-VnctFhw+xfj8Va1xtfEqCUD2XDrbAPSJx+hSrE5K7fGdjZruW7XV+QOrN7LF/RJyvspRiD2I0asWsxFp0ya26A==", - "dev": true, - "dependencies": { - "dargs": "^7.0.0", - "lodash": "^4.17.15", - "meow": "^8.0.0", - "split2": "^3.0.0", - "through2": "^4.0.0" - }, - "bin": { - "git-raw-commits": "cli.js" - }, - "engines": { - "node": ">=10" - } - }, - "node_modules/git-up": { - "version": "7.0.0", - "resolved": "https://registry.npmjs.org/git-up/-/git-up-7.0.0.tgz", - "integrity": "sha512-ONdIrbBCFusq1Oy0sC71F5azx8bVkvtZtMJAsv+a6lz5YAmbNnLD6HAB4gptHZVLPR8S2/kVN6Gab7lryq5+lQ==", - "dev": true, - "dependencies": { - "is-ssh": "^1.4.0", - "parse-url": "^8.1.0" - } - }, - "node_modules/git-url-parse": { - "version": "13.1.0", - "resolved": "https://registry.npmjs.org/git-url-parse/-/git-url-parse-13.1.0.tgz", - "integrity": "sha512-5FvPJP/70WkIprlUZ33bm4UAaFdjcLkJLpWft1BeZKqwR0uhhNGoKwlUaPtVb4LxCSQ++erHapRak9kWGj+FCA==", - "dev": true, - "dependencies": { - "git-up": "^7.0.0" - } - }, - "node_modules/glob": { - "version": "7.2.3", - "resolved": "https://registry.npmjs.org/glob/-/glob-7.2.3.tgz", - "integrity": "sha512-nFR0zLpU2YCaRxwoCJvL6UvCH2JFyFVIvwTLsIf21AuHlMskA1hhTdk+LlYJtOlYt9v6dvszD2BGRqBL+iQK9Q==", - "dependencies": { - "fs.realpath": "^1.0.0", - "inflight": "^1.0.4", - "inherits": "2", - "minimatch": "^3.1.1", - "once": "^1.3.0", - "path-is-absolute": "^1.0.0" - }, - "engines": { - "node": "*" - }, - "funding": { - "url": "https://github.com/sponsors/isaacs" - } - }, - "node_modules/glob-parent": { - "version": "5.1.2", - "resolved": "https://registry.npmjs.org/glob-parent/-/glob-parent-5.1.2.tgz", - "integrity": "sha512-AOIgSQCepiJYwP3ARnGx+5VnTu2HBYdzbGP45eLw1vr3zB3vZLeyed1sC9hnbcOc9/SrMyM5RPQrkGz4aS9Zow==", - "dependencies": { - "is-glob": "^4.0.1" - }, - "engines": { - "node": ">= 6" - } - }, - "node_modules/glob-stream": { - "version": "6.1.0", - "resolved": "https://registry.npmjs.org/glob-stream/-/glob-stream-6.1.0.tgz", - "integrity": "sha512-uMbLGAP3S2aDOHUDfdoYcdIePUCfysbAd0IAoWVZbeGU/oNQ8asHVSshLDJUPWxfzj8zsCG7/XeHPHTtow0nsw==", - "dev": true, - "dependencies": { - "extend": "^3.0.0", - "glob": "^7.1.1", - "glob-parent": "^3.1.0", - "is-negated-glob": "^1.0.0", - "ordered-read-streams": "^1.0.0", - "pumpify": "^1.3.5", - "readable-stream": "^2.1.5", - "remove-trailing-separator": "^1.0.1", - "to-absolute-glob": "^2.0.0", - "unique-stream": "^2.0.2" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/glob-stream/node_modules/glob-parent": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/glob-parent/-/glob-parent-3.1.0.tgz", - "integrity": "sha512-E8Ak/2+dZY6fnzlR7+ueWvhsH1SjHr4jjss4YS/h4py44jY9MhK/VFdaZJAWDz6BbL21KeteKxFSFpq8OS5gVA==", - "dev": true, - "dependencies": { - "is-glob": "^3.1.0", - "path-dirname": "^1.0.0" - } - }, - "node_modules/glob-stream/node_modules/is-glob": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/is-glob/-/is-glob-3.1.0.tgz", - "integrity": "sha512-UFpDDrPgM6qpnFNI+rh/p3bUaq9hKLZN8bMUWzxmcnZVS3omf4IPK+BrewlnWjO1WmUsMYuSjKh4UJuV4+Lqmw==", - "dev": true, - "dependencies": { - "is-extglob": "^2.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/glob-to-regexp": { - "version": "0.4.1", - "resolved": "https://registry.npmjs.org/glob-to-regexp/-/glob-to-regexp-0.4.1.tgz", - "integrity": "sha512-lkX1HJXwyMcprw/5YUZc2s7DrpAiHB21/V+E1rHUrVNokkvB6bqMzT0VfV6/86ZNabt1k14YOIaT7nDvOX3Iiw==" - }, - "node_modules/glob-watcher": { - "version": "5.0.5", - "resolved": "https://registry.npmjs.org/glob-watcher/-/glob-watcher-5.0.5.tgz", - "integrity": "sha512-zOZgGGEHPklZNjZQaZ9f41i7F2YwE+tS5ZHrDhbBCk3stwahn5vQxnFmBJZHoYdusR6R1bLSXeGUy/BhctwKzw==", - "dev": true, - "dependencies": { - "anymatch": "^2.0.0", - "async-done": "^1.2.0", - "chokidar": "^2.0.0", - "is-negated-glob": "^1.0.0", - "just-debounce": "^1.0.0", - "normalize-path": "^3.0.0", - "object.defaults": "^1.1.0" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/glob-watcher/node_modules/anymatch": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/anymatch/-/anymatch-2.0.0.tgz", - "integrity": "sha512-5teOsQWABXHHBFP9y3skS5P3d/WfWXpv3FUpy+LorMrNYaT9pI4oLMQX7jzQ2KklNpGpWHzdCXTDT2Y3XGlZBw==", - "dev": true, - "dependencies": { - "micromatch": "^3.1.4", - "normalize-path": "^2.1.1" - } - }, - "node_modules/glob-watcher/node_modules/anymatch/node_modules/normalize-path": { - "version": "2.1.1", - "resolved": "https://registry.npmjs.org/normalize-path/-/normalize-path-2.1.1.tgz", - "integrity": "sha512-3pKJwH184Xo/lnH6oyP1q2pMd7HcypqqmRs91/6/i2CGtWwIKGCkOOMTm/zXbgTEWHw1uNpNi/igc3ePOYHb6w==", - "dev": true, - "dependencies": { - "remove-trailing-separator": "^1.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/glob-watcher/node_modules/arr-diff": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/arr-diff/-/arr-diff-4.0.0.tgz", - "integrity": "sha512-YVIQ82gZPGBebQV/a8dar4AitzCQs0jjXwMPZllpXMaGjXPYVUawSxQrRsjhjupyVxEvbHgUmIhKVlND+j02kA==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/glob-watcher/node_modules/binary-extensions": { - "version": "1.13.1", - "resolved": "https://registry.npmjs.org/binary-extensions/-/binary-extensions-1.13.1.tgz", - "integrity": "sha512-Un7MIEDdUC5gNpcGDV97op1Ywk748MpHcFTHoYs6qnj1Z3j7I53VG3nwZhKzoBZmbdRNnb6WRdFlwl7tSDuZGw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/glob-watcher/node_modules/braces": { - "version": "2.3.2", - "resolved": "https://registry.npmjs.org/braces/-/braces-2.3.2.tgz", - "integrity": "sha512-aNdbnj9P8PjdXU4ybaWLK2IF3jc/EoDYbC7AazW6to3TRsfXxscC9UXOB5iDiEQrkyIbWp2SLQda4+QAa7nc3w==", - "dev": true, - "dependencies": { - "arr-flatten": "^1.1.0", - "array-unique": "^0.3.2", - "extend-shallow": "^2.0.1", - "fill-range": "^4.0.0", - "isobject": "^3.0.1", - "repeat-element": "^1.1.2", - "snapdragon": "^0.8.1", - "snapdragon-node": "^2.0.1", - "split-string": "^3.0.2", - "to-regex": "^3.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/glob-watcher/node_modules/chokidar": { - "version": "2.1.8", - "resolved": "https://registry.npmjs.org/chokidar/-/chokidar-2.1.8.tgz", - "integrity": "sha512-ZmZUazfOzf0Nve7duiCKD23PFSCs4JPoYyccjUFF3aQkQadqBhfzhjkwBH2mNOG9cTBwhamM37EIsIkZw3nRgg==", - "deprecated": "Chokidar 2 does not receive security updates since 2019. Upgrade to chokidar 3 with 15x fewer dependencies", - "dev": true, - "dependencies": { - "anymatch": "^2.0.0", - "async-each": "^1.0.1", - "braces": "^2.3.2", - "glob-parent": "^3.1.0", - "inherits": "^2.0.3", - "is-binary-path": "^1.0.0", - "is-glob": "^4.0.0", - "normalize-path": "^3.0.0", - "path-is-absolute": "^1.0.0", - "readdirp": "^2.2.1", - "upath": "^1.1.1" - }, - "optionalDependencies": { - "fsevents": "^1.2.7" - } - }, - "node_modules/glob-watcher/node_modules/extend-shallow": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/extend-shallow/-/extend-shallow-2.0.1.tgz", - "integrity": "sha512-zCnTtlxNoAiDc3gqY2aYAWFx7XWWiasuF2K8Me5WbN8otHKTUKBwjPtNpRs/rbUZm7KxWAaNj7P1a/p52GbVug==", - "dev": true, - "dependencies": { - "is-extendable": "^0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/glob-watcher/node_modules/fill-range": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/fill-range/-/fill-range-4.0.0.tgz", - "integrity": "sha512-VcpLTWqWDiTerugjj8e3+esbg+skS3M9e54UuR3iCeIDMXCLTsAH8hTSzDQU/X6/6t3eYkOKoZSef2PlU6U1XQ==", - "dev": true, - "dependencies": { - "extend-shallow": "^2.0.1", - "is-number": "^3.0.0", - "repeat-string": "^1.6.1", - "to-regex-range": "^2.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/glob-watcher/node_modules/fsevents": { - "version": "1.2.13", - "resolved": "https://registry.npmjs.org/fsevents/-/fsevents-1.2.13.tgz", - "integrity": "sha512-oWb1Z6mkHIskLzEJ/XWX0srkpkTQ7vaopMQkyaEIoq0fmtFVxOthb8cCxeT+p3ynTdkk/RZwbgG4brR5BeWECw==", - "deprecated": "The v1 package contains DANGEROUS / INSECURE binaries. Upgrade to safe fsevents v2", - "dev": true, - "hasInstallScript": true, - "optional": true, - "os": [ - "darwin" - ], - "dependencies": { - "bindings": "^1.5.0", - "nan": "^2.12.1" - }, - "engines": { - "node": ">= 4.0" - } - }, - "node_modules/glob-watcher/node_modules/glob-parent": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/glob-parent/-/glob-parent-3.1.0.tgz", - "integrity": "sha512-E8Ak/2+dZY6fnzlR7+ueWvhsH1SjHr4jjss4YS/h4py44jY9MhK/VFdaZJAWDz6BbL21KeteKxFSFpq8OS5gVA==", - "dev": true, - "dependencies": { - "is-glob": "^3.1.0", - "path-dirname": "^1.0.0" - } - }, - "node_modules/glob-watcher/node_modules/glob-parent/node_modules/is-glob": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/is-glob/-/is-glob-3.1.0.tgz", - "integrity": "sha512-UFpDDrPgM6qpnFNI+rh/p3bUaq9hKLZN8bMUWzxmcnZVS3omf4IPK+BrewlnWjO1WmUsMYuSjKh4UJuV4+Lqmw==", - "dev": true, - "dependencies": { - "is-extglob": "^2.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/glob-watcher/node_modules/is-binary-path": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/is-binary-path/-/is-binary-path-1.0.1.tgz", - "integrity": "sha512-9fRVlXc0uCxEDj1nQzaWONSpbTfx0FmJfzHF7pwlI8DkWGoHBBea4Pg5Ky0ojwwxQmnSifgbKkI06Qv0Ljgj+Q==", - "dev": true, - "dependencies": { - "binary-extensions": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/glob-watcher/node_modules/is-extendable": { - "version": "0.1.1", - "resolved": "https://registry.npmjs.org/is-extendable/-/is-extendable-0.1.1.tgz", - "integrity": "sha512-5BMULNob1vgFX6EjQw5izWDxrecWK9AM72rugNr0TFldMOi0fj6Jk+zeKIt0xGj4cEfQIJth4w3OKWOJ4f+AFw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/glob-watcher/node_modules/is-number": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/is-number/-/is-number-3.0.0.tgz", - "integrity": "sha512-4cboCqIpliH+mAvFNegjZQ4kgKc3ZUhQVr3HvWbSh5q3WH2v82ct+T2Y1hdU5Gdtorx/cLifQjqCbL7bpznLTg==", - "dev": true, - "dependencies": { - "kind-of": "^3.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/glob-watcher/node_modules/is-plain-object": { - "version": "2.0.4", - "resolved": "https://registry.npmjs.org/is-plain-object/-/is-plain-object-2.0.4.tgz", - "integrity": "sha512-h5PpgXkWitc38BBMYawTYMWJHFZJVnBquFE57xFpjB8pJFiF6gZ+bU+WyI/yqXiFR5mdLsgYNaPe8uao6Uv9Og==", - "dev": true, - "dependencies": { - "isobject": "^3.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/glob-watcher/node_modules/kind-of": { - "version": "3.2.2", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-3.2.2.tgz", - "integrity": "sha512-NOW9QQXMoZGg/oqnVNoNTTIFEIid1627WCffUBJEdMxYApq7mNE7CpzucIPc+ZQg25Phej7IJSmX3hO+oblOtQ==", - "dev": true, - "dependencies": { - "is-buffer": "^1.1.5" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/glob-watcher/node_modules/micromatch": { - "version": "3.1.10", - "resolved": "https://registry.npmjs.org/micromatch/-/micromatch-3.1.10.tgz", - "integrity": "sha512-MWikgl9n9M3w+bpsY3He8L+w9eF9338xRl8IAO5viDizwSzziFEyUzo2xrrloB64ADbTf8uA8vRqqttDTOmccg==", - "dev": true, - "dependencies": { - "arr-diff": "^4.0.0", - "array-unique": "^0.3.2", - "braces": "^2.3.1", - "define-property": "^2.0.2", - "extend-shallow": "^3.0.2", - "extglob": "^2.0.4", - "fragment-cache": "^0.2.1", - "kind-of": "^6.0.2", - "nanomatch": "^1.2.9", - "object.pick": "^1.3.0", - "regex-not": "^1.0.0", - "snapdragon": "^0.8.1", - "to-regex": "^3.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/glob-watcher/node_modules/micromatch/node_modules/extend-shallow": { - "version": "3.0.2", - "resolved": "https://registry.npmjs.org/extend-shallow/-/extend-shallow-3.0.2.tgz", - "integrity": "sha512-BwY5b5Ql4+qZoefgMj2NUmx+tehVTH/Kf4k1ZEtOHNFcm2wSxMRo992l6X3TIgni2eZVTZ85xMOjF31fwZAj6Q==", - "dev": true, - "dependencies": { - "assign-symbols": "^1.0.0", - "is-extendable": "^1.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/glob-watcher/node_modules/micromatch/node_modules/is-extendable": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/is-extendable/-/is-extendable-1.0.1.tgz", - "integrity": "sha512-arnXMxT1hhoKo9k1LZdmlNyJdDDfy2v0fXjFlmok4+i8ul/6WlbVge9bhM74OpNPQPMGUToDtz+KXa1PneJxOA==", - "dev": true, - "dependencies": { - "is-plain-object": "^2.0.4" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/glob-watcher/node_modules/micromatch/node_modules/kind-of": { - "version": "6.0.3", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-6.0.3.tgz", - "integrity": "sha512-dcS1ul+9tmeD95T+x28/ehLgd9mENa3LsvDTtzm3vyBEO7RPptvAD+t44WVXaUjTBRcrpFeFlC8WCruUR456hw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/glob-watcher/node_modules/readdirp": { - "version": "2.2.1", - "resolved": "https://registry.npmjs.org/readdirp/-/readdirp-2.2.1.tgz", - "integrity": "sha512-1JU/8q+VgFZyxwrJ+SVIOsh+KywWGpds3NTqikiKpDMZWScmAYyKIgqkO+ARvNWJfXeXR1zxz7aHF4u4CyH6vQ==", - "dev": true, - "dependencies": { - "graceful-fs": "^4.1.11", - "micromatch": "^3.1.10", - "readable-stream": "^2.0.2" - }, - "engines": { - "node": ">=0.10" - } - }, - "node_modules/glob-watcher/node_modules/to-regex-range": { - "version": "2.1.1", - "resolved": "https://registry.npmjs.org/to-regex-range/-/to-regex-range-2.1.1.tgz", - "integrity": "sha512-ZZWNfCjUokXXDGXFpZehJIkZqq91BcULFq/Pi7M5i4JnxXdhMKAK682z8bCW3o8Hj1wuuzoKcW3DfVzaP6VuNg==", - "dev": true, - "dependencies": { - "is-number": "^3.0.0", - "repeat-string": "^1.6.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/global-dirs": { - "version": "0.1.1", - "resolved": "https://registry.npmjs.org/global-dirs/-/global-dirs-0.1.1.tgz", - "integrity": "sha512-NknMLn7F2J7aflwFOlGdNIuCDpN3VGoSoB+aap3KABFWbHVn1TCgFC+np23J8W2BiZbjfEw3BFBycSMv1AFblg==", - "dev": true, - "dependencies": { - "ini": "^1.3.4" - }, - "engines": { - "node": ">=4" - } - }, - "node_modules/global-modules": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/global-modules/-/global-modules-1.0.0.tgz", - "integrity": "sha512-sKzpEkf11GpOFuw0Zzjzmt4B4UZwjOcG757PPvrfhxcLFbq0wpsgpOqxpxtxFiCG4DtG93M6XRVbF2oGdev7bg==", - "dev": true, - "dependencies": { - "global-prefix": "^1.0.1", - "is-windows": "^1.0.1", - "resolve-dir": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/global-prefix": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/global-prefix/-/global-prefix-1.0.2.tgz", - "integrity": "sha512-5lsx1NUDHtSjfg0eHlmYvZKv8/nVqX4ckFbM+FrGcQ+04KWcWFo9P5MxPZYSzUvyzmdTbI7Eix8Q4IbELDqzKg==", - "dev": true, - "dependencies": { - "expand-tilde": "^2.0.2", - "homedir-polyfill": "^1.0.1", - "ini": "^1.3.4", - "is-windows": "^1.0.1", - "which": "^1.2.14" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/global-prefix/node_modules/which": { - "version": "1.3.1", - "resolved": "https://registry.npmjs.org/which/-/which-1.3.1.tgz", - "integrity": "sha512-HxJdYWq1MTIQbJ3nw0cqssHoTNU267KlrDuGZ1WYlxDStUtKUhOaJmh112/TZmHxxUfuJqPXSOm7tDyas0OSIQ==", - "dev": true, - "dependencies": { - "isexe": "^2.0.0" - }, - "bin": { - "which": "bin/which" - } - }, - "node_modules/globals": { - "version": "13.20.0", - "resolved": "https://registry.npmjs.org/globals/-/globals-13.20.0.tgz", - "integrity": "sha512-Qg5QtVkCy/kv3FUSlu4ukeZDVf9ee0iXLAUYX13gbR17bnejFTzr4iS9bY7kwCf1NztRNm1t91fjOiyx4CSwPQ==", - "dev": true, - "dependencies": { - "type-fest": "^0.20.2" - }, - "engines": { - "node": ">=8" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/globalthis": { - "version": "1.0.3", - "resolved": "https://registry.npmjs.org/globalthis/-/globalthis-1.0.3.tgz", - "integrity": "sha512-sFdI5LyBiNTHjRd7cGPWapiHWMOXKyuBNX/cWJ3NfzrZQVa8GI/8cofCl74AOVqq9W5kNmguTIzJ/1s2gyI9wA==", - "dev": true, - "dependencies": { - "define-properties": "^1.1.3" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/globby": { - "version": "11.1.0", - "resolved": "https://registry.npmjs.org/globby/-/globby-11.1.0.tgz", - "integrity": "sha512-jhIXaOzy1sb8IyocaruWSn1TjmnBVs8Ayhcy83rmxNJ8q2uWKCAj3CnJY+KpGSXCueAPc0i05kVvVKtP1t9S3g==", - "dev": true, - "dependencies": { - "array-union": "^2.1.0", - "dir-glob": "^3.0.1", - "fast-glob": "^3.2.9", - "ignore": "^5.2.0", - "merge2": "^1.4.1", - "slash": "^3.0.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/glogg": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/glogg/-/glogg-1.0.2.tgz", - "integrity": "sha512-5mwUoSuBk44Y4EshyiqcH95ZntbDdTQqA3QYSrxmzj28Ai0vXBGMH1ApSANH14j2sIRtqCEyg6PfsuP7ElOEDA==", - "dev": true, - "dependencies": { - "sparkles": "^1.0.0" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/gopd": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/gopd/-/gopd-1.0.1.tgz", - "integrity": "sha512-d65bNlIadxvpb/A2abVdlqKqV563juRnZ1Wtk6s1sIR8uNsXR70xqIzVqxVf1eTqDunwT2MkczEeaezCKTZhwA==", - "dev": true, - "dependencies": { - "get-intrinsic": "^1.1.3" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/got": { - "version": "12.6.0", - "resolved": "https://registry.npmjs.org/got/-/got-12.6.0.tgz", - "integrity": "sha512-WTcaQ963xV97MN3x0/CbAriXFZcXCfgxVp91I+Ze6pawQOa7SgzwSx2zIJJsX+kTajMnVs0xcFD1TxZKFqhdnQ==", - "dev": true, - "dependencies": { - "@sindresorhus/is": "^5.2.0", - "@szmarczak/http-timer": "^5.0.1", - "cacheable-lookup": "^7.0.0", - "cacheable-request": "^10.2.8", - "decompress-response": "^6.0.0", - "form-data-encoder": "^2.1.2", - "get-stream": "^6.0.1", - "http2-wrapper": "^2.1.10", - "lowercase-keys": "^3.0.0", - "p-cancelable": "^3.0.0", - "responselike": "^3.0.0" - }, - "engines": { - "node": ">=14.16" - }, - "funding": { - "url": "https://github.com/sindresorhus/got?sponsor=1" - } - }, - "node_modules/graceful-fs": { - "version": "4.2.11", - "resolved": "https://registry.npmjs.org/graceful-fs/-/graceful-fs-4.2.11.tgz", - "integrity": "sha512-RbJ5/jmFcNNCcDV5o9eTnBLJ/HszWV0P73bc+Ff4nS/rJj+YaS6IGyiOL0VoBYX+l1Wrl3k63h/KrH+nhJ0XvQ==" - }, - "node_modules/grapheme-splitter": { - "version": "1.0.4", - "resolved": "https://registry.npmjs.org/grapheme-splitter/-/grapheme-splitter-1.0.4.tgz", - "integrity": "sha512-bzh50DW9kTPM00T8y4o8vQg89Di9oLJVLW/KaOGIXJWP/iqCN6WKYkbNOF04vFLJhwcpYUh9ydh/+5vpOqV4YQ==", - "dev": true - }, - "node_modules/gulp": { - "version": "4.0.2", - "resolved": "https://registry.npmjs.org/gulp/-/gulp-4.0.2.tgz", - "integrity": "sha512-dvEs27SCZt2ibF29xYgmnwwCYZxdxhQ/+LFWlbAW8y7jt68L/65402Lz3+CKy0Ov4rOs+NERmDq7YlZaDqUIfA==", - "dev": true, - "dependencies": { - "glob-watcher": "^5.0.3", - "gulp-cli": "^2.2.0", - "undertaker": "^1.2.1", - "vinyl-fs": "^3.0.0" - }, - "bin": { - "gulp": "bin/gulp.js" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/gulp-clean": { - "version": "0.4.0", - "resolved": "https://registry.npmjs.org/gulp-clean/-/gulp-clean-0.4.0.tgz", - "integrity": "sha512-DARK8rNMo4lHOFLGTiHEJdf19GuoBDHqGUaypz+fOhrvOs3iFO7ntdYtdpNxv+AzSJBx/JfypF0yEj9ks1IStQ==", - "dev": true, - "dependencies": { - "fancy-log": "^1.3.2", - "plugin-error": "^0.1.2", - "rimraf": "^2.6.2", - "through2": "^2.0.3", - "vinyl": "^2.1.0" - }, - "engines": { - "node": ">=0.9" - } - }, - "node_modules/gulp-clean/node_modules/rimraf": { - "version": "2.7.1", - "resolved": "https://registry.npmjs.org/rimraf/-/rimraf-2.7.1.tgz", - "integrity": "sha512-uWjbaKIK3T1OSVptzX7Nl6PvQ3qAGtKEtVRjRuazjfL3Bx5eI409VZSqgND+4UNnmzLVdPj9FqFJNPqBZFve4w==", - "dev": true, - "dependencies": { - "glob": "^7.1.3" - }, - "bin": { - "rimraf": "bin.js" - } - }, - "node_modules/gulp-clean/node_modules/through2": { - "version": "2.0.5", - "resolved": "https://registry.npmjs.org/through2/-/through2-2.0.5.tgz", - "integrity": "sha512-/mrRod8xqpA+IHSLyGCQ2s8SPHiCDEeQJSep1jqLYeEUClOFG2Qsh+4FU6G9VeqpZnGW/Su8LQGc4YKni5rYSQ==", - "dev": true, - "dependencies": { - "readable-stream": "~2.3.6", - "xtend": "~4.0.1" - } - }, - "node_modules/gulp-cli": { - "version": "2.3.0", - "resolved": "https://registry.npmjs.org/gulp-cli/-/gulp-cli-2.3.0.tgz", - "integrity": "sha512-zzGBl5fHo0EKSXsHzjspp3y5CONegCm8ErO5Qh0UzFzk2y4tMvzLWhoDokADbarfZRL2pGpRp7yt6gfJX4ph7A==", - "dev": true, - "dependencies": { - "ansi-colors": "^1.0.1", - "archy": "^1.0.0", - "array-sort": "^1.0.0", - "color-support": "^1.1.3", - "concat-stream": "^1.6.0", - "copy-props": "^2.0.1", - "fancy-log": "^1.3.2", - "gulplog": "^1.0.0", - "interpret": "^1.4.0", - "isobject": "^3.0.1", - "liftoff": "^3.1.0", - "matchdep": "^2.0.0", - "mute-stdout": "^1.0.0", - "pretty-hrtime": "^1.0.0", - "replace-homedir": "^1.0.0", - "semver-greatest-satisfied-range": "^1.1.0", - "v8flags": "^3.2.0", - "yargs": "^7.1.0" - }, - "bin": { - "gulp": "bin/gulp.js" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/gulp-cli/node_modules/ansi-colors": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/ansi-colors/-/ansi-colors-1.1.0.tgz", - "integrity": "sha512-SFKX67auSNoVR38N3L+nvsPjOE0bybKTYbkf5tRvushrAPQ9V75huw0ZxBkKVeRU9kqH3d6HA4xTckbwZ4ixmA==", - "dev": true, - "dependencies": { - "ansi-wrap": "^0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/gulp-cli/node_modules/ansi-regex": { - "version": "2.1.1", - "resolved": "https://registry.npmjs.org/ansi-regex/-/ansi-regex-2.1.1.tgz", - "integrity": "sha512-TIGnTpdo+E3+pCyAluZvtED5p5wCqLdezCyhPZzKPcxvFplEt4i+W7OONCKgeZFT3+y5NZZfOOS/Bdcanm1MYA==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/gulp-cli/node_modules/camelcase": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/camelcase/-/camelcase-3.0.0.tgz", - "integrity": "sha512-4nhGqUkc4BqbBBB4Q6zLuD7lzzrHYrjKGeYaEji/3tFR5VdJu9v+LilhGIVe8wxEJPPOeWo7eg8dwY13TZ1BNg==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/gulp-cli/node_modules/cliui": { - "version": "3.2.0", - "resolved": "https://registry.npmjs.org/cliui/-/cliui-3.2.0.tgz", - "integrity": "sha512-0yayqDxWQbqk3ojkYqUKqaAQ6AfNKeKWRNA8kR0WXzAsdHpP4BIaOmMAG87JGuO6qcobyW4GjxHd9PmhEd+T9w==", - "dev": true, - "dependencies": { - "string-width": "^1.0.1", - "strip-ansi": "^3.0.1", - "wrap-ansi": "^2.0.0" - } - }, - "node_modules/gulp-cli/node_modules/find-up": { - "version": "1.1.2", - "resolved": "https://registry.npmjs.org/find-up/-/find-up-1.1.2.tgz", - "integrity": "sha512-jvElSjyuo4EMQGoTwo1uJU5pQMwTW5lS1x05zzfJuTIyLR3zwO27LYrxNg+dlvKpGOuGy/MzBdXh80g0ve5+HA==", - "dev": true, - "dependencies": { - "path-exists": "^2.0.0", - "pinkie-promise": "^2.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/gulp-cli/node_modules/get-caller-file": { - "version": "1.0.3", - "resolved": "https://registry.npmjs.org/get-caller-file/-/get-caller-file-1.0.3.tgz", - "integrity": "sha512-3t6rVToeoZfYSGd8YoLFR2DJkiQrIiUrGcjvFX2mDw3bn6k2OtwHN0TNCLbBO+w8qTvimhDkv+LSscbJY1vE6w==", - "dev": true - }, - "node_modules/gulp-cli/node_modules/hosted-git-info": { - "version": "2.8.9", - "resolved": "https://registry.npmjs.org/hosted-git-info/-/hosted-git-info-2.8.9.tgz", - "integrity": "sha512-mxIDAb9Lsm6DoOJ7xH+5+X4y1LU/4Hi50L9C5sIswK3JzULS4bwk1FvjdBgvYR4bzT4tuUQiC15FE2f5HbLvYw==", - "dev": true - }, - "node_modules/gulp-cli/node_modules/is-fullwidth-code-point": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/is-fullwidth-code-point/-/is-fullwidth-code-point-1.0.0.tgz", - "integrity": "sha512-1pqUqRjkhPJ9miNq9SwMfdvi6lBJcd6eFxvfaivQhaH3SgisfiuudvFntdKOmxuee/77l+FPjKrQjWvmPjWrRw==", - "dev": true, - "dependencies": { - "number-is-nan": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/gulp-cli/node_modules/normalize-package-data": { - "version": "2.5.0", - "resolved": "https://registry.npmjs.org/normalize-package-data/-/normalize-package-data-2.5.0.tgz", - "integrity": "sha512-/5CMN3T0R4XTj4DcGaexo+roZSdSFW/0AOOTROrjxzCG1wrWXEsGbRKevjlIL+ZDE4sZlJr5ED4YW0yqmkK+eA==", - "dev": true, - "dependencies": { - "hosted-git-info": "^2.1.4", - "resolve": "^1.10.0", - "semver": "2 || 3 || 4 || 5", - "validate-npm-package-license": "^3.0.1" - } - }, - "node_modules/gulp-cli/node_modules/path-exists": { - "version": "2.1.0", - "resolved": "https://registry.npmjs.org/path-exists/-/path-exists-2.1.0.tgz", - "integrity": "sha512-yTltuKuhtNeFJKa1PiRzfLAU5182q1y4Eb4XCJ3PBqyzEDkAZRzBrKKBct682ls9reBVHf9udYLN5Nd+K1B9BQ==", - "dev": true, - "dependencies": { - "pinkie-promise": "^2.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/gulp-cli/node_modules/path-type": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/path-type/-/path-type-1.1.0.tgz", - "integrity": "sha512-S4eENJz1pkiQn9Znv33Q+deTOKmbl+jj1Fl+qiP/vYezj+S8x+J3Uo0ISrx/QoEvIlOaDWJhPaRd1flJ9HXZqg==", - "dev": true, - "dependencies": { - "graceful-fs": "^4.1.2", - "pify": "^2.0.0", - "pinkie-promise": "^2.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/gulp-cli/node_modules/read-pkg": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/read-pkg/-/read-pkg-1.1.0.tgz", - "integrity": "sha512-7BGwRHqt4s/uVbuyoeejRn4YmFnYZiFl4AuaeXHlgZf3sONF0SOGlxs2Pw8g6hCKupo08RafIO5YXFNOKTfwsQ==", - "dev": true, - "dependencies": { - "load-json-file": "^1.0.0", - "normalize-package-data": "^2.3.2", - "path-type": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/gulp-cli/node_modules/read-pkg-up": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/read-pkg-up/-/read-pkg-up-1.0.1.tgz", - "integrity": "sha512-WD9MTlNtI55IwYUS27iHh9tK3YoIVhxis8yKhLpTqWtml739uXc9NWTpxoHkfZf3+DkCCsXox94/VWZniuZm6A==", - "dev": true, - "dependencies": { - "find-up": "^1.0.0", - "read-pkg": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/gulp-cli/node_modules/semver": { - "version": "5.7.1", - "resolved": "https://registry.npmjs.org/semver/-/semver-5.7.1.tgz", - "integrity": "sha512-sauaDf/PZdVgrLTNYHRtpXa1iRiKcaebiKQ1BJdpQlWH2lCvexQdX55snPFyK7QzpudqbCI0qXFfOasHdyNDGQ==", - "dev": true, - "bin": { - "semver": "bin/semver" - } - }, - "node_modules/gulp-cli/node_modules/string-width": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/string-width/-/string-width-1.0.2.tgz", - "integrity": "sha512-0XsVpQLnVCXHJfyEs8tC0zpTVIr5PKKsQtkT29IwupnPTjtPmQ3xT/4yCREF9hYkV/3M3kzcUTSAZT6a6h81tw==", - "dev": true, - "dependencies": { - "code-point-at": "^1.0.0", - "is-fullwidth-code-point": "^1.0.0", - "strip-ansi": "^3.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/gulp-cli/node_modules/strip-ansi": { - "version": "3.0.1", - "resolved": "https://registry.npmjs.org/strip-ansi/-/strip-ansi-3.0.1.tgz", - "integrity": "sha512-VhumSSbBqDTP8p2ZLKj40UjBCV4+v8bUSEpUb4KjRgWk9pbqGF4REFj6KEagidb2f/M6AzC0EmFyDNGaw9OCzg==", - "dev": true, - "dependencies": { - "ansi-regex": "^2.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/gulp-cli/node_modules/wrap-ansi": { - "version": "2.1.0", - "resolved": "https://registry.npmjs.org/wrap-ansi/-/wrap-ansi-2.1.0.tgz", - "integrity": "sha512-vAaEaDM946gbNpH5pLVNR+vX2ht6n0Bt3GXwVB1AuAqZosOvHNF3P7wDnh8KLkSqgUh0uh77le7Owgoz+Z9XBw==", - "dev": true, - "dependencies": { - "string-width": "^1.0.1", - "strip-ansi": "^3.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/gulp-cli/node_modules/y18n": { - "version": "3.2.2", - "resolved": "https://registry.npmjs.org/y18n/-/y18n-3.2.2.tgz", - "integrity": "sha512-uGZHXkHnhF0XeeAPgnKfPv1bgKAYyVvmNL1xlKsPYZPaIHxGti2hHqvOCQv71XMsLxu1QjergkqogUnms5D3YQ==", - "dev": true - }, - "node_modules/gulp-cli/node_modules/yargs": { - "version": "7.1.2", - "resolved": "https://registry.npmjs.org/yargs/-/yargs-7.1.2.tgz", - "integrity": "sha512-ZEjj/dQYQy0Zx0lgLMLR8QuaqTihnxirir7EwUHp1Axq4e3+k8jXU5K0VLbNvedv1f4EWtBonDIZm0NUr+jCcA==", - "dev": true, - "dependencies": { - "camelcase": "^3.0.0", - "cliui": "^3.2.0", - "decamelize": "^1.1.1", - "get-caller-file": "^1.0.1", - "os-locale": "^1.4.0", - "read-pkg-up": "^1.0.1", - "require-directory": "^2.1.1", - "require-main-filename": "^1.0.1", - "set-blocking": "^2.0.0", - "string-width": "^1.0.2", - "which-module": "^1.0.0", - "y18n": "^3.2.1", - "yargs-parser": "^5.0.1" - } - }, - "node_modules/gulp-cli/node_modules/yargs-parser": { - "version": "5.0.1", - "resolved": "https://registry.npmjs.org/yargs-parser/-/yargs-parser-5.0.1.tgz", - "integrity": "sha512-wpav5XYiddjXxirPoCTUPbqM0PXvJ9hiBMvuJgInvo4/lAOTZzUprArw17q2O1P2+GHhbBr18/iQwjL5Z9BqfA==", - "dev": true, - "dependencies": { - "camelcase": "^3.0.0", - "object.assign": "^4.1.0" - } - }, - "node_modules/gulplog": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/gulplog/-/gulplog-1.0.0.tgz", - "integrity": "sha512-hm6N8nrm3Y08jXie48jsC55eCZz9mnb4OirAStEk2deqeyhXU3C1otDVh+ccttMuc1sBi6RX6ZJ720hs9RCvgw==", - "dev": true, - "dependencies": { - "glogg": "^1.0.0" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/hard-rejection": { - "version": "2.1.0", - "resolved": "https://registry.npmjs.org/hard-rejection/-/hard-rejection-2.1.0.tgz", - "integrity": "sha512-VIZB+ibDhx7ObhAe7OVtoEbuP4h/MuOTHJ+J8h/eBXotJYl0fBgR72xDFCKgIh22OJZIOVNxBMWuhAr10r8HdA==", - "dev": true, - "engines": { - "node": ">=6" - } - }, - "node_modules/has": { - "version": "1.0.3", - "resolved": "https://registry.npmjs.org/has/-/has-1.0.3.tgz", - "integrity": "sha512-f2dvO0VU6Oej7RkWJGrehjbzMAjFp5/VKPp5tTpWIV4JHHZK1/BxbFRtf/siA2SWTe09caDmVtYYzWEIbBS4zw==", - "dependencies": { - "function-bind": "^1.1.1" - }, - "engines": { - "node": ">= 0.4.0" - } - }, - "node_modules/has-bigints": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/has-bigints/-/has-bigints-1.0.2.tgz", - "integrity": "sha512-tSvCKtBr9lkF0Ex0aQiP9N+OpV4zi2r/Nee5VkRDbaqv35RLYMzbwQfFSZZH0kR+Rd6302UJZ2p/bJCEoR3VoQ==", - "dev": true, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/has-flag": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz", - "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==", - "engines": { - "node": ">=8" - } - }, - "node_modules/has-property-descriptors": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/has-property-descriptors/-/has-property-descriptors-1.0.0.tgz", - "integrity": "sha512-62DVLZGoiEBDHQyqG4w9xCuZ7eJEwNmJRWw2VY84Oedb7WFcA27fiEVe8oUQx9hAUJ4ekurquucTGwsyO1XGdQ==", - "dev": true, - "dependencies": { - "get-intrinsic": "^1.1.1" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/has-proto": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/has-proto/-/has-proto-1.0.1.tgz", - "integrity": "sha512-7qE+iP+O+bgF9clE5+UoBFzE65mlBiVj3tKCrlNQ0Ogwm0BjpT/gK4SlLYDMybDh5I3TCTKnPPa0oMG7JDYrhg==", - "dev": true, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/has-symbols": { - "version": "1.0.3", - "resolved": "https://registry.npmjs.org/has-symbols/-/has-symbols-1.0.3.tgz", - "integrity": "sha512-l3LCuF6MgDNwTDKkdYGEihYjt5pRPbEg46rtlmnSPlUbgmB8LOIrKJbYYFBSbnPaJexMKtiPO8hmeRjRz2Td+A==", - "dev": true, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/has-tostringtag": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/has-tostringtag/-/has-tostringtag-1.0.0.tgz", - "integrity": "sha512-kFjcSNhnlGV1kyoGk7OXKSawH5JOb/LzUc5w9B02hOTO0dfFRjbHQKvg1d6cf3HbeUmtU9VbbV3qzZ2Teh97WQ==", - "dev": true, - "dependencies": { - "has-symbols": "^1.0.2" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/has-value": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/has-value/-/has-value-1.0.0.tgz", - "integrity": "sha512-IBXk4GTsLYdQ7Rvt+GRBrFSVEkmuOUy4re0Xjd9kJSUQpnTrWR4/y9RpfexN9vkAPMFuQoeWKwqzPozRTlasGw==", - "dev": true, - "dependencies": { - "get-value": "^2.0.6", - "has-values": "^1.0.0", - "isobject": "^3.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/has-values": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/has-values/-/has-values-1.0.0.tgz", - "integrity": "sha512-ODYZC64uqzmtfGMEAX/FvZiRyWLpAC3vYnNunURUnkGVTS+mI0smVsWaPydRBsE3g+ok7h960jChO8mFcWlHaQ==", - "dev": true, - "dependencies": { - "is-number": "^3.0.0", - "kind-of": "^4.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/has-values/node_modules/is-number": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/is-number/-/is-number-3.0.0.tgz", - "integrity": "sha512-4cboCqIpliH+mAvFNegjZQ4kgKc3ZUhQVr3HvWbSh5q3WH2v82ct+T2Y1hdU5Gdtorx/cLifQjqCbL7bpznLTg==", - "dev": true, - "dependencies": { - "kind-of": "^3.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/has-values/node_modules/is-number/node_modules/kind-of": { - "version": "3.2.2", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-3.2.2.tgz", - "integrity": "sha512-NOW9QQXMoZGg/oqnVNoNTTIFEIid1627WCffUBJEdMxYApq7mNE7CpzucIPc+ZQg25Phej7IJSmX3hO+oblOtQ==", - "dev": true, - "dependencies": { - "is-buffer": "^1.1.5" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/has-values/node_modules/kind-of": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-4.0.0.tgz", - "integrity": "sha512-24XsCxmEbRwEDbz/qz3stgin8TTzZ1ESR56OMCN0ujYg+vRutNSiOj9bHH9u85DKgXguraugV5sFuvbD4FW/hw==", - "dev": true, - "dependencies": { - "is-buffer": "^1.1.5" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/has-yarn": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/has-yarn/-/has-yarn-3.0.0.tgz", - "integrity": "sha512-IrsVwUHhEULx3R8f/aA8AHuEzAorplsab/v8HBzEiIukwq5i/EC+xmOW+HfP1OaDP+2JkgT1yILHN2O3UFIbcA==", - "dev": true, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/homedir-polyfill": { - "version": "1.0.3", - "resolved": "https://registry.npmjs.org/homedir-polyfill/-/homedir-polyfill-1.0.3.tgz", - "integrity": "sha512-eSmmWE5bZTK2Nou4g0AI3zZ9rswp7GRKoKXS1BLUkvPviOqs4YTN1djQIqrXy9k5gEtdLPy86JjRwsNM9tnDcA==", - "dev": true, - "dependencies": { - "parse-passwd": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/hosted-git-info": { - "version": "4.1.0", - "resolved": "https://registry.npmjs.org/hosted-git-info/-/hosted-git-info-4.1.0.tgz", - "integrity": "sha512-kyCuEOWjJqZuDbRHzL8V93NzQhwIB71oFWSyzVo+KPZI+pnQPPxucdkrOZvkLRnrf5URsQM+IJ09Dw29cRALIA==", - "dev": true, - "dependencies": { - "lru-cache": "^6.0.0" - }, - "engines": { - "node": ">=10" - } - }, - "node_modules/hosted-git-info/node_modules/lru-cache": { - "version": "6.0.0", - "resolved": "https://registry.npmjs.org/lru-cache/-/lru-cache-6.0.0.tgz", - "integrity": "sha512-Jo6dJ04CmSjuznwJSS3pUeWmd/H0ffTlkXXgwZi+eq1UCmqQwCh+eLsYOYCwY991i2Fah4h1BEMCx4qThGbsiA==", - "dev": true, - "dependencies": { - "yallist": "^4.0.0" - }, - "engines": { - "node": ">=10" - } - }, - "node_modules/hosted-git-info/node_modules/yallist": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/yallist/-/yallist-4.0.0.tgz", - "integrity": "sha512-3wdGidZyq5PB084XLES5TpOSRA3wjXAlIWMhum2kRcv/41Sn2emQ0dycQW4uZXLejwKvg6EsvbdlVL+FYEct7A==", - "dev": true - }, - "node_modules/html-escaper": { - "version": "2.0.2", - "resolved": "https://registry.npmjs.org/html-escaper/-/html-escaper-2.0.2.tgz", - "integrity": "sha512-H2iMtd0I4Mt5eYiapRdIDjp+XzelXQ0tFE4JS7YFwFevXXMmOp9myNrUvCg0D6ws8iqkRPBfKHgbwig1SmlLfg==", - "dev": true - }, - "node_modules/http-cache-semantics": { - "version": "4.1.1", - "resolved": "https://registry.npmjs.org/http-cache-semantics/-/http-cache-semantics-4.1.1.tgz", - "integrity": "sha512-er295DKPVsV82j5kw1Gjt+ADA/XYHsajl82cGNQG2eyoPkvgUhX+nDIyelzhIWbbsXP39EHcI6l5tYs2FYqYXQ==", - "dev": true - }, - "node_modules/http-errors": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/http-errors/-/http-errors-2.0.0.tgz", - "integrity": "sha512-FtwrG/euBzaEjYeRqOgly7G0qviiXoJWnvEH2Z1plBdXgbyjv34pHTSb9zoeHMyDy33+DWy5Wt9Wo+TURtOYSQ==", - "dev": true, - "dependencies": { - "depd": "2.0.0", - "inherits": "2.0.4", - "setprototypeof": "1.2.0", - "statuses": "2.0.1", - "toidentifier": "1.0.1" - }, - "engines": { - "node": ">= 0.8" - } - }, - "node_modules/http-proxy-agent": { - "version": "4.0.1", - "resolved": "https://registry.npmjs.org/http-proxy-agent/-/http-proxy-agent-4.0.1.tgz", - "integrity": "sha512-k0zdNgqWTGA6aeIRVpvfVob4fL52dTfaehylg0Y4UvSySvOq/Y+BOyPrgpUrA7HylqvU8vIZGsRuXmspskV0Tg==", - "dev": true, - "dependencies": { - "@tootallnate/once": "1", - "agent-base": "6", - "debug": "4" - }, - "engines": { - "node": ">= 6" - } - }, - "node_modules/http2-wrapper": { - "version": "2.2.0", - "resolved": "https://registry.npmjs.org/http2-wrapper/-/http2-wrapper-2.2.0.tgz", - "integrity": "sha512-kZB0wxMo0sh1PehyjJUWRFEd99KC5TLjZ2cULC4f9iqJBAmKQQXEICjxl5iPJRwP40dpeHFqqhm7tYCvODpqpQ==", - "dev": true, - "dependencies": { - "quick-lru": "^5.1.1", - "resolve-alpn": "^1.2.0" - }, - "engines": { - "node": ">=10.19.0" - } - }, - "node_modules/http2-wrapper/node_modules/quick-lru": { - "version": "5.1.1", - "resolved": "https://registry.npmjs.org/quick-lru/-/quick-lru-5.1.1.tgz", - "integrity": "sha512-WuyALRjWPDGtt/wzJiadO5AXY+8hZ80hVpe6MyivgraREW751X3SbhRvG3eLKOYN+8VEvqLcf3wdnt44Z4S4SA==", - "dev": true, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/https-proxy-agent": { - "version": "5.0.1", - "resolved": "https://registry.npmjs.org/https-proxy-agent/-/https-proxy-agent-5.0.1.tgz", - "integrity": "sha512-dFcAjpTQFgoLMzC2VwU+C/CbS7uRL0lWmxDITmqm7C+7F0Odmj6s9l6alZc6AELXhrnggM2CeWSXHGOdX2YtwA==", - "dev": true, - "dependencies": { - "agent-base": "6", - "debug": "4" - }, - "engines": { - "node": ">= 6" - } - }, - "node_modules/human-signals": { - "version": "2.1.0", - "resolved": "https://registry.npmjs.org/human-signals/-/human-signals-2.1.0.tgz", - "integrity": "sha512-B4FFZ6q/T2jhhksgkbEW3HBvWIfDW85snkQgawt07S7J5QXTk6BkNV+0yAeZrM5QpMAdYlocGoljn0sJ/WQkFw==", - "dev": true, - "engines": { - "node": ">=10.17.0" - } - }, - "node_modules/husky": { - "version": "8.0.3", - "resolved": "https://registry.npmjs.org/husky/-/husky-8.0.3.tgz", - "integrity": "sha512-+dQSyqPh4x1hlO1swXBiNb2HzTDN1I2IGLQx1GrBuiqFJfoMrnZWwVmatvSiO+Iz8fBUnf+lekwNo4c2LlXItg==", - "dev": true, - "bin": { - "husky": "lib/bin.js" - }, - "engines": { - "node": ">=14" - }, - "funding": { - "url": "https://github.com/sponsors/typicode" - } - }, - "node_modules/iconv-lite": { - "version": "0.4.24", - "resolved": "https://registry.npmjs.org/iconv-lite/-/iconv-lite-0.4.24.tgz", - "integrity": "sha512-v3MXnZAcvnywkTUEZomIActle7RXXeedOR31wwl7VlyoXO4Qi9arvSenNQWne1TcRwhCL1HwLI21bEqdpj8/rA==", - "dependencies": { - "safer-buffer": ">= 2.1.2 < 3" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/ieee754": { - "version": "1.2.1", - "resolved": "https://registry.npmjs.org/ieee754/-/ieee754-1.2.1.tgz", - "integrity": "sha512-dcyqhDvX1C46lXZcVqCpK+FtMRQVdIMN6/Df5js2zouUsqG7I6sFxitIC+7KYK29KdXOLHdu9zL4sFnoVQnqaA==", - "funding": [ - { - "type": "github", - "url": "https://github.com/sponsors/feross" - }, - { - "type": "patreon", - "url": "https://www.patreon.com/feross" - }, - { - "type": "consulting", - "url": "https://feross.org/support" - } - ] - }, - "node_modules/ignore": { - "version": "5.2.4", - "resolved": "https://registry.npmjs.org/ignore/-/ignore-5.2.4.tgz", - "integrity": "sha512-MAb38BcSbH0eHNBxn7ql2NH/kX33OkB3lZ1BNdh7ENeRChHTYsTvWrMubiIAMNS2llXEEgZ1MUOBtXChP3kaFQ==", - "dev": true, - "engines": { - "node": ">= 4" - } - }, - "node_modules/import-fresh": { - "version": "3.3.0", - "resolved": "https://registry.npmjs.org/import-fresh/-/import-fresh-3.3.0.tgz", - "integrity": "sha512-veYYhQa+D1QBKznvhUHxb8faxlrwUnxseDAbAp457E0wLNio2bOSKnjYDhMj+YiAq61xrMGhQk9iXVk5FzgQMw==", - "dependencies": { - "parent-module": "^1.0.0", - "resolve-from": "^4.0.0" - }, - "engines": { - "node": ">=6" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/import-fresh/node_modules/resolve-from": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/resolve-from/-/resolve-from-4.0.0.tgz", - "integrity": "sha512-pb/MYmXstAkysRFx8piNI1tGFNQIFA3vkE3Gq4EuA1dF6gHp/+vgZqsCGJapvy8N3Q+4o7FwvquPJcnZ7RYy4g==", - "engines": { - "node": ">=4" - } - }, - "node_modules/import-lazy": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/import-lazy/-/import-lazy-4.0.0.tgz", - "integrity": "sha512-rKtvo6a868b5Hu3heneU+L4yEQ4jYKLtjpnPeUdK7h0yzXGmyBTypknlkCvHFBqfX9YlorEiMM6Dnq/5atfHkw==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/import-local": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/import-local/-/import-local-3.1.0.tgz", - "integrity": "sha512-ASB07uLtnDs1o6EHjKpX34BKYDSqnFerfTOJL2HvMqF70LnxpjkzDB8J44oT9pu4AMPkQwf8jl6szgvNd2tRIg==", - "dev": true, - "dependencies": { - "pkg-dir": "^4.2.0", - "resolve-cwd": "^3.0.0" - }, - "bin": { - "import-local-fixture": "fixtures/cli.js" - }, - "engines": { - "node": ">=8" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/imurmurhash": { - "version": "0.1.4", - "resolved": "https://registry.npmjs.org/imurmurhash/-/imurmurhash-0.1.4.tgz", - "integrity": "sha512-JmXMZ6wuvDmLiHEml9ykzqO6lwFbof0GG4IkcGaENdCRDDmMVnny7s5HsIgHCbaq0w2MyPhDqkhTUgS2LU2PHA==", - "dev": true, - "engines": { - "node": ">=0.8.19" - } - }, - "node_modules/indent-string": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/indent-string/-/indent-string-4.0.0.tgz", - "integrity": "sha512-EdDDZu4A2OyIK7Lr/2zG+w5jmbuk1DVBnEwREQvBzspBJkCEbRa8GxU1lghYcaGJCnRWibjDXlq779X1/y5xwg==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/inflight": { - "version": "1.0.6", - "resolved": "https://registry.npmjs.org/inflight/-/inflight-1.0.6.tgz", - "integrity": "sha512-k92I/b08q4wvFscXCLvqfsHCrjrF7yiXsQuIVvVE7N82W3+aqpzuUdBbfhWcy/FZR3/4IgflMgKLOsvPDrGCJA==", - "dependencies": { - "once": "^1.3.0", - "wrappy": "1" - } - }, - "node_modules/inherits": { - "version": "2.0.4", - "resolved": "https://registry.npmjs.org/inherits/-/inherits-2.0.4.tgz", - "integrity": "sha512-k/vGaX4/Yla3WzyMCvTQOXYeIHvqOKtnqBduzTHpzpQZzAskKMhZ2K+EnBiSM9zGSoIFeMpXKxa4dYeZIQqewQ==" - }, - "node_modules/ini": { - "version": "1.3.8", - "resolved": "https://registry.npmjs.org/ini/-/ini-1.3.8.tgz", - "integrity": "sha512-JV/yugV2uzW5iMRSiZAyDtQd+nxtUnjeLt0acNdw98kKLrvuRVyB80tsREOE7yvGVgalhZ6RNXCmEHkUKBKxew==", - "dev": true - }, - "node_modules/inquirer": { - "version": "8.2.5", - "resolved": "https://registry.npmjs.org/inquirer/-/inquirer-8.2.5.tgz", - "integrity": "sha512-QAgPDQMEgrDssk1XiwwHoOGYF9BAbUcc1+j+FhEvaOt8/cKRqyLn0U5qA6F74fGhTMGxf92pOvPBeh29jQJDTQ==", - "dependencies": { - "ansi-escapes": "^4.2.1", - "chalk": "^4.1.1", - "cli-cursor": "^3.1.0", - "cli-width": "^3.0.0", - "external-editor": "^3.0.3", - "figures": "^3.0.0", - "lodash": "^4.17.21", - "mute-stream": "0.0.8", - "ora": "^5.4.1", - "run-async": "^2.4.0", - "rxjs": "^7.5.5", - "string-width": "^4.1.0", - "strip-ansi": "^6.0.0", - "through": "^2.3.6", - "wrap-ansi": "^7.0.0" - }, - "engines": { - "node": ">=12.0.0" - } - }, - "node_modules/inquirer/node_modules/rxjs": { - "version": "7.8.1", - "resolved": "https://registry.npmjs.org/rxjs/-/rxjs-7.8.1.tgz", - "integrity": "sha512-AA3TVj+0A2iuIoQkWEK/tqFjBq2j+6PO6Y0zJcvzLAFhEFIO3HL0vls9hWLncZbAAbK0mar7oZ4V079I/qPMxg==", - "dependencies": { - "tslib": "^2.1.0" - } - }, - "node_modules/internal-slot": { - "version": "1.0.5", - "resolved": "https://registry.npmjs.org/internal-slot/-/internal-slot-1.0.5.tgz", - "integrity": "sha512-Y+R5hJrzs52QCG2laLn4udYVnxsfny9CpOhNhUvk/SSSVyF6T27FzRbF0sroPidSu3X8oEAkOn2K804mjpt6UQ==", - "dev": true, - "dependencies": { - "get-intrinsic": "^1.2.0", - "has": "^1.0.3", - "side-channel": "^1.0.4" - }, - "engines": { - "node": ">= 0.4" - } - }, - "node_modules/interpret": { - "version": "1.4.0", - "resolved": "https://registry.npmjs.org/interpret/-/interpret-1.4.0.tgz", - "integrity": "sha512-agE4QfB2Lkp9uICn7BAqoscw4SZP9kTE2hxiFI3jBPmXJfdqiahTbUuKGsMoN2GtqL9AxhYioAcVvgsb1HvRbA==", - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/invert-kv": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/invert-kv/-/invert-kv-1.0.0.tgz", - "integrity": "sha512-xgs2NH9AE66ucSq4cNG1nhSFghr5l6tdL15Pk+jl46bmmBapgoaY/AacXyaDznAqmGL99TiLSQgO/XazFSKYeQ==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/ip": { - "version": "1.1.8", - "resolved": "https://registry.npmjs.org/ip/-/ip-1.1.8.tgz", - "integrity": "sha512-PuExPYUiu6qMBQb4l06ecm6T6ujzhmh+MeJcW9wa89PoAz5pvd4zPgN5WJV104mb6S2T1AwNIAaB70JNrLQWhg==", - "dev": true - }, - "node_modules/is-absolute": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/is-absolute/-/is-absolute-1.0.0.tgz", - "integrity": "sha512-dOWoqflvcydARa360Gvv18DZ/gRuHKi2NU/wU5X1ZFzdYfH29nkiNZsF3mp4OJ3H4yo9Mx8A/uAGNzpzPN3yBA==", - "dev": true, - "dependencies": { - "is-relative": "^1.0.0", - "is-windows": "^1.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-accessor-descriptor": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/is-accessor-descriptor/-/is-accessor-descriptor-1.0.0.tgz", - "integrity": "sha512-m5hnHTkcVsPfqx3AKlyttIPb7J+XykHvJP2B9bZDjlhLIoEq4XoK64Vg7boZlVWYK6LUY94dYPEE7Lh0ZkZKcQ==", - "dev": true, - "dependencies": { - "kind-of": "^6.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-accessor-descriptor/node_modules/kind-of": { - "version": "6.0.3", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-6.0.3.tgz", - "integrity": "sha512-dcS1ul+9tmeD95T+x28/ehLgd9mENa3LsvDTtzm3vyBEO7RPptvAD+t44WVXaUjTBRcrpFeFlC8WCruUR456hw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-arguments": { - "version": "1.1.1", - "resolved": "https://registry.npmjs.org/is-arguments/-/is-arguments-1.1.1.tgz", - "integrity": "sha512-8Q7EARjzEnKpt/PCD7e1cgUS0a6X8u5tdSiMqXhojOdoV9TsMsiO+9VLC5vAmO8N7/GmXn7yjR8qnA6bVAEzfA==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2", - "has-tostringtag": "^1.0.0" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/is-array-buffer": { - "version": "3.0.2", - "resolved": "https://registry.npmjs.org/is-array-buffer/-/is-array-buffer-3.0.2.tgz", - "integrity": "sha512-y+FyyR/w8vfIRq4eQcM1EYgSTnmHXPqaF+IgzgraytCFq5Xh8lllDVmAZolPJiZttZLeFSINPYMaEJ7/vWUa1w==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2", - "get-intrinsic": "^1.2.0", - "is-typed-array": "^1.1.10" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/is-arrayish": { - "version": "0.2.1", - "resolved": "https://registry.npmjs.org/is-arrayish/-/is-arrayish-0.2.1.tgz", - "integrity": "sha512-zz06S8t0ozoDXMG+ube26zeCTNXcKIPJZJi8hBrF4idCLms4CG9QtK7qBl1boi5ODzFpjswb5JPmHCbMpjaYzg==" - }, - "node_modules/is-bigint": { - "version": "1.0.4", - "resolved": "https://registry.npmjs.org/is-bigint/-/is-bigint-1.0.4.tgz", - "integrity": "sha512-zB9CruMamjym81i2JZ3UMn54PKGsQzsJeo6xvN3HJJ4CAsQNB6iRutp2To77OfCNuoxspsIhzaPoO1zyCEhFOg==", - "dev": true, - "dependencies": { - "has-bigints": "^1.0.1" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/is-binary-path": { - "version": "2.1.0", - "resolved": "https://registry.npmjs.org/is-binary-path/-/is-binary-path-2.1.0.tgz", - "integrity": "sha512-ZMERYes6pDydyuGidse7OsHxtbI7WVeUEozgR/g7rd0xUimYNlvZRE/K2MgZTjWy725IfelLeVcEM97mmtRGXw==", - "dependencies": { - "binary-extensions": "^2.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/is-boolean-object": { - "version": "1.1.2", - "resolved": "https://registry.npmjs.org/is-boolean-object/-/is-boolean-object-1.1.2.tgz", - "integrity": "sha512-gDYaKHJmnj4aWxyj6YHyXVpdQawtVLHU5cb+eztPGczf6cjuTdwve5ZIEfgXqH4e57An1D1AKf8CZ3kYrQRqYA==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2", - "has-tostringtag": "^1.0.0" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/is-buffer": { - "version": "1.1.6", - "resolved": "https://registry.npmjs.org/is-buffer/-/is-buffer-1.1.6.tgz", - "integrity": "sha512-NcdALwpXkTm5Zvvbk7owOUSvVvBKDgKP5/ewfXEznmQFfs4ZRmanOeKBTjRVjka3QFoN6XJ+9F3USqfHqTaU5w==", - "dev": true - }, - "node_modules/is-callable": { - "version": "1.2.7", - "resolved": "https://registry.npmjs.org/is-callable/-/is-callable-1.2.7.tgz", - "integrity": "sha512-1BC0BVFhS/p0qtw6enp8e+8OD0UrK0oFLztSjNzhcKA3WDuJxxAPXzPuPtKkjEY9UUoEWlX/8fgKeu2S8i9JTA==", - "dev": true, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/is-ci": { - "version": "3.0.1", - "resolved": "https://registry.npmjs.org/is-ci/-/is-ci-3.0.1.tgz", - "integrity": "sha512-ZYvCgrefwqoQ6yTyYUbQu64HsITZ3NfKX1lzaEYdkTDcfKzzCI/wthRRYKkdjHKFVgNiXKAKm65Zo1pk2as/QQ==", - "dev": true, - "dependencies": { - "ci-info": "^3.2.0" - }, - "bin": { - "is-ci": "bin.js" - } - }, - "node_modules/is-core-module": { - "version": "2.12.0", - "resolved": "https://registry.npmjs.org/is-core-module/-/is-core-module-2.12.0.tgz", - "integrity": "sha512-RECHCBCd/viahWmwj6enj19sKbHfJrddi/6cBDsNTKbNq0f7VeaUkBo60BqzvPqo/W54ChS62Z5qyun7cfOMqQ==", - "dependencies": { - "has": "^1.0.3" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/is-data-descriptor": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/is-data-descriptor/-/is-data-descriptor-1.0.0.tgz", - "integrity": "sha512-jbRXy1FmtAoCjQkVmIVYwuuqDFUbaOeDjmed1tOGPrsMhtJA4rD9tkgA0F1qJ3gRFRXcHYVkdeaP50Q5rE/jLQ==", - "dev": true, - "dependencies": { - "kind-of": "^6.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-data-descriptor/node_modules/kind-of": { - "version": "6.0.3", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-6.0.3.tgz", - "integrity": "sha512-dcS1ul+9tmeD95T+x28/ehLgd9mENa3LsvDTtzm3vyBEO7RPptvAD+t44WVXaUjTBRcrpFeFlC8WCruUR456hw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-date-object": { - "version": "1.0.5", - "resolved": "https://registry.npmjs.org/is-date-object/-/is-date-object-1.0.5.tgz", - "integrity": "sha512-9YQaSxsAiSwcvS33MBk3wTCVnWK+HhF8VZR2jRxehM16QcVOdHqPn4VPHmRK4lSr38n9JriurInLcP90xsYNfQ==", - "dev": true, - "dependencies": { - "has-tostringtag": "^1.0.0" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/is-descriptor": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/is-descriptor/-/is-descriptor-1.0.2.tgz", - "integrity": "sha512-2eis5WqQGV7peooDyLmNEPUrps9+SXX5c9pL3xEB+4e9HnGuDa7mB7kHxHw4CbqS9k1T2hOH3miL8n8WtiYVtg==", - "dev": true, - "dependencies": { - "is-accessor-descriptor": "^1.0.0", - "is-data-descriptor": "^1.0.0", - "kind-of": "^6.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-descriptor/node_modules/kind-of": { - "version": "6.0.3", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-6.0.3.tgz", - "integrity": "sha512-dcS1ul+9tmeD95T+x28/ehLgd9mENa3LsvDTtzm3vyBEO7RPptvAD+t44WVXaUjTBRcrpFeFlC8WCruUR456hw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-docker": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/is-docker/-/is-docker-3.0.0.tgz", - "integrity": "sha512-eljcgEDlEns/7AXFosB5K/2nCM4P7FQPkGc/DWLy5rmFEWvZayGrik1d9/QIY5nJ4f9YsVvBkA6kJpHn9rISdQ==", - "dev": true, - "bin": { - "is-docker": "cli.js" - }, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/is-extendable": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/is-extendable/-/is-extendable-1.0.1.tgz", - "integrity": "sha512-arnXMxT1hhoKo9k1LZdmlNyJdDDfy2v0fXjFlmok4+i8ul/6WlbVge9bhM74OpNPQPMGUToDtz+KXa1PneJxOA==", - "dev": true, - "dependencies": { - "is-plain-object": "^2.0.4" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-extendable/node_modules/is-plain-object": { - "version": "2.0.4", - "resolved": "https://registry.npmjs.org/is-plain-object/-/is-plain-object-2.0.4.tgz", - "integrity": "sha512-h5PpgXkWitc38BBMYawTYMWJHFZJVnBquFE57xFpjB8pJFiF6gZ+bU+WyI/yqXiFR5mdLsgYNaPe8uao6Uv9Og==", - "dev": true, - "dependencies": { - "isobject": "^3.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-extglob": { - "version": "2.1.1", - "resolved": "https://registry.npmjs.org/is-extglob/-/is-extglob-2.1.1.tgz", - "integrity": "sha512-SbKbANkN603Vi4jEZv49LeVJMn4yGwsbzZworEoyEiutsN3nJYdbO36zfhGJ6QEDpOZIFkDtnq5JRxmvl3jsoQ==", - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-fullwidth-code-point": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/is-fullwidth-code-point/-/is-fullwidth-code-point-4.0.0.tgz", - "integrity": "sha512-O4L094N2/dZ7xqVdrXhh9r1KODPJpFms8B5sGdJLPy664AgvXsreZUyCQQNItZRDlYug4xStLjNp/sz3HvBowQ==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/is-generator-fn": { - "version": "2.1.0", - "resolved": "https://registry.npmjs.org/is-generator-fn/-/is-generator-fn-2.1.0.tgz", - "integrity": "sha512-cTIB4yPYL/Grw0EaSzASzg6bBy9gqCofvWN8okThAYIxKJZC+udlRAmGbM0XLeniEJSs8uEgHPGuHSe1XsOLSQ==", - "dev": true, - "engines": { - "node": ">=6" - } - }, - "node_modules/is-glob": { - "version": "4.0.3", - "resolved": "https://registry.npmjs.org/is-glob/-/is-glob-4.0.3.tgz", - "integrity": "sha512-xelSayHH36ZgE7ZWhli7pW34hNbNl8Ojv5KVmkJD4hBdD3th8Tfk9vYasLM+mXWOZhFkgZfxhLSnrwRr4elSSg==", - "dependencies": { - "is-extglob": "^2.1.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-inside-container": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/is-inside-container/-/is-inside-container-1.0.0.tgz", - "integrity": "sha512-KIYLCCJghfHZxqjYBE7rEy0OBuTd5xCHS7tHVgvCLkx7StIoaxwNW3hCALgEUjFfeRk+MG/Qxmp/vtETEF3tRA==", - "dev": true, - "dependencies": { - "is-docker": "^3.0.0" - }, - "bin": { - "is-inside-container": "cli.js" - }, - "engines": { - "node": ">=14.16" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/is-installed-globally": { - "version": "0.4.0", - "resolved": "https://registry.npmjs.org/is-installed-globally/-/is-installed-globally-0.4.0.tgz", - "integrity": "sha512-iwGqO3J21aaSkC7jWnHP/difazwS7SFeIqxv6wEtLU8Y5KlzFTjyqcSIT0d8s4+dDhKytsk9PJZ2BkS5eZwQRQ==", - "dev": true, - "dependencies": { - "global-dirs": "^3.0.0", - "is-path-inside": "^3.0.2" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/is-installed-globally/node_modules/global-dirs": { - "version": "3.0.1", - "resolved": "https://registry.npmjs.org/global-dirs/-/global-dirs-3.0.1.tgz", - "integrity": "sha512-NBcGGFbBA9s1VzD41QXDG+3++t9Mn5t1FpLdhESY6oKY4gYTFpX4wO3sqGUa0Srjtbfj3szX0RnemmrVRUdULA==", - "dev": true, - "dependencies": { - "ini": "2.0.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/is-installed-globally/node_modules/ini": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/ini/-/ini-2.0.0.tgz", - "integrity": "sha512-7PnF4oN3CvZF23ADhA5wRaYEQpJ8qygSkbtTXWBeXWXmEVRXK+1ITciHWwHhsjv1TmW0MgacIv6hEi5pX5NQdA==", - "dev": true, - "engines": { - "node": ">=10" - } - }, - "node_modules/is-interactive": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/is-interactive/-/is-interactive-1.0.0.tgz", - "integrity": "sha512-2HvIEKRoqS62guEC+qBjpvRubdX910WCMuJTZ+I9yvqKU2/12eSL549HMwtabb4oupdj2sMP50k+XJfB/8JE6w==", - "engines": { - "node": ">=8" - } - }, - "node_modules/is-map": { - "version": "2.0.2", - "resolved": "https://registry.npmjs.org/is-map/-/is-map-2.0.2.tgz", - "integrity": "sha512-cOZFQQozTha1f4MxLFzlgKYPTyj26picdZTx82hbc/Xf4K/tZOOXSCkMvU4pKioRXGDLJRn0GM7Upe7kR721yg==", - "dev": true, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/is-negated-glob": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/is-negated-glob/-/is-negated-glob-1.0.0.tgz", - "integrity": "sha512-czXVVn/QEmgvej1f50BZ648vUI+em0xqMq2Sn+QncCLN4zj1UAxlT+kw/6ggQTOaZPd1HqKQGEqbpQVtJucWug==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-negative-zero": { - "version": "2.0.2", - "resolved": "https://registry.npmjs.org/is-negative-zero/-/is-negative-zero-2.0.2.tgz", - "integrity": "sha512-dqJvarLawXsFbNDeJW7zAz8ItJ9cd28YufuuFzh0G8pNHjJMnY08Dv7sYX2uF5UpQOwieAeOExEYAWWfu7ZZUA==", - "dev": true, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/is-npm": { - "version": "6.0.0", - "resolved": "https://registry.npmjs.org/is-npm/-/is-npm-6.0.0.tgz", - "integrity": "sha512-JEjxbSmtPSt1c8XTkVrlujcXdKV1/tvuQ7GwKcAlyiVLeYFQ2VHat8xfrDJsIkhCdF/tZ7CiIR3sy141c6+gPQ==", - "dev": true, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/is-number": { - "version": "7.0.0", - "resolved": "https://registry.npmjs.org/is-number/-/is-number-7.0.0.tgz", - "integrity": "sha512-41Cifkg6e8TylSpdtTpeLVMqvSBEVzTttHvERD741+pnZ8ANv0004MRL43QKPDlK9cGvNp6NZWZUBlbGXYxxng==", - "engines": { - "node": ">=0.12.0" - } - }, - "node_modules/is-number-object": { - "version": "1.0.7", - "resolved": "https://registry.npmjs.org/is-number-object/-/is-number-object-1.0.7.tgz", - "integrity": "sha512-k1U0IRzLMo7ZlYIfzRu23Oh6MiIFasgpb9X76eqfFZAqwH44UI4KTBvBYIZ1dSL9ZzChTB9ShHfLkR4pdW5krQ==", - "dev": true, - "dependencies": { - "has-tostringtag": "^1.0.0" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/is-obj": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/is-obj/-/is-obj-2.0.0.tgz", - "integrity": "sha512-drqDG3cbczxxEJRoOXcOjtdp1J/lyp1mNn0xaznRs8+muBhgQcrnbspox5X5fOw0HnMnbfDzvnEMEtqDEJEo8w==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/is-path-inside": { - "version": "3.0.3", - "resolved": "https://registry.npmjs.org/is-path-inside/-/is-path-inside-3.0.3.tgz", - "integrity": "sha512-Fd4gABb+ycGAmKou8eMftCupSir5lRxqf4aD/vd0cD2qc4HL07OjCeuHMr8Ro4CoMaeCKDB0/ECBOVWjTwUvPQ==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/is-plain-obj": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/is-plain-obj/-/is-plain-obj-1.1.0.tgz", - "integrity": "sha512-yvkRyxmFKEOQ4pNXCmJG5AEQNlXJS5LaONXo5/cLdTZdWvsZ1ioJEonLGAosKlMWE8lwUy/bJzMjcw8az73+Fg==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-plain-object": { - "version": "5.0.0", - "resolved": "https://registry.npmjs.org/is-plain-object/-/is-plain-object-5.0.0.tgz", - "integrity": "sha512-VRSzKkbMm5jMDoKLbltAkFQ5Qr7VDiTFGXxYFXXowVj387GeGNOCsOH6Msy00SGZ3Fp84b1Naa1psqgcCIEP5Q==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-regex": { - "version": "1.1.4", - "resolved": "https://registry.npmjs.org/is-regex/-/is-regex-1.1.4.tgz", - "integrity": "sha512-kvRdxDsxZjhzUX07ZnLydzS1TU/TJlTUHHY4YLL87e37oUA49DfkLqgy+VjFocowy29cKvcSiu+kIv728jTTVg==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2", - "has-tostringtag": "^1.0.0" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/is-relative": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/is-relative/-/is-relative-1.0.0.tgz", - "integrity": "sha512-Kw/ReK0iqwKeu0MITLFuj0jbPAmEiOsIwyIXvvbfa6QfmN9pkD1M+8pdk7Rl/dTKbH34/XBFMbgD4iMJhLQbGA==", - "dev": true, - "dependencies": { - "is-unc-path": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-set": { - "version": "2.0.2", - "resolved": "https://registry.npmjs.org/is-set/-/is-set-2.0.2.tgz", - "integrity": "sha512-+2cnTEZeY5z/iXGbLhPrOAaK/Mau5k5eXq9j14CpRTftq0pAJu2MwVRSZhyZWBzx3o6X795Lz6Bpb6R0GKf37g==", - "dev": true, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/is-shared-array-buffer": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/is-shared-array-buffer/-/is-shared-array-buffer-1.0.2.tgz", - "integrity": "sha512-sqN2UDu1/0y6uvXyStCOzyhAjCSlHceFoMKJW8W9EU9cvic/QdsZ0kEU93HEy3IUEFZIiH/3w+AH/UQbPHNdhA==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/is-ssh": { - "version": "1.4.0", - "resolved": "https://registry.npmjs.org/is-ssh/-/is-ssh-1.4.0.tgz", - "integrity": "sha512-x7+VxdxOdlV3CYpjvRLBv5Lo9OJerlYanjwFrPR9fuGPjCiNiCzFgAWpiLAohSbsnH4ZAys3SBh+hq5rJosxUQ==", - "dev": true, - "dependencies": { - "protocols": "^2.0.1" - } - }, - "node_modules/is-stream": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/is-stream/-/is-stream-2.0.1.tgz", - "integrity": "sha512-hFoiJiTl63nn+kstHGBtewWSKnQLpyb155KHheA1l39uvtO9nWIop1p3udqPcUd/xbF1VLMO4n7OI6p7RbngDg==", - "engines": { - "node": ">=8" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/is-string": { - "version": "1.0.7", - "resolved": "https://registry.npmjs.org/is-string/-/is-string-1.0.7.tgz", - "integrity": "sha512-tE2UXzivje6ofPW7l23cjDOMa09gb7xlAqG6jG5ej6uPV32TlWP3NKPigtaGeHNu9fohccRYvIiZMfOOnOYUtg==", - "dev": true, - "dependencies": { - "has-tostringtag": "^1.0.0" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/is-symbol": { - "version": "1.0.4", - "resolved": "https://registry.npmjs.org/is-symbol/-/is-symbol-1.0.4.tgz", - "integrity": "sha512-C/CPBqKWnvdcxqIARxyOh4v1UUEOCHpgDa0WYgpKDFMszcrPcffg5uhwSgPCLD2WWxmq6isisz87tzT01tuGhg==", - "dev": true, - "dependencies": { - "has-symbols": "^1.0.2" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/is-text-path": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/is-text-path/-/is-text-path-1.0.1.tgz", - "integrity": "sha512-xFuJpne9oFz5qDaodwmmG08e3CawH/2ZV8Qqza1Ko7Sk8POWbkRdwIoAWVhqvq0XeUzANEhKo2n0IXUGBm7A/w==", - "dev": true, - "dependencies": { - "text-extensions": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-typed-array": { - "version": "1.1.10", - "resolved": "https://registry.npmjs.org/is-typed-array/-/is-typed-array-1.1.10.tgz", - "integrity": "sha512-PJqgEHiWZvMpaFZ3uTc8kHPM4+4ADTlDniuQL7cU/UDA0Ql7F70yGfHph3cLNe+c9toaigv+DFzTJKhc2CtO6A==", - "dev": true, - "dependencies": { - "available-typed-arrays": "^1.0.5", - "call-bind": "^1.0.2", - "for-each": "^0.3.3", - "gopd": "^1.0.1", - "has-tostringtag": "^1.0.0" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/is-typedarray": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/is-typedarray/-/is-typedarray-1.0.0.tgz", - "integrity": "sha512-cyA56iCMHAh5CdzjJIa4aohJyeO1YbwLi3Jc35MmRU6poroFjIGZzUzupGiRPOjgHg9TLu43xbpwXk523fMxKA==", - "dev": true - }, - "node_modules/is-unc-path": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/is-unc-path/-/is-unc-path-1.0.0.tgz", - "integrity": "sha512-mrGpVd0fs7WWLfVsStvgF6iEJnbjDFZh9/emhRDcGWTduTfNHd9CHeUwH3gYIjdbwo4On6hunkztwOaAw0yllQ==", - "dev": true, - "dependencies": { - "unc-path-regex": "^0.1.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-unicode-supported": { - "version": "0.1.0", - "resolved": "https://registry.npmjs.org/is-unicode-supported/-/is-unicode-supported-0.1.0.tgz", - "integrity": "sha512-knxG2q4UC3u8stRGyAVJCOdxFmv5DZiRcdlIaAQXAbSfJya+OhopNotLQrstBhququ4ZpuKbDc/8S6mgXgPFPw==", - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/is-utf8": { - "version": "0.2.1", - "resolved": "https://registry.npmjs.org/is-utf8/-/is-utf8-0.2.1.tgz", - "integrity": "sha512-rMYPYvCzsXywIsldgLaSoPlw5PfoB/ssr7hY4pLfcodrA5M/eArza1a9VmTiNIBNMjOGr1Ow9mTyU2o69U6U9Q==", - "dev": true - }, - "node_modules/is-valid-glob": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/is-valid-glob/-/is-valid-glob-1.0.0.tgz", - "integrity": "sha512-AhiROmoEFDSsjx8hW+5sGwgKVIORcXnrlAx/R0ZSeaPw70Vw0CqkGBBhHGL58Uox2eXnU1AnvXJl1XlyedO5bA==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-weakref": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/is-weakref/-/is-weakref-1.0.2.tgz", - "integrity": "sha512-qctsuLZmIQ0+vSSMfoVvyFe2+GSEvnmZ2ezTup1SBse9+twCCeial6EEi3Nc2KFcf6+qz2FBPnjXsk8xhKSaPQ==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/is-windows": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/is-windows/-/is-windows-1.0.2.tgz", - "integrity": "sha512-eXK1UInq2bPmjyX6e3VHIzMLobc4J94i4AWn+Hpq3OU5KkrRC96OAcR3PRJ/pGu6m8TRnBHP9dkXQVsT/COVIA==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/is-wsl": { - "version": "2.2.0", - "resolved": "https://registry.npmjs.org/is-wsl/-/is-wsl-2.2.0.tgz", - "integrity": "sha512-fKzAra0rGJUUBwGBgNkHZuToZcn+TtXHpeCgmkMJMMYx1sQDYaCSyjJBSCa2nH1DGm7s3n1oBnohoVTBaN7Lww==", - "dev": true, - "dependencies": { - "is-docker": "^2.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/is-wsl/node_modules/is-docker": { - "version": "2.2.1", - "resolved": "https://registry.npmjs.org/is-docker/-/is-docker-2.2.1.tgz", - "integrity": "sha512-F+i2BKsFrH66iaUFc0woD8sLy8getkwTwtOBjvs56Cx4CgJDeKQeqfz8wAYiSb8JOprWhHH5p77PbmYCvvUuXQ==", - "dev": true, - "bin": { - "is-docker": "cli.js" - }, - "engines": { - "node": ">=8" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/is-yarn-global": { - "version": "0.4.1", - "resolved": "https://registry.npmjs.org/is-yarn-global/-/is-yarn-global-0.4.1.tgz", - "integrity": "sha512-/kppl+R+LO5VmhYSEWARUFjodS25D68gvj8W7z0I7OWhUla5xWu8KL6CtB2V0R6yqhnRgbcaREMr4EEM6htLPQ==", - "dev": true, - "engines": { - "node": ">=12" - } - }, - "node_modules/isarray": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/isarray/-/isarray-1.0.0.tgz", - "integrity": "sha512-VLghIWNM6ELQzo7zwmcg0NmTVyWKYjvIeM83yjp0wRDTmUnrM678fQbcKBo6n2CJEF0szoG//ytg+TKla89ALQ==", - "dev": true - }, - "node_modules/isexe": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/isexe/-/isexe-2.0.0.tgz", - "integrity": "sha512-RHxMLp9lnKHGHRng9QFhRCMbYAcVpn69smSGcq3f36xjgVVWThj4qqLbTLlq7Ssj8B+fIQ1EuCEGI2lKsyQeIw==" - }, - "node_modules/isobject": { - "version": "3.0.1", - "resolved": "https://registry.npmjs.org/isobject/-/isobject-3.0.1.tgz", - "integrity": "sha512-WhB9zCku7EGTj/HQQRz5aUQEUeoQZH2bWcltRErOpymJ4boYE6wL9Tbr23krRPSZ+C5zqNSrSw+Cc7sZZ4b7vg==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/issue-parser": { - "version": "6.0.0", - "resolved": "https://registry.npmjs.org/issue-parser/-/issue-parser-6.0.0.tgz", - "integrity": "sha512-zKa/Dxq2lGsBIXQ7CUZWTHfvxPC2ej0KfO7fIPqLlHB9J2hJ7rGhZ5rilhuufylr4RXYPzJUeFjKxz305OsNlA==", - "dev": true, - "dependencies": { - "lodash.capitalize": "^4.2.1", - "lodash.escaperegexp": "^4.1.2", - "lodash.isplainobject": "^4.0.6", - "lodash.isstring": "^4.0.1", - "lodash.uniqby": "^4.7.0" - }, - "engines": { - "node": ">=10.13" - } - }, - "node_modules/istanbul-lib-coverage": { - "version": "3.2.0", - "resolved": "https://registry.npmjs.org/istanbul-lib-coverage/-/istanbul-lib-coverage-3.2.0.tgz", - "integrity": "sha512-eOeJ5BHCmHYvQK7xt9GkdHuzuCGS1Y6g9Gvnx3Ym33fz/HpLRYxiS0wHNr+m/MBC8B647Xt608vCDEvhl9c6Mw==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/istanbul-lib-instrument": { - "version": "5.2.1", - "resolved": "https://registry.npmjs.org/istanbul-lib-instrument/-/istanbul-lib-instrument-5.2.1.tgz", - "integrity": "sha512-pzqtp31nLv/XFOzXGuvhCb8qhjmTVo5vjVk19XE4CRlSWz0KoeJ3bw9XsA7nOp9YBf4qHjwBxkDzKcME/J29Yg==", - "dev": true, - "dependencies": { - "@babel/core": "^7.12.3", - "@babel/parser": "^7.14.7", - "@istanbuljs/schema": "^0.1.2", - "istanbul-lib-coverage": "^3.2.0", - "semver": "^6.3.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/istanbul-lib-instrument/node_modules/semver": { - "version": "6.3.0", - "resolved": "https://registry.npmjs.org/semver/-/semver-6.3.0.tgz", - "integrity": "sha512-b39TBaTSfV6yBrapU89p5fKekE2m/NwnDocOVruQFS1/veMgdzuPcnOM34M6CwxW8jH/lxEa5rBoDeUwu5HHTw==", - "dev": true, - "bin": { - "semver": "bin/semver.js" - } - }, - "node_modules/istanbul-lib-report": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/istanbul-lib-report/-/istanbul-lib-report-3.0.0.tgz", - "integrity": "sha512-wcdi+uAKzfiGT2abPpKZ0hSU1rGQjUQnLvtY5MpQ7QCTahD3VODhcu4wcfY1YtkGaDD5yuydOLINXsfbus9ROw==", - "dev": true, - "dependencies": { - "istanbul-lib-coverage": "^3.0.0", - "make-dir": "^3.0.0", - "supports-color": "^7.1.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/istanbul-lib-source-maps": { - "version": "4.0.1", - "resolved": "https://registry.npmjs.org/istanbul-lib-source-maps/-/istanbul-lib-source-maps-4.0.1.tgz", - "integrity": "sha512-n3s8EwkdFIJCG3BPKBYvskgXGoy88ARzvegkitk60NxRdwltLOTaH7CUiMRXvwYorl0Q712iEjcWB+fK/MrWVw==", - "dev": true, - "dependencies": { - "debug": "^4.1.1", - "istanbul-lib-coverage": "^3.0.0", - "source-map": "^0.6.1" - }, - "engines": { - "node": ">=10" - } - }, - "node_modules/istanbul-lib-source-maps/node_modules/source-map": { - "version": "0.6.1", - "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz", - "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/istanbul-reports": { - "version": "3.1.5", - "resolved": "https://registry.npmjs.org/istanbul-reports/-/istanbul-reports-3.1.5.tgz", - "integrity": "sha512-nUsEMa9pBt/NOHqbcbeJEgqIlY/K7rVWUX6Lql2orY5e9roQOthbR3vtY4zzf2orPELg80fnxxk9zUyPlgwD1w==", - "dev": true, - "dependencies": { - "html-escaper": "^2.0.0", - "istanbul-lib-report": "^3.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/iterate-iterator": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/iterate-iterator/-/iterate-iterator-1.0.2.tgz", - "integrity": "sha512-t91HubM4ZDQ70M9wqp+pcNpu8OyJ9UAtXntT/Bcsvp5tZMnz9vRa+IunKXeI8AnfZMTv0jNuVEmGeLSMjVvfPw==", - "dev": true, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/iterate-value": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/iterate-value/-/iterate-value-1.0.2.tgz", - "integrity": "sha512-A6fMAio4D2ot2r/TYzr4yUWrmwNdsN5xL7+HUiyACE4DXm+q8HtPcnFTp+NnW3k4N05tZ7FVYFFb2CR13NxyHQ==", - "dev": true, - "dependencies": { - "es-get-iterator": "^1.0.2", - "iterate-iterator": "^1.0.1" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/jest": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest/-/jest-29.5.0.tgz", - "integrity": "sha512-juMg3he2uru1QoXX078zTa7pO85QyB9xajZc6bU+d9yEGwrKX6+vGmJQ3UdVZsvTEUARIdObzH68QItim6OSSQ==", - "dev": true, - "dependencies": { - "@jest/core": "^29.5.0", - "@jest/types": "^29.5.0", - "import-local": "^3.0.2", - "jest-cli": "^29.5.0" - }, - "bin": { - "jest": "bin/jest.js" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - }, - "peerDependencies": { - "node-notifier": "^8.0.1 || ^9.0.0 || ^10.0.0" - }, - "peerDependenciesMeta": { - "node-notifier": { - "optional": true - } - } - }, - "node_modules/jest-changed-files": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-changed-files/-/jest-changed-files-29.5.0.tgz", - "integrity": "sha512-IFG34IUMUaNBIxjQXF/iu7g6EcdMrGRRxaUSw92I/2g2YC6vCdTltl4nHvt7Ci5nSJwXIkCu8Ka1DKF+X7Z1Ag==", - "dev": true, - "dependencies": { - "execa": "^5.0.0", - "p-limit": "^3.1.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-circus": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-circus/-/jest-circus-29.5.0.tgz", - "integrity": "sha512-gq/ongqeQKAplVxqJmbeUOJJKkW3dDNPY8PjhJ5G0lBRvu0e3EWGxGy5cI4LAGA7gV2UHCtWBI4EMXK8c9nQKA==", - "dev": true, - "dependencies": { - "@jest/environment": "^29.5.0", - "@jest/expect": "^29.5.0", - "@jest/test-result": "^29.5.0", - "@jest/types": "^29.5.0", - "@types/node": "*", - "chalk": "^4.0.0", - "co": "^4.6.0", - "dedent": "^0.7.0", - "is-generator-fn": "^2.0.0", - "jest-each": "^29.5.0", - "jest-matcher-utils": "^29.5.0", - "jest-message-util": "^29.5.0", - "jest-runtime": "^29.5.0", - "jest-snapshot": "^29.5.0", - "jest-util": "^29.5.0", - "p-limit": "^3.1.0", - "pretty-format": "^29.5.0", - "pure-rand": "^6.0.0", - "slash": "^3.0.0", - "stack-utils": "^2.0.3" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-cli": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-cli/-/jest-cli-29.5.0.tgz", - "integrity": "sha512-L1KcP1l4HtfwdxXNFCL5bmUbLQiKrakMUriBEcc1Vfz6gx31ORKdreuWvmQVBit+1ss9NNR3yxjwfwzZNdQXJw==", - "dev": true, - "dependencies": { - "@jest/core": "^29.5.0", - "@jest/test-result": "^29.5.0", - "@jest/types": "^29.5.0", - "chalk": "^4.0.0", - "exit": "^0.1.2", - "graceful-fs": "^4.2.9", - "import-local": "^3.0.2", - "jest-config": "^29.5.0", - "jest-util": "^29.5.0", - "jest-validate": "^29.5.0", - "prompts": "^2.0.1", - "yargs": "^17.3.1" - }, - "bin": { - "jest": "bin/jest.js" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - }, - "peerDependencies": { - "node-notifier": "^8.0.1 || ^9.0.0 || ^10.0.0" - }, - "peerDependenciesMeta": { - "node-notifier": { - "optional": true - } - } - }, - "node_modules/jest-config": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-config/-/jest-config-29.5.0.tgz", - "integrity": "sha512-kvDUKBnNJPNBmFFOhDbm59iu1Fii1Q6SxyhXfvylq3UTHbg6o7j/g8k2dZyXWLvfdKB1vAPxNZnMgtKJcmu3kA==", - "dev": true, - "dependencies": { - "@babel/core": "^7.11.6", - "@jest/test-sequencer": "^29.5.0", - "@jest/types": "^29.5.0", - "babel-jest": "^29.5.0", - "chalk": "^4.0.0", - "ci-info": "^3.2.0", - "deepmerge": "^4.2.2", - "glob": "^7.1.3", - "graceful-fs": "^4.2.9", - "jest-circus": "^29.5.0", - "jest-environment-node": "^29.5.0", - "jest-get-type": "^29.4.3", - "jest-regex-util": "^29.4.3", - "jest-resolve": "^29.5.0", - "jest-runner": "^29.5.0", - "jest-util": "^29.5.0", - "jest-validate": "^29.5.0", - "micromatch": "^4.0.4", - "parse-json": "^5.2.0", - "pretty-format": "^29.5.0", - "slash": "^3.0.0", - "strip-json-comments": "^3.1.1" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - }, - "peerDependencies": { - "@types/node": "*", - "ts-node": ">=9.0.0" - }, - "peerDependenciesMeta": { - "@types/node": { - "optional": true - }, - "ts-node": { - "optional": true - } - } - }, - "node_modules/jest-diff": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-diff/-/jest-diff-29.5.0.tgz", - "integrity": "sha512-LtxijLLZBduXnHSniy0WMdaHjmQnt3g5sa16W4p0HqukYTTsyTW3GD1q41TyGl5YFXj/5B2U6dlh5FM1LIMgxw==", - "dev": true, - "dependencies": { - "chalk": "^4.0.0", - "diff-sequences": "^29.4.3", - "jest-get-type": "^29.4.3", - "pretty-format": "^29.5.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-docblock": { - "version": "29.4.3", - "resolved": "https://registry.npmjs.org/jest-docblock/-/jest-docblock-29.4.3.tgz", - "integrity": "sha512-fzdTftThczeSD9nZ3fzA/4KkHtnmllawWrXO69vtI+L9WjEIuXWs4AmyME7lN5hU7dB0sHhuPfcKofRsUb/2Fg==", - "dev": true, - "dependencies": { - "detect-newline": "^3.0.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-each": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-each/-/jest-each-29.5.0.tgz", - "integrity": "sha512-HM5kIJ1BTnVt+DQZ2ALp3rzXEl+g726csObrW/jpEGl+CDSSQpOJJX2KE/vEg8cxcMXdyEPu6U4QX5eruQv5hA==", - "dev": true, - "dependencies": { - "@jest/types": "^29.5.0", - "chalk": "^4.0.0", - "jest-get-type": "^29.4.3", - "jest-util": "^29.5.0", - "pretty-format": "^29.5.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-environment-node": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-environment-node/-/jest-environment-node-29.5.0.tgz", - "integrity": "sha512-ExxuIK/+yQ+6PRGaHkKewYtg6hto2uGCgvKdb2nfJfKXgZ17DfXjvbZ+jA1Qt9A8EQSfPnt5FKIfnOO3u1h9qw==", - "dev": true, - "dependencies": { - "@jest/environment": "^29.5.0", - "@jest/fake-timers": "^29.5.0", - "@jest/types": "^29.5.0", - "@types/node": "*", - "jest-mock": "^29.5.0", - "jest-util": "^29.5.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-get-type": { - "version": "29.4.3", - "resolved": "https://registry.npmjs.org/jest-get-type/-/jest-get-type-29.4.3.tgz", - "integrity": "sha512-J5Xez4nRRMjk8emnTpWrlkyb9pfRQQanDrvWHhsR1+VUfbwxi30eVcZFlcdGInRibU4G5LwHXpI7IRHU0CY+gg==", - "dev": true, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-haste-map": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-haste-map/-/jest-haste-map-29.5.0.tgz", - "integrity": "sha512-IspOPnnBro8YfVYSw6yDRKh/TiCdRngjxeacCps1cQ9cgVN6+10JUcuJ1EabrgYLOATsIAigxA0rLR9x/YlrSA==", - "dev": true, - "dependencies": { - "@jest/types": "^29.5.0", - "@types/graceful-fs": "^4.1.3", - "@types/node": "*", - "anymatch": "^3.0.3", - "fb-watchman": "^2.0.0", - "graceful-fs": "^4.2.9", - "jest-regex-util": "^29.4.3", - "jest-util": "^29.5.0", - "jest-worker": "^29.5.0", - "micromatch": "^4.0.4", - "walker": "^1.0.8" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - }, - "optionalDependencies": { - "fsevents": "^2.3.2" - } - }, - "node_modules/jest-leak-detector": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-leak-detector/-/jest-leak-detector-29.5.0.tgz", - "integrity": "sha512-u9YdeeVnghBUtpN5mVxjID7KbkKE1QU4f6uUwuxiY0vYRi9BUCLKlPEZfDGR67ofdFmDz9oPAy2G92Ujrntmow==", - "dev": true, - "dependencies": { - "jest-get-type": "^29.4.3", - "pretty-format": "^29.5.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-matcher-utils": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-matcher-utils/-/jest-matcher-utils-29.5.0.tgz", - "integrity": "sha512-lecRtgm/rjIK0CQ7LPQwzCs2VwW6WAahA55YBuI+xqmhm7LAaxokSB8C97yJeYyT+HvQkH741StzpU41wohhWw==", - "dev": true, - "dependencies": { - "chalk": "^4.0.0", - "jest-diff": "^29.5.0", - "jest-get-type": "^29.4.3", - "pretty-format": "^29.5.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-message-util": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-message-util/-/jest-message-util-29.5.0.tgz", - "integrity": "sha512-Kijeg9Dag6CKtIDA7O21zNTACqD5MD/8HfIV8pdD94vFyFuer52SigdC3IQMhab3vACxXMiFk+yMHNdbqtyTGA==", - "dev": true, - "dependencies": { - "@babel/code-frame": "^7.12.13", - "@jest/types": "^29.5.0", - "@types/stack-utils": "^2.0.0", - "chalk": "^4.0.0", - "graceful-fs": "^4.2.9", - "micromatch": "^4.0.4", - "pretty-format": "^29.5.0", - "slash": "^3.0.0", - "stack-utils": "^2.0.3" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-mock": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-mock/-/jest-mock-29.5.0.tgz", - "integrity": "sha512-GqOzvdWDE4fAV2bWQLQCkujxYWL7RxjCnj71b5VhDAGOevB3qj3Ovg26A5NI84ZpODxyzaozXLOh2NCgkbvyaw==", - "dev": true, - "dependencies": { - "@jest/types": "^29.5.0", - "@types/node": "*", - "jest-util": "^29.5.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-pnp-resolver": { - "version": "1.2.3", - "resolved": "https://registry.npmjs.org/jest-pnp-resolver/-/jest-pnp-resolver-1.2.3.tgz", - "integrity": "sha512-+3NpwQEnRoIBtx4fyhblQDPgJI0H1IEIkX7ShLUjPGA7TtUTvI1oiKi3SR4oBR0hQhQR80l4WAe5RrXBwWMA8w==", - "dev": true, - "engines": { - "node": ">=6" - }, - "peerDependencies": { - "jest-resolve": "*" - }, - "peerDependenciesMeta": { - "jest-resolve": { - "optional": true - } - } - }, - "node_modules/jest-regex-util": { - "version": "29.4.3", - "resolved": "https://registry.npmjs.org/jest-regex-util/-/jest-regex-util-29.4.3.tgz", - "integrity": "sha512-O4FglZaMmWXbGHSQInfXewIsd1LMn9p3ZXB/6r4FOkyhX2/iP/soMG98jGvk/A3HAN78+5VWcBGO0BJAPRh4kg==", - "dev": true, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-resolve": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-resolve/-/jest-resolve-29.5.0.tgz", - "integrity": "sha512-1TzxJ37FQq7J10jPtQjcc+MkCkE3GBpBecsSUWJ0qZNJpmg6m0D9/7II03yJulm3H/fvVjgqLh/k2eYg+ui52w==", - "dev": true, - "dependencies": { - "chalk": "^4.0.0", - "graceful-fs": "^4.2.9", - "jest-haste-map": "^29.5.0", - "jest-pnp-resolver": "^1.2.2", - "jest-util": "^29.5.0", - "jest-validate": "^29.5.0", - "resolve": "^1.20.0", - "resolve.exports": "^2.0.0", - "slash": "^3.0.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-resolve-dependencies": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-resolve-dependencies/-/jest-resolve-dependencies-29.5.0.tgz", - "integrity": "sha512-sjV3GFr0hDJMBpYeUuGduP+YeCRbd7S/ck6IvL3kQ9cpySYKqcqhdLLC2rFwrcL7tz5vYibomBrsFYWkIGGjOg==", - "dev": true, - "dependencies": { - "jest-regex-util": "^29.4.3", - "jest-snapshot": "^29.5.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-runner": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-runner/-/jest-runner-29.5.0.tgz", - "integrity": "sha512-m7b6ypERhFghJsslMLhydaXBiLf7+jXy8FwGRHO3BGV1mcQpPbwiqiKUR2zU2NJuNeMenJmlFZCsIqzJCTeGLQ==", - "dev": true, - "dependencies": { - "@jest/console": "^29.5.0", - "@jest/environment": "^29.5.0", - "@jest/test-result": "^29.5.0", - "@jest/transform": "^29.5.0", - "@jest/types": "^29.5.0", - "@types/node": "*", - "chalk": "^4.0.0", - "emittery": "^0.13.1", - "graceful-fs": "^4.2.9", - "jest-docblock": "^29.4.3", - "jest-environment-node": "^29.5.0", - "jest-haste-map": "^29.5.0", - "jest-leak-detector": "^29.5.0", - "jest-message-util": "^29.5.0", - "jest-resolve": "^29.5.0", - "jest-runtime": "^29.5.0", - "jest-util": "^29.5.0", - "jest-watcher": "^29.5.0", - "jest-worker": "^29.5.0", - "p-limit": "^3.1.0", - "source-map-support": "0.5.13" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-runner/node_modules/source-map": { - "version": "0.6.1", - "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz", - "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/jest-runner/node_modules/source-map-support": { - "version": "0.5.13", - "resolved": "https://registry.npmjs.org/source-map-support/-/source-map-support-0.5.13.tgz", - "integrity": "sha512-SHSKFHadjVA5oR4PPqhtAVdcBWwRYVd6g6cAXnIbRiIwc2EhPrTuKUBdSLvlEKyIP3GCf89fltvcZiP9MMFA1w==", - "dev": true, - "dependencies": { - "buffer-from": "^1.0.0", - "source-map": "^0.6.0" - } - }, - "node_modules/jest-runtime": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-runtime/-/jest-runtime-29.5.0.tgz", - "integrity": "sha512-1Hr6Hh7bAgXQP+pln3homOiEZtCDZFqwmle7Ew2j8OlbkIu6uE3Y/etJQG8MLQs3Zy90xrp2C0BRrtPHG4zryw==", - "dev": true, - "dependencies": { - "@jest/environment": "^29.5.0", - "@jest/fake-timers": "^29.5.0", - "@jest/globals": "^29.5.0", - "@jest/source-map": "^29.4.3", - "@jest/test-result": "^29.5.0", - "@jest/transform": "^29.5.0", - "@jest/types": "^29.5.0", - "@types/node": "*", - "chalk": "^4.0.0", - "cjs-module-lexer": "^1.0.0", - "collect-v8-coverage": "^1.0.0", - "glob": "^7.1.3", - "graceful-fs": "^4.2.9", - "jest-haste-map": "^29.5.0", - "jest-message-util": "^29.5.0", - "jest-mock": "^29.5.0", - "jest-regex-util": "^29.4.3", - "jest-resolve": "^29.5.0", - "jest-snapshot": "^29.5.0", - "jest-util": "^29.5.0", - "slash": "^3.0.0", - "strip-bom": "^4.0.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-snapshot": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-snapshot/-/jest-snapshot-29.5.0.tgz", - "integrity": "sha512-x7Wolra5V0tt3wRs3/ts3S6ciSQVypgGQlJpz2rsdQYoUKxMxPNaoHMGJN6qAuPJqS+2iQ1ZUn5kl7HCyls84g==", - "dev": true, - "dependencies": { - "@babel/core": "^7.11.6", - "@babel/generator": "^7.7.2", - "@babel/plugin-syntax-jsx": "^7.7.2", - "@babel/plugin-syntax-typescript": "^7.7.2", - "@babel/traverse": "^7.7.2", - "@babel/types": "^7.3.3", - "@jest/expect-utils": "^29.5.0", - "@jest/transform": "^29.5.0", - "@jest/types": "^29.5.0", - "@types/babel__traverse": "^7.0.6", - "@types/prettier": "^2.1.5", - "babel-preset-current-node-syntax": "^1.0.0", - "chalk": "^4.0.0", - "expect": "^29.5.0", - "graceful-fs": "^4.2.9", - "jest-diff": "^29.5.0", - "jest-get-type": "^29.4.3", - "jest-matcher-utils": "^29.5.0", - "jest-message-util": "^29.5.0", - "jest-util": "^29.5.0", - "natural-compare": "^1.4.0", - "pretty-format": "^29.5.0", - "semver": "^7.3.5" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-util": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-util/-/jest-util-29.5.0.tgz", - "integrity": "sha512-RYMgG/MTadOr5t8KdhejfvUU82MxsCu5MF6KuDUHl+NuwzUt+Sm6jJWxTJVrDR1j5M/gJVCPKQEpWXY+yIQ6lQ==", - "dev": true, - "dependencies": { - "@jest/types": "^29.5.0", - "@types/node": "*", - "chalk": "^4.0.0", - "ci-info": "^3.2.0", - "graceful-fs": "^4.2.9", - "picomatch": "^2.2.3" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-validate": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-validate/-/jest-validate-29.5.0.tgz", - "integrity": "sha512-pC26etNIi+y3HV8A+tUGr/lph9B18GnzSRAkPaaZJIE1eFdiYm6/CewuiJQ8/RlfHd1u/8Ioi8/sJ+CmbA+zAQ==", - "dev": true, - "dependencies": { - "@jest/types": "^29.5.0", - "camelcase": "^6.2.0", - "chalk": "^4.0.0", - "jest-get-type": "^29.4.3", - "leven": "^3.1.0", - "pretty-format": "^29.5.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-validate/node_modules/camelcase": { - "version": "6.3.0", - "resolved": "https://registry.npmjs.org/camelcase/-/camelcase-6.3.0.tgz", - "integrity": "sha512-Gmy6FhYlCY7uOElZUSbxo2UCDH8owEk996gkbrpsgGtrJLM3J7jGxl9Ic7Qwwj4ivOE5AWZWRMecDdF7hqGjFA==", - "dev": true, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/jest-watcher": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-watcher/-/jest-watcher-29.5.0.tgz", - "integrity": "sha512-KmTojKcapuqYrKDpRwfqcQ3zjMlwu27SYext9pt4GlF5FUgB+7XE1mcCnSm6a4uUpFyQIkb6ZhzZvHl+jiBCiA==", - "dev": true, - "dependencies": { - "@jest/test-result": "^29.5.0", - "@jest/types": "^29.5.0", - "@types/node": "*", - "ansi-escapes": "^4.2.1", - "chalk": "^4.0.0", - "emittery": "^0.13.1", - "jest-util": "^29.5.0", - "string-length": "^4.0.1" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-worker": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/jest-worker/-/jest-worker-29.5.0.tgz", - "integrity": "sha512-NcrQnevGoSp4b5kg+akIpthoAFHxPBcb5P6mYPY0fUNT+sSvmtu6jlkEle3anczUKIKEbMxFimk9oTP/tpIPgA==", - "dev": true, - "dependencies": { - "@types/node": "*", - "jest-util": "^29.5.0", - "merge-stream": "^2.0.0", - "supports-color": "^8.0.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/jest-worker/node_modules/supports-color": { - "version": "8.1.1", - "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-8.1.1.tgz", - "integrity": "sha512-MpUEN2OodtUzxvKQl72cUF7RQ5EiHsGvSsVG0ia9c5RbWGL2CI4C7EpPS8UTBIplnlzZiNuV56w+FuNxy3ty2Q==", - "dev": true, - "dependencies": { - "has-flag": "^4.0.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/chalk/supports-color?sponsor=1" - } - }, - "node_modules/js-sdsl": { - "version": "4.4.0", - "resolved": "https://registry.npmjs.org/js-sdsl/-/js-sdsl-4.4.0.tgz", - "integrity": "sha512-FfVSdx6pJ41Oa+CF7RDaFmTnCaFhua+SNYQX74riGOpl96x+2jQCqEfQ2bnXu/5DPCqlRuiqyvTJM0Qjz26IVg==", - "dev": true, - "funding": { - "type": "opencollective", - "url": "https://opencollective.com/js-sdsl" - } - }, - "node_modules/js-tokens": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/js-tokens/-/js-tokens-4.0.0.tgz", - "integrity": "sha512-RdJUflcE3cUzKiMqQgsCu06FPu9UdIJO0beYbPhHN4k6apgJtifcoCtT9bcxOpYBtpD2kCM6Sbzg4CausW/PKQ==" - }, - "node_modules/js-yaml": { - "version": "4.1.0", - "resolved": "https://registry.npmjs.org/js-yaml/-/js-yaml-4.1.0.tgz", - "integrity": "sha512-wpxZs9NoxZaJESJGIZTyDEaYpl0FKSA+FB9aJiyemKhMwkxQg63h4T1KJgUGHpTqPDNRcmmYLugrRjJlBtWvRA==", - "dev": true, - "dependencies": { - "argparse": "^2.0.1" - }, - "bin": { - "js-yaml": "bin/js-yaml.js" - } - }, - "node_modules/jsesc": { - "version": "2.5.2", - "resolved": "https://registry.npmjs.org/jsesc/-/jsesc-2.5.2.tgz", - "integrity": "sha512-OYu7XEzjkCQ3C5Ps3QIZsQfNpqoJyZZA99wd9aWd05NCtC5pWOkShK2mkL6HXQR6/Cy2lbNdPlZBpuQHXE63gA==", - "dev": true, - "bin": { - "jsesc": "bin/jsesc" - }, - "engines": { - "node": ">=4" - } - }, - "node_modules/json-buffer": { - "version": "3.0.1", - "resolved": "https://registry.npmjs.org/json-buffer/-/json-buffer-3.0.1.tgz", - "integrity": "sha512-4bV5BfR2mqfQTJm+V5tPPdf+ZpuhiIvTuAB5g8kcrXOZpTT/QwwVRWBywX1ozr6lEuPdbHxwaJlm9G6mI2sfSQ==", - "dev": true - }, - "node_modules/json-parse-even-better-errors": { - "version": "2.3.1", - "resolved": "https://registry.npmjs.org/json-parse-even-better-errors/-/json-parse-even-better-errors-2.3.1.tgz", - "integrity": "sha512-xyFwyhro/JEof6Ghe2iz2NcXoj2sloNsWr/XsERDK/oiPCfaNhl5ONfp+jQdAZRQQ0IJWNzH9zIZF7li91kh2w==" - }, - "node_modules/json-schema-traverse": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/json-schema-traverse/-/json-schema-traverse-1.0.0.tgz", - "integrity": "sha512-NM8/P9n3XjXhIZn1lLhkFaACTOURQXjWhV4BA/RnOv8xvgqtqpAX9IO4mRQxSx1Rlo4tqzeqb0sOlruaOy3dug==" - }, - "node_modules/json-stable-stringify-without-jsonify": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/json-stable-stringify-without-jsonify/-/json-stable-stringify-without-jsonify-1.0.1.tgz", - "integrity": "sha512-Bdboy+l7tA3OGW6FjyFHWkP5LuByj1Tk33Ljyq0axyzdk9//JSi2u3fP1QSmd1KNwq6VOKYGlAu87CisVir6Pw==", - "dev": true - }, - "node_modules/json5": { - "version": "2.2.3", - "resolved": "https://registry.npmjs.org/json5/-/json5-2.2.3.tgz", - "integrity": "sha512-XmOWe7eyHYH14cLdVPoyg+GOH3rYX++KpzrylJwSW98t3Nk+U8XOl8FWKOgwtzdb8lXGf6zYwDUzeHMWfxasyg==", - "bin": { - "json5": "lib/cli.js" - }, - "engines": { - "node": ">=6" - } - }, - "node_modules/jsonc-parser": { - "version": "3.2.0", - "resolved": "https://registry.npmjs.org/jsonc-parser/-/jsonc-parser-3.2.0.tgz", - "integrity": "sha512-gfFQZrcTc8CnKXp6Y4/CBT3fTc0OVuDofpre4aEeEpSBPV5X5v4+Vmx+8snU7RLPrNHPKSgLxGo9YuQzz20o+w==" - }, - "node_modules/jsonfile": { - "version": "6.1.0", - "resolved": "https://registry.npmjs.org/jsonfile/-/jsonfile-6.1.0.tgz", - "integrity": "sha512-5dgndWOriYSm5cnYaJNhalLNDKOqFwyDB/rr1E9ZsGciGvKPs8R2xYGCacuf3z6K1YKDz182fd+fY3cn3pMqXQ==", - "dependencies": { - "universalify": "^2.0.0" - }, - "optionalDependencies": { - "graceful-fs": "^4.1.6" - } - }, - "node_modules/jsonparse": { - "version": "1.3.1", - "resolved": "https://registry.npmjs.org/jsonparse/-/jsonparse-1.3.1.tgz", - "integrity": "sha512-POQXvpdL69+CluYsillJ7SUhKvytYjW9vG/GKpnf+xP8UWgYEM/RaMzHHofbALDiKbbP1W8UEYmgGl39WkPZsg==", - "dev": true, - "engines": [ - "node >= 0.2.0" - ] - }, - "node_modules/JSONStream": { - "version": "1.3.5", - "resolved": "https://registry.npmjs.org/JSONStream/-/JSONStream-1.3.5.tgz", - "integrity": "sha512-E+iruNOY8VV9s4JEbe1aNEm6MiszPRr/UfcHMz0TQh1BXSxHK+ASV1R6W4HpjBhSeS+54PIsAMCBmwD06LLsqQ==", - "dev": true, - "dependencies": { - "jsonparse": "^1.2.0", - "through": ">=2.2.7 <3" - }, - "bin": { - "JSONStream": "bin.js" - }, - "engines": { - "node": "*" - } - }, - "node_modules/just-debounce": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/just-debounce/-/just-debounce-1.1.0.tgz", - "integrity": "sha512-qpcRocdkUmf+UTNBYx5w6dexX5J31AKK1OmPwH630a83DdVVUIngk55RSAiIGpQyoH0dlr872VHfPjnQnK1qDQ==", - "dev": true - }, - "node_modules/keyv": { - "version": "4.5.2", - "resolved": "https://registry.npmjs.org/keyv/-/keyv-4.5.2.tgz", - "integrity": "sha512-5MHbFaKn8cNSmVW7BYnijeAVlE4cYA/SVkifVgrh7yotnfhKmjuXpDKjrABLnT0SfHWV21P8ow07OGfRrNDg8g==", - "dev": true, - "dependencies": { - "json-buffer": "3.0.1" - } - }, - "node_modules/kind-of": { - "version": "5.1.0", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-5.1.0.tgz", - "integrity": "sha512-NGEErnH6F2vUuXDh+OlbcKW7/wOcfdRHaZ7VWtqCztfHri/++YKmP51OdWeGPuqCOba6kk2OTe5d02VmTB80Pw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/kleur": { - "version": "3.0.3", - "resolved": "https://registry.npmjs.org/kleur/-/kleur-3.0.3.tgz", - "integrity": "sha512-eTIzlVOSUR+JxdDFepEYcBMtZ9Qqdef+rnzWdRZuMbOywu5tO2w2N7rqjoANZ5k9vywhL6Br1VRjUIgTQx4E8w==", - "dev": true, - "engines": { - "node": ">=6" - } - }, - "node_modules/last-run": { - "version": "1.1.1", - "resolved": "https://registry.npmjs.org/last-run/-/last-run-1.1.1.tgz", - "integrity": "sha512-U/VxvpX4N/rFvPzr3qG5EtLKEnNI0emvIQB3/ecEwv+8GHaUKbIB8vxv1Oai5FAF0d0r7LXHhLLe5K/yChm5GQ==", - "dev": true, - "dependencies": { - "default-resolution": "^2.0.0", - "es6-weak-map": "^2.0.1" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/latest-version": { - "version": "7.0.0", - "resolved": "https://registry.npmjs.org/latest-version/-/latest-version-7.0.0.tgz", - "integrity": "sha512-KvNT4XqAMzdcL6ka6Tl3i2lYeFDgXNCuIX+xNx6ZMVR1dFq+idXd9FLKNMOIx0t9mJ9/HudyX4oZWXZQ0UJHeg==", - "dev": true, - "dependencies": { - "package-json": "^8.1.0" - }, - "engines": { - "node": ">=14.16" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/lazystream": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/lazystream/-/lazystream-1.0.1.tgz", - "integrity": "sha512-b94GiNHQNy6JNTrt5w6zNyffMrNkXZb3KTkCZJb2V1xaEGCk093vkZ2jk3tpaeP33/OiXC+WvK9AxUebnf5nbw==", - "dev": true, - "dependencies": { - "readable-stream": "^2.0.5" - }, - "engines": { - "node": ">= 0.6.3" - } - }, - "node_modules/lcid": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/lcid/-/lcid-1.0.0.tgz", - "integrity": "sha512-YiGkH6EnGrDGqLMITnGjXtGmNtjoXw9SVUzcaos8RBi7Ps0VBylkq+vOcY9QE5poLasPCR849ucFUkl0UzUyOw==", - "dev": true, - "dependencies": { - "invert-kv": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/lead": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/lead/-/lead-1.0.0.tgz", - "integrity": "sha512-IpSVCk9AYvLHo5ctcIXxOBpMWUe+4TKN3VPWAKUbJikkmsGp0VrSM8IttVc32D6J4WUsiPE6aEFRNmIoF/gdow==", - "dev": true, - "dependencies": { - "flush-write-stream": "^1.0.2" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/leven": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/leven/-/leven-3.1.0.tgz", - "integrity": "sha512-qsda+H8jTaUaN/x5vzW2rzc+8Rw4TAQ/4KjB46IwK5VH+IlVeeeje/EoZRpiXvIqjFgK84QffqPztGI3VBLG1A==", - "dev": true, - "engines": { - "node": ">=6" - } - }, - "node_modules/levn": { - "version": "0.4.1", - "resolved": "https://registry.npmjs.org/levn/-/levn-0.4.1.tgz", - "integrity": "sha512-+bT2uH4E5LGE7h/n3evcS/sQlJXCpIp6ym8OWJ5eV6+67Dsql/LaaT7qJBAt2rzfoa/5QBGBhxDix1dMt2kQKQ==", - "dev": true, - "dependencies": { - "prelude-ls": "^1.2.1", - "type-check": "~0.4.0" - }, - "engines": { - "node": ">= 0.8.0" - } - }, - "node_modules/liftoff": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/liftoff/-/liftoff-3.1.0.tgz", - "integrity": "sha512-DlIPlJUkCV0Ips2zf2pJP0unEoT1kwYhiiPUGF3s/jtxTCjziNLoiVVh+jqWOWeFi6mmwQ5fNxvAUyPad4Dfog==", - "dev": true, - "dependencies": { - "extend": "^3.0.0", - "findup-sync": "^3.0.0", - "fined": "^1.0.1", - "flagged-respawn": "^1.0.0", - "is-plain-object": "^2.0.4", - "object.map": "^1.0.0", - "rechoir": "^0.6.2", - "resolve": "^1.1.7" - }, - "engines": { - "node": ">= 0.8" - } - }, - "node_modules/liftoff/node_modules/is-plain-object": { - "version": "2.0.4", - "resolved": "https://registry.npmjs.org/is-plain-object/-/is-plain-object-2.0.4.tgz", - "integrity": "sha512-h5PpgXkWitc38BBMYawTYMWJHFZJVnBquFE57xFpjB8pJFiF6gZ+bU+WyI/yqXiFR5mdLsgYNaPe8uao6Uv9Og==", - "dev": true, - "dependencies": { - "isobject": "^3.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/lilconfig": { - "version": "2.1.0", - "resolved": "https://registry.npmjs.org/lilconfig/-/lilconfig-2.1.0.tgz", - "integrity": "sha512-utWOt/GHzuUxnLKxB6dk81RoOeoNeHgbrXiuGk4yyF5qlRz+iIVWu56E2fqGHFrXz0QNUhLB/8nKqvRH66JKGQ==", - "dev": true, - "engines": { - "node": ">=10" - } - }, - "node_modules/lines-and-columns": { - "version": "1.2.4", - "resolved": "https://registry.npmjs.org/lines-and-columns/-/lines-and-columns-1.2.4.tgz", - "integrity": "sha512-7ylylesZQ/PV29jhEDl3Ufjo6ZX7gCqJr5F7PKrqc93v7fzSymt1BpwEU8nAUXs8qzzvqhbjhK5QZg6Mt/HkBg==" - }, - "node_modules/lint-staged": { - "version": "13.2.1", - "resolved": "https://registry.npmjs.org/lint-staged/-/lint-staged-13.2.1.tgz", - "integrity": "sha512-8gfzinVXoPfga5Dz/ZOn8I2GOhf81Wvs+KwbEXQn/oWZAvCVS2PivrXfVbFJc93zD16uC0neS47RXHIjXKYZQw==", - "dev": true, - "dependencies": { - "chalk": "5.2.0", - "cli-truncate": "^3.1.0", - "commander": "^10.0.0", - "debug": "^4.3.4", - "execa": "^7.0.0", - "lilconfig": "2.1.0", - "listr2": "^5.0.7", - "micromatch": "^4.0.5", - "normalize-path": "^3.0.0", - "object-inspect": "^1.12.3", - "pidtree": "^0.6.0", - "string-argv": "^0.3.1", - "yaml": "^2.2.1" - }, - "bin": { - "lint-staged": "bin/lint-staged.js" - }, - "engines": { - "node": "^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://opencollective.com/lint-staged" - } - }, - "node_modules/lint-staged/node_modules/chalk": { - "version": "5.2.0", - "resolved": "https://registry.npmjs.org/chalk/-/chalk-5.2.0.tgz", - "integrity": "sha512-ree3Gqw/nazQAPuJJEy+avdl7QfZMcUvmHIKgEZkGL+xOBzRvup5Hxo6LHuMceSxOabuJLJm5Yp/92R9eMmMvA==", - "dev": true, - "engines": { - "node": "^12.17.0 || ^14.13 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/chalk/chalk?sponsor=1" - } - }, - "node_modules/lint-staged/node_modules/commander": { - "version": "10.0.1", - "resolved": "https://registry.npmjs.org/commander/-/commander-10.0.1.tgz", - "integrity": "sha512-y4Mg2tXshplEbSGzx7amzPwKKOCGuoSRP/CjEdwwk0FOGlUbq6lKuoyDZTNZkmxHdJtp54hdfY/JUrdL7Xfdug==", - "dev": true, - "engines": { - "node": ">=14" - } - }, - "node_modules/lint-staged/node_modules/execa": { - "version": "7.1.1", - "resolved": "https://registry.npmjs.org/execa/-/execa-7.1.1.tgz", - "integrity": "sha512-wH0eMf/UXckdUYnO21+HDztteVv05rq2GXksxT4fCGeHkBhw1DROXh40wcjMcRqDOWE7iPJ4n3M7e2+YFP+76Q==", - "dev": true, - "dependencies": { - "cross-spawn": "^7.0.3", - "get-stream": "^6.0.1", - "human-signals": "^4.3.0", - "is-stream": "^3.0.0", - "merge-stream": "^2.0.0", - "npm-run-path": "^5.1.0", - "onetime": "^6.0.0", - "signal-exit": "^3.0.7", - "strip-final-newline": "^3.0.0" - }, - "engines": { - "node": "^14.18.0 || ^16.14.0 || >=18.0.0" - }, - "funding": { - "url": "https://github.com/sindresorhus/execa?sponsor=1" - } - }, - "node_modules/lint-staged/node_modules/human-signals": { - "version": "4.3.1", - "resolved": "https://registry.npmjs.org/human-signals/-/human-signals-4.3.1.tgz", - "integrity": "sha512-nZXjEF2nbo7lIw3mgYjItAfgQXog3OjJogSbKa2CQIIvSGWcKgeJnQlNXip6NglNzYH45nSRiEVimMvYL8DDqQ==", - "dev": true, - "engines": { - "node": ">=14.18.0" - } - }, - "node_modules/lint-staged/node_modules/is-stream": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/is-stream/-/is-stream-3.0.0.tgz", - "integrity": "sha512-LnQR4bZ9IADDRSkvpqMGvt/tEJWclzklNgSw48V5EAaAeDd6qGvN8ei6k5p0tvxSR171VmGyHuTiAOfxAbr8kA==", - "dev": true, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/lint-staged/node_modules/mimic-fn": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/mimic-fn/-/mimic-fn-4.0.0.tgz", - "integrity": "sha512-vqiC06CuhBTUdZH+RYl8sFrL096vA45Ok5ISO6sE/Mr1jRbGH4Csnhi8f3wKVl7x8mO4Au7Ir9D3Oyv1VYMFJw==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/lint-staged/node_modules/npm-run-path": { - "version": "5.1.0", - "resolved": "https://registry.npmjs.org/npm-run-path/-/npm-run-path-5.1.0.tgz", - "integrity": "sha512-sJOdmRGrY2sjNTRMbSvluQqg+8X7ZK61yvzBEIDhz4f8z1TZFYABsqjjCBd/0PUNE9M6QDgHJXQkGUEm7Q+l9Q==", - "dev": true, - "dependencies": { - "path-key": "^4.0.0" - }, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/lint-staged/node_modules/onetime": { - "version": "6.0.0", - "resolved": "https://registry.npmjs.org/onetime/-/onetime-6.0.0.tgz", - "integrity": "sha512-1FlR+gjXK7X+AsAHso35MnyN5KqGwJRi/31ft6x0M194ht7S+rWAvd7PHss9xSKMzE0asv1pyIHaJYq+BbacAQ==", - "dev": true, - "dependencies": { - "mimic-fn": "^4.0.0" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/lint-staged/node_modules/path-key": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/path-key/-/path-key-4.0.0.tgz", - "integrity": "sha512-haREypq7xkM7ErfgIyA0z+Bj4AGKlMSdlQE2jvJo6huWD1EdkKYV+G/T4nq0YEF2vgTT8kqMFKo1uHn950r4SQ==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/lint-staged/node_modules/strip-final-newline": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/strip-final-newline/-/strip-final-newline-3.0.0.tgz", - "integrity": "sha512-dOESqjYr96iWYylGObzd39EuNTa5VJxyvVAEm5Jnh7KGo75V43Hk1odPQkNDyXNmUR6k+gEiDVXnjB8HJ3crXw==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/listr2": { - "version": "5.0.8", - "resolved": "https://registry.npmjs.org/listr2/-/listr2-5.0.8.tgz", - "integrity": "sha512-mC73LitKHj9w6v30nLNGPetZIlfpUniNSsxxrbaPcWOjDb92SHPzJPi/t+v1YC/lxKz/AJ9egOjww0qUuFxBpA==", - "dev": true, - "dependencies": { - "cli-truncate": "^2.1.0", - "colorette": "^2.0.19", - "log-update": "^4.0.0", - "p-map": "^4.0.0", - "rfdc": "^1.3.0", - "rxjs": "^7.8.0", - "through": "^2.3.8", - "wrap-ansi": "^7.0.0" - }, - "engines": { - "node": "^14.13.1 || >=16.0.0" - }, - "peerDependencies": { - "enquirer": ">= 2.3.0 < 3" - }, - "peerDependenciesMeta": { - "enquirer": { - "optional": true - } - } - }, - "node_modules/listr2/node_modules/cli-truncate": { - "version": "2.1.0", - "resolved": "https://registry.npmjs.org/cli-truncate/-/cli-truncate-2.1.0.tgz", - "integrity": "sha512-n8fOixwDD6b/ObinzTrp1ZKFzbgvKZvuz/TvejnLn1aQfC6r52XEx85FmuC+3HI+JM7coBRXUvNqEU2PHVrHpg==", - "dev": true, - "dependencies": { - "slice-ansi": "^3.0.0", - "string-width": "^4.2.0" - }, - "engines": { - "node": ">=8" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/listr2/node_modules/is-fullwidth-code-point": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/is-fullwidth-code-point/-/is-fullwidth-code-point-3.0.0.tgz", - "integrity": "sha512-zymm5+u+sCsSWyD9qNaejV3DFvhCKclKdizYaJUuHA83RLjb7nSuGnddCHGv0hk+KY7BMAlsWeK4Ueg6EV6XQg==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/listr2/node_modules/rxjs": { - "version": "7.8.1", - "resolved": "https://registry.npmjs.org/rxjs/-/rxjs-7.8.1.tgz", - "integrity": "sha512-AA3TVj+0A2iuIoQkWEK/tqFjBq2j+6PO6Y0zJcvzLAFhEFIO3HL0vls9hWLncZbAAbK0mar7oZ4V079I/qPMxg==", - "dev": true, - "dependencies": { - "tslib": "^2.1.0" - } - }, - "node_modules/listr2/node_modules/slice-ansi": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/slice-ansi/-/slice-ansi-3.0.0.tgz", - "integrity": "sha512-pSyv7bSTC7ig9Dcgbw9AuRNUb5k5V6oDudjZoMBSr13qpLBG7tB+zgCkARjq7xIUgdz5P1Qe8u+rSGdouOOIyQ==", - "dev": true, - "dependencies": { - "ansi-styles": "^4.0.0", - "astral-regex": "^2.0.0", - "is-fullwidth-code-point": "^3.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/load-json-file": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/load-json-file/-/load-json-file-1.1.0.tgz", - "integrity": "sha512-cy7ZdNRXdablkXYNI049pthVeXFurRyb9+hA/dZzerZ0pGTx42z+y+ssxBaVV2l70t1muq5IdKhn4UtcoGUY9A==", - "dev": true, - "dependencies": { - "graceful-fs": "^4.1.2", - "parse-json": "^2.2.0", - "pify": "^2.0.0", - "pinkie-promise": "^2.0.0", - "strip-bom": "^2.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/load-json-file/node_modules/parse-json": { - "version": "2.2.0", - "resolved": "https://registry.npmjs.org/parse-json/-/parse-json-2.2.0.tgz", - "integrity": "sha512-QR/GGaKCkhwk1ePQNYDRKYZ3mwU9ypsKhB0XyFnLQdomyEqk3e8wpW3V5Jp88zbxK4n5ST1nqo+g9juTpownhQ==", - "dev": true, - "dependencies": { - "error-ex": "^1.2.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/load-json-file/node_modules/strip-bom": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/strip-bom/-/strip-bom-2.0.0.tgz", - "integrity": "sha512-kwrX1y7czp1E69n2ajbG65mIo9dqvJ+8aBQXOGVxqwvNbsXdFM6Lq37dLAY3mknUwru8CfcCbfOLL/gMo+fi3g==", - "dev": true, - "dependencies": { - "is-utf8": "^0.2.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/loader-runner": { - "version": "4.3.0", - "resolved": "https://registry.npmjs.org/loader-runner/-/loader-runner-4.3.0.tgz", - "integrity": "sha512-3R/1M+yS3j5ou80Me59j7F9IMs4PXs3VqRrm0TU3AbKPxlmpoY1TNscJV/oGJXo8qCatFGTfDbY6W6ipGOYXfg==", - "engines": { - "node": ">=6.11.5" - } - }, - "node_modules/locate-path": { - "version": "6.0.0", - "resolved": "https://registry.npmjs.org/locate-path/-/locate-path-6.0.0.tgz", - "integrity": "sha512-iPZK6eYjbxRu3uB4/WZ3EsEIMJFMqAoopl3R+zuq0UjcAm/MO6KCweDgPfP3elTztoKP3KtnVHxTn2NHBSDVUw==", - "dev": true, - "dependencies": { - "p-locate": "^5.0.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/lodash": { - "version": "4.17.21", - "resolved": "https://registry.npmjs.org/lodash/-/lodash-4.17.21.tgz", - "integrity": "sha512-v2kDEe57lecTulaDIuNTPy3Ry4gLGJ6Z1O3vE1krgXZNrsQ+LFTGHVxVjcXPs17LhbZVGedAJv8XZ1tvj5FvSg==" - }, - "node_modules/lodash.camelcase": { - "version": "4.3.0", - "resolved": "https://registry.npmjs.org/lodash.camelcase/-/lodash.camelcase-4.3.0.tgz", - "integrity": "sha512-TwuEnCnxbc3rAvhf/LbG7tJUDzhqXyFnv3dtzLOPgCG/hODL7WFnsbwktkD7yUV0RrreP/l1PALq/YSg6VvjlA==", - "dev": true - }, - "node_modules/lodash.capitalize": { - "version": "4.2.1", - "resolved": "https://registry.npmjs.org/lodash.capitalize/-/lodash.capitalize-4.2.1.tgz", - "integrity": "sha512-kZzYOKspf8XVX5AvmQF94gQW0lejFVgb80G85bU4ZWzoJ6C03PQg3coYAUpSTpQWelrZELd3XWgHzw4Ck5kaIw==", - "dev": true - }, - "node_modules/lodash.escaperegexp": { - "version": "4.1.2", - "resolved": "https://registry.npmjs.org/lodash.escaperegexp/-/lodash.escaperegexp-4.1.2.tgz", - "integrity": "sha512-TM9YBvyC84ZxE3rgfefxUWiQKLilstD6k7PTGt6wfbtXF8ixIJLOL3VYyV/z+ZiPLsVxAsKAFVwWlWeb2Y8Yyw==", - "dev": true - }, - "node_modules/lodash.isfunction": { - "version": "3.0.9", - "resolved": "https://registry.npmjs.org/lodash.isfunction/-/lodash.isfunction-3.0.9.tgz", - "integrity": "sha512-AirXNj15uRIMMPihnkInB4i3NHeb4iBtNg9WRWuK2o31S+ePwwNmDPaTL3o7dTJ+VXNZim7rFs4rxN4YU1oUJw==", - "dev": true - }, - "node_modules/lodash.isplainobject": { - "version": "4.0.6", - "resolved": "https://registry.npmjs.org/lodash.isplainobject/-/lodash.isplainobject-4.0.6.tgz", - "integrity": "sha512-oSXzaWypCMHkPC3NvBEaPHf0KsA5mvPrOPgQWDsbg8n7orZ290M0BmC/jgRZ4vcJ6DTAhjrsSYgdsW/F+MFOBA==", - "dev": true - }, - "node_modules/lodash.isstring": { - "version": "4.0.1", - "resolved": "https://registry.npmjs.org/lodash.isstring/-/lodash.isstring-4.0.1.tgz", - "integrity": "sha512-0wJxfxH1wgO3GrbuP+dTTk7op+6L41QCXbGINEmD+ny/G/eCqGzxyCsh7159S+mgDDcoarnBw6PC1PS5+wUGgw==", - "dev": true - }, - "node_modules/lodash.kebabcase": { - "version": "4.1.1", - "resolved": "https://registry.npmjs.org/lodash.kebabcase/-/lodash.kebabcase-4.1.1.tgz", - "integrity": "sha512-N8XRTIMMqqDgSy4VLKPnJ/+hpGZN+PHQiJnSenYqPaVV/NCqEogTnAdZLQiGKhxX+JCs8waWq2t1XHWKOmlY8g==", - "dev": true - }, - "node_modules/lodash.memoize": { - "version": "4.1.2", - "resolved": "https://registry.npmjs.org/lodash.memoize/-/lodash.memoize-4.1.2.tgz", - "integrity": "sha512-t7j+NzmgnQzTAYXcsHYLgimltOV1MXHtlOWf6GjL9Kj8GK5FInw5JotxvbOs+IvV1/Dzo04/fCGfLVs7aXb4Ag==", - "dev": true - }, - "node_modules/lodash.merge": { - "version": "4.6.2", - "resolved": "https://registry.npmjs.org/lodash.merge/-/lodash.merge-4.6.2.tgz", - "integrity": "sha512-0KpjqXRVvrYyCsX1swR/XTK0va6VQkQM6MNo7PqW77ByjAhoARA8EfrP1N4+KlKj8YS0ZUCtRT/YUuhyYDujIQ==", - "dev": true - }, - "node_modules/lodash.mergewith": { - "version": "4.6.2", - "resolved": "https://registry.npmjs.org/lodash.mergewith/-/lodash.mergewith-4.6.2.tgz", - "integrity": "sha512-GK3g5RPZWTRSeLSpgP8Xhra+pnjBC56q9FZYe1d5RN3TJ35dbkGy3YqBSMbyCrlbi+CM9Z3Jk5yTL7RCsqboyQ==", - "dev": true - }, - "node_modules/lodash.snakecase": { - "version": "4.1.1", - "resolved": "https://registry.npmjs.org/lodash.snakecase/-/lodash.snakecase-4.1.1.tgz", - "integrity": "sha512-QZ1d4xoBHYUeuouhEq3lk3Uq7ldgyFXGBhg04+oRLnIz8o9T65Eh+8YdroUwn846zchkA9yDsDl5CVVaV2nqYw==", - "dev": true - }, - "node_modules/lodash.startcase": { - "version": "4.4.0", - "resolved": "https://registry.npmjs.org/lodash.startcase/-/lodash.startcase-4.4.0.tgz", - "integrity": "sha512-+WKqsK294HMSc2jEbNgpHpd0JfIBhp7rEV4aqXWqFr6AlXov+SlcgB1Fv01y2kGe3Gc8nMW7VA0SrGuSkRfIEg==", - "dev": true - }, - "node_modules/lodash.uniq": { - "version": "4.5.0", - "resolved": "https://registry.npmjs.org/lodash.uniq/-/lodash.uniq-4.5.0.tgz", - "integrity": "sha512-xfBaXQd9ryd9dlSDvnvI0lvxfLJlYAZzXomUYzLKtUeOQvOP5piqAWuGtrhWeqaXK9hhoM/iyJc5AV+XfsX3HQ==", - "dev": true - }, - "node_modules/lodash.uniqby": { - "version": "4.7.0", - "resolved": "https://registry.npmjs.org/lodash.uniqby/-/lodash.uniqby-4.7.0.tgz", - "integrity": "sha512-e/zcLx6CSbmaEgFHCA7BnoQKyCtKMxnuWrJygbwPs/AIn+IMKl66L8/s+wBUn5LRw2pZx3bUHibiV1b6aTWIww==", - "dev": true - }, - "node_modules/lodash.upperfirst": { - "version": "4.3.1", - "resolved": "https://registry.npmjs.org/lodash.upperfirst/-/lodash.upperfirst-4.3.1.tgz", - "integrity": "sha512-sReKOYJIJf74dhJONhU4e0/shzi1trVbSWDOhKYE5XV2O+H7Sb2Dihwuc7xWxVl+DgFPyTqIN3zMfT9cq5iWDg==", - "dev": true - }, - "node_modules/log-symbols": { - "version": "4.1.0", - "resolved": "https://registry.npmjs.org/log-symbols/-/log-symbols-4.1.0.tgz", - "integrity": "sha512-8XPvpAA8uyhfteu8pIvQxpJZ7SYYdpUivZpGy6sFsBuKRY/7rQGavedeB8aK+Zkyq6upMFVL/9AW6vOYzfRyLg==", - "dependencies": { - "chalk": "^4.1.0", - "is-unicode-supported": "^0.1.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/log-update": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/log-update/-/log-update-4.0.0.tgz", - "integrity": "sha512-9fkkDevMefjg0mmzWFBW8YkFP91OrizzkW3diF7CpG+S2EYdy4+TVfGwz1zeF8x7hCx1ovSPTOE9Ngib74qqUg==", - "dev": true, - "dependencies": { - "ansi-escapes": "^4.3.0", - "cli-cursor": "^3.1.0", - "slice-ansi": "^4.0.0", - "wrap-ansi": "^6.2.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/log-update/node_modules/is-fullwidth-code-point": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/is-fullwidth-code-point/-/is-fullwidth-code-point-3.0.0.tgz", - "integrity": "sha512-zymm5+u+sCsSWyD9qNaejV3DFvhCKclKdizYaJUuHA83RLjb7nSuGnddCHGv0hk+KY7BMAlsWeK4Ueg6EV6XQg==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/log-update/node_modules/slice-ansi": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/slice-ansi/-/slice-ansi-4.0.0.tgz", - "integrity": "sha512-qMCMfhY040cVHT43K9BFygqYbUPFZKHOg7K73mtTWJRb8pyP3fzf4Ixd5SzdEJQ6MRUg/WBnOLxghZtKKurENQ==", - "dev": true, - "dependencies": { - "ansi-styles": "^4.0.0", - "astral-regex": "^2.0.0", - "is-fullwidth-code-point": "^3.0.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/chalk/slice-ansi?sponsor=1" - } - }, - "node_modules/log-update/node_modules/wrap-ansi": { - "version": "6.2.0", - "resolved": "https://registry.npmjs.org/wrap-ansi/-/wrap-ansi-6.2.0.tgz", - "integrity": "sha512-r6lPcBGxZXlIcymEu7InxDMhdW0KDxpLgoFLcguasxCaJ/SOIZwINatK9KY/tf+ZrlywOKU0UDj3ATXUBfxJXA==", - "dev": true, - "dependencies": { - "ansi-styles": "^4.0.0", - "string-width": "^4.1.0", - "strip-ansi": "^6.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/lowercase-keys": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/lowercase-keys/-/lowercase-keys-3.0.0.tgz", - "integrity": "sha512-ozCC6gdQ+glXOQsveKD0YsDy8DSQFjDTz4zyzEHNV5+JP5D62LmfDZ6o1cycFx9ouG940M5dE8C8CTewdj2YWQ==", - "dev": true, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/lru-cache": { - "version": "5.1.1", - "resolved": "https://registry.npmjs.org/lru-cache/-/lru-cache-5.1.1.tgz", - "integrity": "sha512-KpNARQA3Iwv+jTA0utUVVbrh+Jlrr1Fv0e56GGzAFOXN7dk/FviaDW8LHmK52DlcH4WP2n6gI8vN1aesBFgo9w==", - "dev": true, - "dependencies": { - "yallist": "^3.0.2" - } - }, - "node_modules/macos-release": { - "version": "2.5.1", - "resolved": "https://registry.npmjs.org/macos-release/-/macos-release-2.5.1.tgz", - "integrity": "sha512-DXqXhEM7gW59OjZO8NIjBCz9AQ1BEMrfiOAl4AYByHCtVHRF4KoGNO8mqQeM8lRCtQe/UnJ4imO/d2HdkKsd+A==", - "engines": { - "node": ">=6" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/magic-string": { - "version": "0.29.0", - "resolved": "https://registry.npmjs.org/magic-string/-/magic-string-0.29.0.tgz", - "integrity": "sha512-WcfidHrDjMY+eLjlU+8OvwREqHwpgCeKVBUpQ3OhYYuvfaYCUgcbuBzappNzZvg/v8onU3oQj+BYpkOJe9Iw4Q==", - "dependencies": { - "@jridgewell/sourcemap-codec": "^1.4.13" - }, - "engines": { - "node": ">=12" - } - }, - "node_modules/make-dir": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/make-dir/-/make-dir-3.1.0.tgz", - "integrity": "sha512-g3FeP20LNwhALb/6Cz6Dd4F2ngze0jz7tbzrD2wAV+o9FeNHe4rL+yK2md0J/fiSf1sa1ADhXqi5+oVwOM/eGw==", - "dev": true, - "dependencies": { - "semver": "^6.0.0" - }, - "engines": { - "node": ">=8" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/make-dir/node_modules/semver": { - "version": "6.3.0", - "resolved": "https://registry.npmjs.org/semver/-/semver-6.3.0.tgz", - "integrity": "sha512-b39TBaTSfV6yBrapU89p5fKekE2m/NwnDocOVruQFS1/veMgdzuPcnOM34M6CwxW8jH/lxEa5rBoDeUwu5HHTw==", - "dev": true, - "bin": { - "semver": "bin/semver.js" - } - }, - "node_modules/make-error": { - "version": "1.3.6", - "resolved": "https://registry.npmjs.org/make-error/-/make-error-1.3.6.tgz", - "integrity": "sha512-s8UhlNe7vPKomQhC1qFelMokr/Sc3AgNbso3n74mVPA5LTZwkB9NlXf4XPamLxJE8h0gh73rM94xvwRT2CVInw==", - "dev": true - }, - "node_modules/make-iterator": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/make-iterator/-/make-iterator-1.0.1.tgz", - "integrity": "sha512-pxiuXh0iVEq7VM7KMIhs5gxsfxCux2URptUQaXo4iZZJxBAzTPOLE2BumO5dbfVYq/hBJFBR/a1mFDmOx5AGmw==", - "dev": true, - "dependencies": { - "kind-of": "^6.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/make-iterator/node_modules/kind-of": { - "version": "6.0.3", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-6.0.3.tgz", - "integrity": "sha512-dcS1ul+9tmeD95T+x28/ehLgd9mENa3LsvDTtzm3vyBEO7RPptvAD+t44WVXaUjTBRcrpFeFlC8WCruUR456hw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/makeerror": { - "version": "1.0.12", - "resolved": "https://registry.npmjs.org/makeerror/-/makeerror-1.0.12.tgz", - "integrity": "sha512-JmqCvUhmt43madlpFzG4BQzG2Z3m6tvQDNKdClZnO3VbIudJYmxsT0FNJMeiB2+JTSlTQTSbU8QdesVmwJcmLg==", - "dev": true, - "dependencies": { - "tmpl": "1.0.5" - } - }, - "node_modules/map-cache": { - "version": "0.2.2", - "resolved": "https://registry.npmjs.org/map-cache/-/map-cache-0.2.2.tgz", - "integrity": "sha512-8y/eV9QQZCiyn1SprXSrCmqJN0yNRATe+PO8ztwqrvrbdRLA3eYJF0yaR0YayLWkMbsQSKWS9N2gPcGEc4UsZg==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/map-obj": { - "version": "4.3.0", - "resolved": "https://registry.npmjs.org/map-obj/-/map-obj-4.3.0.tgz", - "integrity": "sha512-hdN1wVrZbb29eBGiGjJbeP8JbKjq1urkHJ/LIP/NY48MZ1QVXUsQBV1G1zvYFHn1XE06cwjBsOI2K3Ulnj1YXQ==", - "dev": true, - "engines": { - "node": ">=8" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/map-visit": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/map-visit/-/map-visit-1.0.0.tgz", - "integrity": "sha512-4y7uGv8bd2WdM9vpQsiQNo41Ln1NvhvDRuVt0k2JZQ+ezN2uaQes7lZeZ+QQUHOLQAtDaBJ+7wCbi+ab/KFs+w==", - "dev": true, - "dependencies": { - "object-visit": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/matchdep": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/matchdep/-/matchdep-2.0.0.tgz", - "integrity": "sha512-LFgVbaHIHMqCRuCZyfCtUOq9/Lnzhi7Z0KFUE2fhD54+JN2jLh3hC02RLkqauJ3U4soU6H1J3tfj/Byk7GoEjA==", - "dev": true, - "dependencies": { - "findup-sync": "^2.0.0", - "micromatch": "^3.0.4", - "resolve": "^1.4.0", - "stack-trace": "0.0.10" - }, - "engines": { - "node": ">= 0.10.0" - } - }, - "node_modules/matchdep/node_modules/arr-diff": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/arr-diff/-/arr-diff-4.0.0.tgz", - "integrity": "sha512-YVIQ82gZPGBebQV/a8dar4AitzCQs0jjXwMPZllpXMaGjXPYVUawSxQrRsjhjupyVxEvbHgUmIhKVlND+j02kA==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/matchdep/node_modules/braces": { - "version": "2.3.2", - "resolved": "https://registry.npmjs.org/braces/-/braces-2.3.2.tgz", - "integrity": "sha512-aNdbnj9P8PjdXU4ybaWLK2IF3jc/EoDYbC7AazW6to3TRsfXxscC9UXOB5iDiEQrkyIbWp2SLQda4+QAa7nc3w==", - "dev": true, - "dependencies": { - "arr-flatten": "^1.1.0", - "array-unique": "^0.3.2", - "extend-shallow": "^2.0.1", - "fill-range": "^4.0.0", - "isobject": "^3.0.1", - "repeat-element": "^1.1.2", - "snapdragon": "^0.8.1", - "snapdragon-node": "^2.0.1", - "split-string": "^3.0.2", - "to-regex": "^3.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/matchdep/node_modules/braces/node_modules/extend-shallow": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/extend-shallow/-/extend-shallow-2.0.1.tgz", - "integrity": "sha512-zCnTtlxNoAiDc3gqY2aYAWFx7XWWiasuF2K8Me5WbN8otHKTUKBwjPtNpRs/rbUZm7KxWAaNj7P1a/p52GbVug==", - "dev": true, - "dependencies": { - "is-extendable": "^0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/matchdep/node_modules/braces/node_modules/is-extendable": { - "version": "0.1.1", - "resolved": "https://registry.npmjs.org/is-extendable/-/is-extendable-0.1.1.tgz", - "integrity": "sha512-5BMULNob1vgFX6EjQw5izWDxrecWK9AM72rugNr0TFldMOi0fj6Jk+zeKIt0xGj4cEfQIJth4w3OKWOJ4f+AFw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/matchdep/node_modules/extend-shallow": { - "version": "3.0.2", - "resolved": "https://registry.npmjs.org/extend-shallow/-/extend-shallow-3.0.2.tgz", - "integrity": "sha512-BwY5b5Ql4+qZoefgMj2NUmx+tehVTH/Kf4k1ZEtOHNFcm2wSxMRo992l6X3TIgni2eZVTZ85xMOjF31fwZAj6Q==", - "dev": true, - "dependencies": { - "assign-symbols": "^1.0.0", - "is-extendable": "^1.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/matchdep/node_modules/fill-range": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/fill-range/-/fill-range-4.0.0.tgz", - "integrity": "sha512-VcpLTWqWDiTerugjj8e3+esbg+skS3M9e54UuR3iCeIDMXCLTsAH8hTSzDQU/X6/6t3eYkOKoZSef2PlU6U1XQ==", - "dev": true, - "dependencies": { - "extend-shallow": "^2.0.1", - "is-number": "^3.0.0", - "repeat-string": "^1.6.1", - "to-regex-range": "^2.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/matchdep/node_modules/fill-range/node_modules/extend-shallow": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/extend-shallow/-/extend-shallow-2.0.1.tgz", - "integrity": "sha512-zCnTtlxNoAiDc3gqY2aYAWFx7XWWiasuF2K8Me5WbN8otHKTUKBwjPtNpRs/rbUZm7KxWAaNj7P1a/p52GbVug==", - "dev": true, - "dependencies": { - "is-extendable": "^0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/matchdep/node_modules/fill-range/node_modules/is-extendable": { - "version": "0.1.1", - "resolved": "https://registry.npmjs.org/is-extendable/-/is-extendable-0.1.1.tgz", - "integrity": "sha512-5BMULNob1vgFX6EjQw5izWDxrecWK9AM72rugNr0TFldMOi0fj6Jk+zeKIt0xGj4cEfQIJth4w3OKWOJ4f+AFw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/matchdep/node_modules/findup-sync": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/findup-sync/-/findup-sync-2.0.0.tgz", - "integrity": "sha512-vs+3unmJT45eczmcAZ6zMJtxN3l/QXeccaXQx5cu/MeJMhewVfoWZqibRkOxPnmoR59+Zy5hjabfQc6JLSah4g==", - "dev": true, - "dependencies": { - "detect-file": "^1.0.0", - "is-glob": "^3.1.0", - "micromatch": "^3.0.4", - "resolve-dir": "^1.0.1" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/matchdep/node_modules/is-glob": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/is-glob/-/is-glob-3.1.0.tgz", - "integrity": "sha512-UFpDDrPgM6qpnFNI+rh/p3bUaq9hKLZN8bMUWzxmcnZVS3omf4IPK+BrewlnWjO1WmUsMYuSjKh4UJuV4+Lqmw==", - "dev": true, - "dependencies": { - "is-extglob": "^2.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/matchdep/node_modules/is-number": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/is-number/-/is-number-3.0.0.tgz", - "integrity": "sha512-4cboCqIpliH+mAvFNegjZQ4kgKc3ZUhQVr3HvWbSh5q3WH2v82ct+T2Y1hdU5Gdtorx/cLifQjqCbL7bpznLTg==", - "dev": true, - "dependencies": { - "kind-of": "^3.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/matchdep/node_modules/is-number/node_modules/kind-of": { - "version": "3.2.2", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-3.2.2.tgz", - "integrity": "sha512-NOW9QQXMoZGg/oqnVNoNTTIFEIid1627WCffUBJEdMxYApq7mNE7CpzucIPc+ZQg25Phej7IJSmX3hO+oblOtQ==", - "dev": true, - "dependencies": { - "is-buffer": "^1.1.5" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/matchdep/node_modules/kind-of": { - "version": "6.0.3", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-6.0.3.tgz", - "integrity": "sha512-dcS1ul+9tmeD95T+x28/ehLgd9mENa3LsvDTtzm3vyBEO7RPptvAD+t44WVXaUjTBRcrpFeFlC8WCruUR456hw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/matchdep/node_modules/micromatch": { - "version": "3.1.10", - "resolved": "https://registry.npmjs.org/micromatch/-/micromatch-3.1.10.tgz", - "integrity": "sha512-MWikgl9n9M3w+bpsY3He8L+w9eF9338xRl8IAO5viDizwSzziFEyUzo2xrrloB64ADbTf8uA8vRqqttDTOmccg==", - "dev": true, - "dependencies": { - "arr-diff": "^4.0.0", - "array-unique": "^0.3.2", - "braces": "^2.3.1", - "define-property": "^2.0.2", - "extend-shallow": "^3.0.2", - "extglob": "^2.0.4", - "fragment-cache": "^0.2.1", - "kind-of": "^6.0.2", - "nanomatch": "^1.2.9", - "object.pick": "^1.3.0", - "regex-not": "^1.0.0", - "snapdragon": "^0.8.1", - "to-regex": "^3.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/matchdep/node_modules/to-regex-range": { - "version": "2.1.1", - "resolved": "https://registry.npmjs.org/to-regex-range/-/to-regex-range-2.1.1.tgz", - "integrity": "sha512-ZZWNfCjUokXXDGXFpZehJIkZqq91BcULFq/Pi7M5i4JnxXdhMKAK682z8bCW3o8Hj1wuuzoKcW3DfVzaP6VuNg==", - "dev": true, - "dependencies": { - "is-number": "^3.0.0", - "repeat-string": "^1.6.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/memfs": { - "version": "3.5.1", - "resolved": "https://registry.npmjs.org/memfs/-/memfs-3.5.1.tgz", - "integrity": "sha512-UWbFJKvj5k+nETdteFndTpYxdeTMox/ULeqX5k/dpaQJCCFmj5EeKv3dBcyO2xmkRAx2vppRu5dVG7SOtsGOzA==", - "dependencies": { - "fs-monkey": "^1.0.3" - }, - "engines": { - "node": ">= 4.0.0" - } - }, - "node_modules/meow": { - "version": "8.1.2", - "resolved": "https://registry.npmjs.org/meow/-/meow-8.1.2.tgz", - "integrity": "sha512-r85E3NdZ+mpYk1C6RjPFEMSE+s1iZMuHtsHAqY0DT3jZczl0diWUZ8g6oU7h0M9cD2EL+PzaYghhCLzR0ZNn5Q==", - "dev": true, - "dependencies": { - "@types/minimist": "^1.2.0", - "camelcase-keys": "^6.2.2", - "decamelize-keys": "^1.1.0", - "hard-rejection": "^2.1.0", - "minimist-options": "4.1.0", - "normalize-package-data": "^3.0.0", - "read-pkg-up": "^7.0.1", - "redent": "^3.0.0", - "trim-newlines": "^3.0.0", - "type-fest": "^0.18.0", - "yargs-parser": "^20.2.3" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/meow/node_modules/type-fest": { - "version": "0.18.1", - "resolved": "https://registry.npmjs.org/type-fest/-/type-fest-0.18.1.tgz", - "integrity": "sha512-OIAYXk8+ISY+qTOwkHtKqzAuxchoMiD9Udx+FSGQDuiRR+PJKJHc2NJAXlbhkGwTt/4/nKZxELY1w3ReWOL8mw==", - "dev": true, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/meow/node_modules/yargs-parser": { - "version": "20.2.9", - "resolved": "https://registry.npmjs.org/yargs-parser/-/yargs-parser-20.2.9.tgz", - "integrity": "sha512-y11nGElTIV+CT3Zv9t7VKl+Q3hTQoT9a1Qzezhhl6Rp21gJ/IVTW7Z3y9EWXhuUBC2Shnf+DX0antecpAwSP8w==", - "dev": true, - "engines": { - "node": ">=10" - } - }, - "node_modules/merge-stream": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/merge-stream/-/merge-stream-2.0.0.tgz", - "integrity": "sha512-abv/qOcuPfk3URPfDzmZU1LKmuw8kT+0nIHvKrKgFrwifol/doWcdA4ZqsWQ8ENrFKkd67Mfpo/LovbIUsbt3w==" - }, - "node_modules/merge2": { - "version": "1.4.1", - "resolved": "https://registry.npmjs.org/merge2/-/merge2-1.4.1.tgz", - "integrity": "sha512-8q7VEgMJW4J8tcfVPy8g09NcQwZdbwFEqhe/WZkoIzjn/3TGDwtOCYtXGxA3O8tPzpczCCDgv+P2P5y00ZJOOg==", - "dev": true, - "engines": { - "node": ">= 8" - } - }, - "node_modules/micromatch": { - "version": "4.0.5", - "resolved": "https://registry.npmjs.org/micromatch/-/micromatch-4.0.5.tgz", - "integrity": "sha512-DMy+ERcEW2q8Z2Po+WNXuw3c5YaUSFjAO5GsJqfEl7UjvtIuFKO6ZrKvcItdy98dwFI2N1tg3zNIdKaQT+aNdA==", - "dev": true, - "dependencies": { - "braces": "^3.0.2", - "picomatch": "^2.3.1" - }, - "engines": { - "node": ">=8.6" - } - }, - "node_modules/mime-db": { - "version": "1.52.0", - "resolved": "https://registry.npmjs.org/mime-db/-/mime-db-1.52.0.tgz", - "integrity": "sha512-sPU4uV7dYlvtWJxwwxHD0PuihVNiE7TyAbQ5SWxDCB9mUYvOgroQOwYQQOKPJ8CIbE+1ETVlOoK1UC2nU3gYvg==", - "engines": { - "node": ">= 0.6" - } - }, - "node_modules/mime-types": { - "version": "2.1.35", - "resolved": "https://registry.npmjs.org/mime-types/-/mime-types-2.1.35.tgz", - "integrity": "sha512-ZDY+bPm5zTTF+YpCrAU9nK0UgICYPT0QtT1NZWFv4s++TNkcgVaT0g6+4R2uI4MjQjzysHB1zxuWL50hzaeXiw==", - "dependencies": { - "mime-db": "1.52.0" - }, - "engines": { - "node": ">= 0.6" - } - }, - "node_modules/mimic-fn": { - "version": "2.1.0", - "resolved": "https://registry.npmjs.org/mimic-fn/-/mimic-fn-2.1.0.tgz", - "integrity": "sha512-OqbOk5oEQeAZ8WXWydlu9HJjz9WVdEIvamMCcXmuqUYjTknH/sqsWvhQ3vgwKFRR1HpjvNBKQ37nbJgYzGqGcg==", - "engines": { - "node": ">=6" - } - }, - "node_modules/mimic-response": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/mimic-response/-/mimic-response-4.0.0.tgz", - "integrity": "sha512-e5ISH9xMYU0DzrT+jl8q2ze9D6eWBto+I8CNpe+VI+K2J/F/k3PdkdTdz4wvGVH4NTpo+NRYTVIuMQEMMcsLqg==", - "dev": true, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/min-indent": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/min-indent/-/min-indent-1.0.1.tgz", - "integrity": "sha512-I9jwMn07Sy/IwOj3zVkVik2JTvgpaykDZEigL6Rx6N9LbMywwUSMtxET+7lVoDLLd3O3IXwJwvuuns8UB/HeAg==", - "dev": true, - "engines": { - "node": ">=4" - } - }, - "node_modules/minimatch": { - "version": "3.1.2", - "resolved": "https://registry.npmjs.org/minimatch/-/minimatch-3.1.2.tgz", - "integrity": "sha512-J7p63hRiAjw1NDEww1W7i37+ByIrOWO5XQQAzZ3VOcL0PNybwpfmV/N05zFAzwQ9USyEcX6t3UO+K5aqBQOIHw==", - "dependencies": { - "brace-expansion": "^1.1.7" - }, - "engines": { - "node": "*" - } - }, - "node_modules/minimist": { - "version": "1.2.8", - "resolved": "https://registry.npmjs.org/minimist/-/minimist-1.2.8.tgz", - "integrity": "sha512-2yyAR8qBkN3YuheJanUpWC5U3bb5osDywNB8RzDVlDwDHbocAJveqqj1u8+SVD7jkWT4yvsHCpWqqWqAxb0zCA==", - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/minimist-options": { - "version": "4.1.0", - "resolved": "https://registry.npmjs.org/minimist-options/-/minimist-options-4.1.0.tgz", - "integrity": "sha512-Q4r8ghd80yhO/0j1O3B2BjweX3fiHg9cdOwjJd2J76Q135c+NDxGCqdYKQ1SKBuFfgWbAUzBfvYjPUEeNgqN1A==", - "dev": true, - "dependencies": { - "arrify": "^1.0.1", - "is-plain-obj": "^1.1.0", - "kind-of": "^6.0.3" - }, - "engines": { - "node": ">= 6" - } - }, - "node_modules/minimist-options/node_modules/kind-of": { - "version": "6.0.3", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-6.0.3.tgz", - "integrity": "sha512-dcS1ul+9tmeD95T+x28/ehLgd9mENa3LsvDTtzm3vyBEO7RPptvAD+t44WVXaUjTBRcrpFeFlC8WCruUR456hw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/minipass": { - "version": "4.2.8", - "resolved": "https://registry.npmjs.org/minipass/-/minipass-4.2.8.tgz", - "integrity": "sha512-fNzuVyifolSLFL4NzpF+wEF4qrgqaaKX0haXPQEdQ7NKAN+WecoKMHV09YcuL/DHxrUsYQOK3MiuDf7Ip2OXfQ==", - "engines": { - "node": ">=8" - } - }, - "node_modules/mixin-deep": { - "version": "1.3.2", - "resolved": "https://registry.npmjs.org/mixin-deep/-/mixin-deep-1.3.2.tgz", - "integrity": "sha512-WRoDn//mXBiJ1H40rqa3vH0toePwSsGb45iInWlTySa+Uu4k3tYUSxa2v1KqAiLtvlrSzaExqS1gtk96A9zvEA==", - "dev": true, - "dependencies": { - "for-in": "^1.0.2", - "is-extendable": "^1.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/ms": { - "version": "2.1.2", - "resolved": "https://registry.npmjs.org/ms/-/ms-2.1.2.tgz", - "integrity": "sha512-sGkPx+VjMtmA6MX27oA4FBFELFCZZ4S4XqeGOXCv68tT+jb3vk/RyaKWP0PTKyWtmLSM0b+adUTEvbs1PEaH2w==", - "dev": true - }, - "node_modules/mute-stdout": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/mute-stdout/-/mute-stdout-1.0.1.tgz", - "integrity": "sha512-kDcwXR4PS7caBpuRYYBUz9iVixUk3anO3f5OYFiIPwK/20vCzKCHyKoulbiDY1S53zD2bxUpxN/IJ+TnXjfvxg==", - "dev": true, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/mute-stream": { - "version": "0.0.8", - "resolved": "https://registry.npmjs.org/mute-stream/-/mute-stream-0.0.8.tgz", - "integrity": "sha512-nnbWWOkoWyUsTjKrhgD0dcz22mdkSnpYqbEjIm2nhwhuxlSkpywJmBo8h0ZqJdkp73mb90SssHkN4rsRaBAfAA==" - }, - "node_modules/nan": { - "version": "2.17.0", - "resolved": "https://registry.npmjs.org/nan/-/nan-2.17.0.tgz", - "integrity": "sha512-2ZTgtl0nJsO0KQCjEpxcIr5D+Yv90plTitZt9JBfQvVJDS5seMl3FOvsh3+9CoYWXf/1l5OaZzzF6nDm4cagaQ==", - "dev": true, - "optional": true - }, - "node_modules/nanomatch": { - "version": "1.2.13", - "resolved": "https://registry.npmjs.org/nanomatch/-/nanomatch-1.2.13.tgz", - "integrity": "sha512-fpoe2T0RbHwBTBUOftAfBPaDEi06ufaUai0mE6Yn1kacc3SnTErfb/h+X94VXzI64rKFHYImXSvdwGGCmwOqCA==", - "dev": true, - "dependencies": { - "arr-diff": "^4.0.0", - "array-unique": "^0.3.2", - "define-property": "^2.0.2", - "extend-shallow": "^3.0.2", - "fragment-cache": "^0.2.1", - "is-windows": "^1.0.2", - "kind-of": "^6.0.2", - "object.pick": "^1.3.0", - "regex-not": "^1.0.0", - "snapdragon": "^0.8.1", - "to-regex": "^3.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/nanomatch/node_modules/arr-diff": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/arr-diff/-/arr-diff-4.0.0.tgz", - "integrity": "sha512-YVIQ82gZPGBebQV/a8dar4AitzCQs0jjXwMPZllpXMaGjXPYVUawSxQrRsjhjupyVxEvbHgUmIhKVlND+j02kA==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/nanomatch/node_modules/extend-shallow": { - "version": "3.0.2", - "resolved": "https://registry.npmjs.org/extend-shallow/-/extend-shallow-3.0.2.tgz", - "integrity": "sha512-BwY5b5Ql4+qZoefgMj2NUmx+tehVTH/Kf4k1ZEtOHNFcm2wSxMRo992l6X3TIgni2eZVTZ85xMOjF31fwZAj6Q==", - "dev": true, - "dependencies": { - "assign-symbols": "^1.0.0", - "is-extendable": "^1.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/nanomatch/node_modules/kind-of": { - "version": "6.0.3", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-6.0.3.tgz", - "integrity": "sha512-dcS1ul+9tmeD95T+x28/ehLgd9mENa3LsvDTtzm3vyBEO7RPptvAD+t44WVXaUjTBRcrpFeFlC8WCruUR456hw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/natural-compare": { - "version": "1.4.0", - "resolved": "https://registry.npmjs.org/natural-compare/-/natural-compare-1.4.0.tgz", - "integrity": "sha512-OWND8ei3VtNC9h7V60qff3SVobHr996CTwgxubgyQYEpg290h9J0buyECNNJexkFm5sOajh5G116RYA1c8ZMSw==", - "dev": true - }, - "node_modules/natural-compare-lite": { - "version": "1.4.0", - "resolved": "https://registry.npmjs.org/natural-compare-lite/-/natural-compare-lite-1.4.0.tgz", - "integrity": "sha512-Tj+HTDSJJKaZnfiuw+iaF9skdPpTo2GtEly5JHnWV/hfv2Qj/9RKsGISQtLh2ox3l5EAGw487hnBee0sIJ6v2g==", - "dev": true - }, - "node_modules/neo-async": { - "version": "2.6.2", - "resolved": "https://registry.npmjs.org/neo-async/-/neo-async-2.6.2.tgz", - "integrity": "sha512-Yd3UES5mWCSqR+qNT93S3UoYUkqAZ9lLg8a7g9rimsWmYGK8cVToA4/sF3RrshdyV3sAGMXVUmpMYOw+dLpOuw==" - }, - "node_modules/netmask": { - "version": "2.0.2", - "resolved": "https://registry.npmjs.org/netmask/-/netmask-2.0.2.tgz", - "integrity": "sha512-dBpDMdxv9Irdq66304OLfEmQ9tbNRFnFTuZiLo+bD+r332bBmMJ8GBLXklIXXgxd3+v9+KUnZaUR5PJMa75Gsg==", - "dev": true, - "engines": { - "node": ">= 0.4.0" - } - }, - "node_modules/new-github-release-url": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/new-github-release-url/-/new-github-release-url-2.0.0.tgz", - "integrity": "sha512-NHDDGYudnvRutt/VhKFlX26IotXe1w0cmkDm6JGquh5bz/bDTw0LufSmH/GxTjEdpHEO+bVKFTwdrcGa/9XlKQ==", - "dev": true, - "dependencies": { - "type-fest": "^2.5.1" - }, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/new-github-release-url/node_modules/type-fest": { - "version": "2.19.0", - "resolved": "https://registry.npmjs.org/type-fest/-/type-fest-2.19.0.tgz", - "integrity": "sha512-RAH822pAdBgcNMAfWnCBU3CFZcfZ/i1eZjwFU/dsLKumyuuP3niueg2UAukXYF0E2AAoc82ZSSf9J0WQBinzHA==", - "dev": true, - "engines": { - "node": ">=12.20" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/next-tick": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/next-tick/-/next-tick-1.1.0.tgz", - "integrity": "sha512-CXdUiJembsNjuToQvxayPZF9Vqht7hewsvy2sOWafLvi2awflj9mOC6bHIg50orX8IJvWKY9wYQ/zB2kogPslQ==", - "dev": true - }, - "node_modules/node-abort-controller": { - "version": "3.1.1", - "resolved": "https://registry.npmjs.org/node-abort-controller/-/node-abort-controller-3.1.1.tgz", - "integrity": "sha512-AGK2yQKIjRuqnc6VkX2Xj5d+QW8xZ87pa1UK6yA6ouUyuxfHuMP6umE5QK7UmTeOAymo+Zx1Fxiuw9rVx8taHQ==" - }, - "node_modules/node-domexception": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/node-domexception/-/node-domexception-1.0.0.tgz", - "integrity": "sha512-/jKZoMpw0F8GRwl4/eLROPA3cfcXtLApP0QzLmUT/HuPCZWyB7IY9ZrMeKw2O/nFIqPQB3PVM9aYm0F312AXDQ==", - "dev": true, - "funding": [ - { - "type": "github", - "url": "https://github.com/sponsors/jimmywarting" - }, - { - "type": "github", - "url": "https://paypal.me/jimmywarting" - } - ], - "engines": { - "node": ">=10.5.0" - } - }, - "node_modules/node-emoji": { - "version": "1.11.0", - "resolved": "https://registry.npmjs.org/node-emoji/-/node-emoji-1.11.0.tgz", - "integrity": "sha512-wo2DpQkQp7Sjm2A0cq+sN7EHKO6Sl0ctXeBdFZrL9T9+UywORbufTcTZxom8YqpLQt/FqNMUkOpkZrJVYSKD3A==", - "dependencies": { - "lodash": "^4.17.21" - } - }, - "node_modules/node-fetch": { - "version": "3.3.1", - "resolved": "https://registry.npmjs.org/node-fetch/-/node-fetch-3.3.1.tgz", - "integrity": "sha512-cRVc/kyto/7E5shrWca1Wsea4y6tL9iYJE5FBCius3JQfb/4P4I295PfhgbJQBLTx6lATE4z+wK0rPM4VS2uow==", - "dev": true, - "dependencies": { - "data-uri-to-buffer": "^4.0.0", - "fetch-blob": "^3.1.4", - "formdata-polyfill": "^4.0.10" - }, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "type": "opencollective", - "url": "https://opencollective.com/node-fetch" - } - }, - "node_modules/node-int64": { - "version": "0.4.0", - "resolved": "https://registry.npmjs.org/node-int64/-/node-int64-0.4.0.tgz", - "integrity": "sha512-O5lz91xSOeoXP6DulyHfllpq+Eg00MWitZIbtPfoSEvqIHdl5gfcY6hYzDWnj0qD5tz52PI08u9qUvSVeUBeHw==", - "dev": true - }, - "node_modules/node-releases": { - "version": "2.0.10", - "resolved": "https://registry.npmjs.org/node-releases/-/node-releases-2.0.10.tgz", - "integrity": "sha512-5GFldHPXVG/YZmFzJvKK2zDSzPKhEp0+ZR5SVaoSag9fsL5YgHbUHDfnG5494ISANDcK4KwPXAx2xqVEydmd7w==" - }, - "node_modules/normalize-package-data": { - "version": "3.0.3", - "resolved": "https://registry.npmjs.org/normalize-package-data/-/normalize-package-data-3.0.3.tgz", - "integrity": "sha512-p2W1sgqij3zMMyRC067Dg16bfzVH+w7hyegmpIvZ4JNjqtGOVAIvLmjBx3yP7YTe9vKJgkoNOPjwQGogDoMXFA==", - "dev": true, - "dependencies": { - "hosted-git-info": "^4.0.1", - "is-core-module": "^2.5.0", - "semver": "^7.3.4", - "validate-npm-package-license": "^3.0.1" - }, - "engines": { - "node": ">=10" - } - }, - "node_modules/normalize-path": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/normalize-path/-/normalize-path-3.0.0.tgz", - "integrity": "sha512-6eZs5Ls3WtCisHWp9S2GUy8dqkpGi4BVSz3GaqiE6ezub0512ESztXUwUB6C6IKbQkY2Pnb/mD4WYojCRwcwLA==", - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/normalize-url": { - "version": "8.0.0", - "resolved": "https://registry.npmjs.org/normalize-url/-/normalize-url-8.0.0.tgz", - "integrity": "sha512-uVFpKhj5MheNBJRTiMZ9pE/7hD1QTeEvugSJW/OmLzAp78PB5O6adfMNTvmfKhXBkvCzC+rqifWcVYpGFwTjnw==", - "dev": true, - "engines": { - "node": ">=14.16" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/now-and-later": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/now-and-later/-/now-and-later-2.0.1.tgz", - "integrity": "sha512-KGvQ0cB70AQfg107Xvs/Fbu+dGmZoTRJp2TaPwcwQm3/7PteUyN2BCgk8KBMPGBUXZdVwyWS8fDCGFygBm19UQ==", - "dev": true, - "dependencies": { - "once": "^1.3.2" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/npm-run-path": { - "version": "4.0.1", - "resolved": "https://registry.npmjs.org/npm-run-path/-/npm-run-path-4.0.1.tgz", - "integrity": "sha512-S48WzZW777zhNIrn7gxOlISNAqi9ZC/uQFnRdbeIHhZhCA6UqpkOT8T1G7BvfdgP4Er8gF4sUbaS0i7QvIfCWw==", - "dependencies": { - "path-key": "^3.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/number-is-nan": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/number-is-nan/-/number-is-nan-1.0.1.tgz", - "integrity": "sha512-4jbtZXNAsfZbAHiiqjLPBiCl16dES1zI4Hpzzxw61Tk+loF+sBDBKx1ICKKKwIqQ7M0mFn1TmkN7euSncWgHiQ==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/object-copy": { - "version": "0.1.0", - "resolved": "https://registry.npmjs.org/object-copy/-/object-copy-0.1.0.tgz", - "integrity": "sha512-79LYn6VAb63zgtmAteVOWo9Vdj71ZVBy3Pbse+VqxDpEP83XuujMrGqHIwAXJ5I/aM0zU7dIyIAhifVTPrNItQ==", - "dev": true, - "dependencies": { - "copy-descriptor": "^0.1.0", - "define-property": "^0.2.5", - "kind-of": "^3.0.3" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/object-copy/node_modules/define-property": { - "version": "0.2.5", - "resolved": "https://registry.npmjs.org/define-property/-/define-property-0.2.5.tgz", - "integrity": "sha512-Rr7ADjQZenceVOAKop6ALkkRAmH1A4Gx9hV/7ZujPUN2rkATqFO0JZLZInbAjpZYoJ1gUx8MRMQVkYemcbMSTA==", - "dev": true, - "dependencies": { - "is-descriptor": "^0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/object-copy/node_modules/is-accessor-descriptor": { - "version": "0.1.6", - "resolved": "https://registry.npmjs.org/is-accessor-descriptor/-/is-accessor-descriptor-0.1.6.tgz", - "integrity": "sha512-e1BM1qnDbMRG3ll2U9dSK0UMHuWOs3pY3AtcFsmvwPtKL3MML/Q86i+GilLfvqEs4GW+ExB91tQ3Ig9noDIZ+A==", - "dev": true, - "dependencies": { - "kind-of": "^3.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/object-copy/node_modules/is-data-descriptor": { - "version": "0.1.4", - "resolved": "https://registry.npmjs.org/is-data-descriptor/-/is-data-descriptor-0.1.4.tgz", - "integrity": "sha512-+w9D5ulSoBNlmw9OHn3U2v51SyoCd0he+bB3xMl62oijhrspxowjU+AIcDY0N3iEJbUEkB15IlMASQsxYigvXg==", - "dev": true, - "dependencies": { - "kind-of": "^3.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/object-copy/node_modules/is-descriptor": { - "version": "0.1.6", - "resolved": "https://registry.npmjs.org/is-descriptor/-/is-descriptor-0.1.6.tgz", - "integrity": "sha512-avDYr0SB3DwO9zsMov0gKCESFYqCnE4hq/4z3TdUlukEy5t9C0YRq7HLrsN52NAcqXKaepeCD0n+B0arnVG3Hg==", - "dev": true, - "dependencies": { - "is-accessor-descriptor": "^0.1.6", - "is-data-descriptor": "^0.1.4", - "kind-of": "^5.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/object-copy/node_modules/is-descriptor/node_modules/kind-of": { - "version": "5.1.0", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-5.1.0.tgz", - "integrity": "sha512-NGEErnH6F2vUuXDh+OlbcKW7/wOcfdRHaZ7VWtqCztfHri/++YKmP51OdWeGPuqCOba6kk2OTe5d02VmTB80Pw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/object-copy/node_modules/kind-of": { - "version": "3.2.2", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-3.2.2.tgz", - "integrity": "sha512-NOW9QQXMoZGg/oqnVNoNTTIFEIid1627WCffUBJEdMxYApq7mNE7CpzucIPc+ZQg25Phej7IJSmX3hO+oblOtQ==", - "dev": true, - "dependencies": { - "is-buffer": "^1.1.5" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/object-inspect": { - "version": "1.12.3", - "resolved": "https://registry.npmjs.org/object-inspect/-/object-inspect-1.12.3.tgz", - "integrity": "sha512-geUvdk7c+eizMNUDkRpW1wJwgfOiOeHbxBR/hLXK1aT6zmVSO0jsQcs7fj6MGw89jC/cjGfLcNOrtMYtGqm81g==", - "dev": true, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/object-keys": { - "version": "1.1.1", - "resolved": "https://registry.npmjs.org/object-keys/-/object-keys-1.1.1.tgz", - "integrity": "sha512-NuAESUOUMrlIXOfHKzD6bpPu3tYt3xvjNdRIQ+FeT0lNb4K8WR70CaDxhuNguS2XG+GjkyMwOzsN5ZktImfhLA==", - "dev": true, - "engines": { - "node": ">= 0.4" - } - }, - "node_modules/object-visit": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/object-visit/-/object-visit-1.0.1.tgz", - "integrity": "sha512-GBaMwwAVK9qbQN3Scdo0OyvgPW7l3lnaVMj84uTOZlswkX0KpF6fyDBJhtTthf7pymztoN36/KEr1DyhF96zEA==", - "dev": true, - "dependencies": { - "isobject": "^3.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/object.assign": { - "version": "4.1.4", - "resolved": "https://registry.npmjs.org/object.assign/-/object.assign-4.1.4.tgz", - "integrity": "sha512-1mxKf0e58bvyjSCtKYY4sRe9itRk3PJpquJOjeIkz885CczcI4IvJJDLPS72oowuSh+pBxUFROpX+TU++hxhZQ==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2", - "define-properties": "^1.1.4", - "has-symbols": "^1.0.3", - "object-keys": "^1.1.1" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/object.defaults": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/object.defaults/-/object.defaults-1.1.0.tgz", - "integrity": "sha512-c/K0mw/F11k4dEUBMW8naXUuBuhxRCfG7W+yFy8EcijU/rSmazOUd1XAEEe6bC0OuXY4HUKjTJv7xbxIMqdxrA==", - "dev": true, - "dependencies": { - "array-each": "^1.0.1", - "array-slice": "^1.0.0", - "for-own": "^1.0.0", - "isobject": "^3.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/object.map": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/object.map/-/object.map-1.0.1.tgz", - "integrity": "sha512-3+mAJu2PLfnSVGHwIWubpOFLscJANBKuB/6A4CxBstc4aqwQY0FWcsppuy4jU5GSB95yES5JHSI+33AWuS4k6w==", - "dev": true, - "dependencies": { - "for-own": "^1.0.0", - "make-iterator": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/object.pick": { - "version": "1.3.0", - "resolved": "https://registry.npmjs.org/object.pick/-/object.pick-1.3.0.tgz", - "integrity": "sha512-tqa/UMy/CCoYmj+H5qc07qvSL9dqcs/WZENZ1JbtWBlATP+iVOe778gE6MSijnyCnORzDuX6hU+LA4SZ09YjFQ==", - "dev": true, - "dependencies": { - "isobject": "^3.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/object.reduce": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/object.reduce/-/object.reduce-1.0.1.tgz", - "integrity": "sha512-naLhxxpUESbNkRqc35oQ2scZSJueHGQNUfMW/0U37IgN6tE2dgDWg3whf+NEliy3F/QysrO48XKUz/nGPe+AQw==", - "dev": true, - "dependencies": { - "for-own": "^1.0.0", - "make-iterator": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/once": { - "version": "1.4.0", - "resolved": "https://registry.npmjs.org/once/-/once-1.4.0.tgz", - "integrity": "sha512-lNaJgI+2Q5URQBkccEKHTQOPaXdUxnZZElQTZY0MFUAuaEqe1E+Nyvgdz/aIyNi6Z9MzO5dv1H8n58/GELp3+w==", - "dependencies": { - "wrappy": "1" - } - }, - "node_modules/onetime": { - "version": "5.1.2", - "resolved": "https://registry.npmjs.org/onetime/-/onetime-5.1.2.tgz", - "integrity": "sha512-kbpaSSGJTWdAY5KPVeMOKXSrPtr8C8C7wodJbcsd51jRnmD+GZu8Y0VoU6Dm5Z4vWr0Ig/1NKuWRKf7j5aaYSg==", - "dependencies": { - "mimic-fn": "^2.1.0" - }, - "engines": { - "node": ">=6" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/open": { - "version": "9.1.0", - "resolved": "https://registry.npmjs.org/open/-/open-9.1.0.tgz", - "integrity": "sha512-OS+QTnw1/4vrf+9hh1jc1jnYjzSG4ttTBB8UxOwAnInG3Uo4ssetzC1ihqaIHjLJnA5GGlRl6QlZXOTQhRBUvg==", - "dev": true, - "dependencies": { - "default-browser": "^4.0.0", - "define-lazy-prop": "^3.0.0", - "is-inside-container": "^1.0.0", - "is-wsl": "^2.2.0" - }, - "engines": { - "node": ">=14.16" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/optionator": { - "version": "0.9.1", - "resolved": "https://registry.npmjs.org/optionator/-/optionator-0.9.1.tgz", - "integrity": "sha512-74RlY5FCnhq4jRxVUPKDaRwrVNXMqsGsiW6AJw4XK8hmtm10wC0ypZBLw5IIp85NZMr91+qd1RvvENwg7jjRFw==", - "dev": true, - "dependencies": { - "deep-is": "^0.1.3", - "fast-levenshtein": "^2.0.6", - "levn": "^0.4.1", - "prelude-ls": "^1.2.1", - "type-check": "^0.4.0", - "word-wrap": "^1.2.3" - }, - "engines": { - "node": ">= 0.8.0" - } - }, - "node_modules/ora": { - "version": "5.4.1", - "resolved": "https://registry.npmjs.org/ora/-/ora-5.4.1.tgz", - "integrity": "sha512-5b6Y85tPxZZ7QytO+BQzysW31HJku27cRIlkbAXaNx+BdcVi+LlRFmVXzeF6a7JCwJpyw5c4b+YSVImQIrBpuQ==", - "dependencies": { - "bl": "^4.1.0", - "chalk": "^4.1.0", - "cli-cursor": "^3.1.0", - "cli-spinners": "^2.5.0", - "is-interactive": "^1.0.0", - "is-unicode-supported": "^0.1.0", - "log-symbols": "^4.1.0", - "strip-ansi": "^6.0.0", - "wcwidth": "^1.0.1" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/ordered-read-streams": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/ordered-read-streams/-/ordered-read-streams-1.0.1.tgz", - "integrity": "sha512-Z87aSjx3r5c0ZB7bcJqIgIRX5bxR7A4aSzvIbaxd0oTkWBCOoKfuGHiKj60CHVUgg1Phm5yMZzBdt8XqRs73Mw==", - "dev": true, - "dependencies": { - "readable-stream": "^2.0.1" - } - }, - "node_modules/os-locale": { - "version": "1.4.0", - "resolved": "https://registry.npmjs.org/os-locale/-/os-locale-1.4.0.tgz", - "integrity": "sha512-PRT7ZORmwu2MEFt4/fv3Q+mEfN4zetKxufQrkShY2oGvUms9r8otu5HfdyIFHkYXjO7laNsoVGmM2MANfuTA8g==", - "dev": true, - "dependencies": { - "lcid": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/os-name": { - "version": "4.0.1", - "resolved": "https://registry.npmjs.org/os-name/-/os-name-4.0.1.tgz", - "integrity": "sha512-xl9MAoU97MH1Xt5K9ERft2YfCAoaO6msy1OBA0ozxEC0x0TmIoE6K3QvgJMMZA9yKGLmHXNY/YZoDbiGDj4zYw==", - "dependencies": { - "macos-release": "^2.5.0", - "windows-release": "^4.0.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/os-tmpdir": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/os-tmpdir/-/os-tmpdir-1.0.2.tgz", - "integrity": "sha512-D2FR03Vir7FIu45XBY20mTb+/ZSWB00sjU9jdQXt83gDrI4Ztz5Fs7/yy74g2N5SVQY4xY1qDr4rNddwYRVX0g==", - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/p-cancelable": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/p-cancelable/-/p-cancelable-3.0.0.tgz", - "integrity": "sha512-mlVgR3PGuzlo0MmTdk4cXqXWlwQDLnONTAg6sm62XkMJEiRxN3GL3SffkYvqwonbkJBcrI7Uvv5Zh9yjvn2iUw==", - "dev": true, - "engines": { - "node": ">=12.20" - } - }, - "node_modules/p-limit": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/p-limit/-/p-limit-3.1.0.tgz", - "integrity": "sha512-TYOanM3wGwNGsZN2cVTYPArw454xnXj5qmWF1bEoAc4+cU/ol7GVh7odevjp1FNHduHc3KZMcFduxU5Xc6uJRQ==", - "dev": true, - "dependencies": { - "yocto-queue": "^0.1.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/p-locate": { - "version": "5.0.0", - "resolved": "https://registry.npmjs.org/p-locate/-/p-locate-5.0.0.tgz", - "integrity": "sha512-LaNjtRWUBY++zB5nE/NwcaoMylSPk+S+ZHNB1TzdbMJMny6dynpAGt7X/tl/QYq3TIeE6nxHppbo2LGymrG5Pw==", - "dev": true, - "dependencies": { - "p-limit": "^3.0.2" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/p-map": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/p-map/-/p-map-4.0.0.tgz", - "integrity": "sha512-/bjOqmgETBYB5BoEeGVea8dmvHb2m9GLy1E9W43yeyfP6QQCZGFNa+XRceJEuDB6zqr+gKpIAmlLebMpykw/MQ==", - "dev": true, - "dependencies": { - "aggregate-error": "^3.0.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/p-try": { - "version": "2.2.0", - "resolved": "https://registry.npmjs.org/p-try/-/p-try-2.2.0.tgz", - "integrity": "sha512-R4nPAVTAU0B9D35/Gk3uJf/7XYbQcyohSKdvAxIRSNghFl4e71hVoGnBNQz9cWaXxO2I10KTC+3jMdvvoKw6dQ==", - "dev": true, - "engines": { - "node": ">=6" - } - }, - "node_modules/pac-proxy-agent": { - "version": "5.0.0", - "resolved": "https://registry.npmjs.org/pac-proxy-agent/-/pac-proxy-agent-5.0.0.tgz", - "integrity": "sha512-CcFG3ZtnxO8McDigozwE3AqAw15zDvGH+OjXO4kzf7IkEKkQ4gxQ+3sdF50WmhQ4P/bVusXcqNE2S3XrNURwzQ==", - "dev": true, - "dependencies": { - "@tootallnate/once": "1", - "agent-base": "6", - "debug": "4", - "get-uri": "3", - "http-proxy-agent": "^4.0.1", - "https-proxy-agent": "5", - "pac-resolver": "^5.0.0", - "raw-body": "^2.2.0", - "socks-proxy-agent": "5" - }, - "engines": { - "node": ">= 8" - } - }, - "node_modules/pac-resolver": { - "version": "5.0.1", - "resolved": "https://registry.npmjs.org/pac-resolver/-/pac-resolver-5.0.1.tgz", - "integrity": "sha512-cy7u00ko2KVgBAjuhevqpPeHIkCIqPe1v24cydhWjmeuzaBfmUWFCZJ1iAh5TuVzVZoUzXIW7K8sMYOZ84uZ9Q==", - "dev": true, - "dependencies": { - "degenerator": "^3.0.2", - "ip": "^1.1.5", - "netmask": "^2.0.2" - }, - "engines": { - "node": ">= 8" - } - }, - "node_modules/package-json": { - "version": "8.1.0", - "resolved": "https://registry.npmjs.org/package-json/-/package-json-8.1.0.tgz", - "integrity": "sha512-hySwcV8RAWeAfPsXb9/HGSPn8lwDnv6fabH+obUZKX169QknRkRhPxd1yMubpKDskLFATkl3jHpNtVtDPFA0Wg==", - "dev": true, - "dependencies": { - "got": "^12.1.0", - "registry-auth-token": "^5.0.1", - "registry-url": "^6.0.0", - "semver": "^7.3.7" - }, - "engines": { - "node": ">=14.16" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/parent-module": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/parent-module/-/parent-module-1.0.1.tgz", - "integrity": "sha512-GQ2EWRpQV8/o+Aw8YqtfZZPfNRWZYkbidE9k5rpl/hC3vtHHBfGm2Ifi6qWV+coDGkrUKZAxE3Lot5kcsRlh+g==", - "dependencies": { - "callsites": "^3.0.0" - }, - "engines": { - "node": ">=6" - } - }, - "node_modules/parse-filepath": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/parse-filepath/-/parse-filepath-1.0.2.tgz", - "integrity": "sha512-FwdRXKCohSVeXqwtYonZTXtbGJKrn+HNyWDYVcp5yuJlesTwNH4rsmRZ+GrKAPJ5bLpRxESMeS+Rl0VCHRvB2Q==", - "dev": true, - "dependencies": { - "is-absolute": "^1.0.0", - "map-cache": "^0.2.0", - "path-root": "^0.1.1" - }, - "engines": { - "node": ">=0.8" - } - }, - "node_modules/parse-json": { - "version": "5.2.0", - "resolved": "https://registry.npmjs.org/parse-json/-/parse-json-5.2.0.tgz", - "integrity": "sha512-ayCKvm/phCGxOkYRSCM82iDwct8/EonSEgCSxWxD7ve6jHggsFl4fZVQBPRNgQoKiuV/odhFrGzQXZwbifC8Rg==", - "dependencies": { - "@babel/code-frame": "^7.0.0", - "error-ex": "^1.3.1", - "json-parse-even-better-errors": "^2.3.0", - "lines-and-columns": "^1.1.6" - }, - "engines": { - "node": ">=8" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/parse-node-version": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/parse-node-version/-/parse-node-version-1.0.1.tgz", - "integrity": "sha512-3YHlOa/JgH6Mnpr05jP9eDG254US9ek25LyIxZlDItp2iJtwyaXQb57lBYLdT3MowkUFYEV2XXNAYIPlESvJlA==", - "dev": true, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/parse-passwd": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/parse-passwd/-/parse-passwd-1.0.0.tgz", - "integrity": "sha512-1Y1A//QUXEZK7YKz+rD9WydcE1+EuPr6ZBgKecAB8tmoW6UFv0NREVJe1p+jRxtThkcbbKkfwIbWJe/IeE6m2Q==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/parse-path": { - "version": "7.0.0", - "resolved": "https://registry.npmjs.org/parse-path/-/parse-path-7.0.0.tgz", - "integrity": "sha512-Euf9GG8WT9CdqwuWJGdf3RkUcTBArppHABkO7Lm8IzRQp0e2r/kkFnmhu4TSK30Wcu5rVAZLmfPKSBBi9tWFog==", - "dev": true, - "dependencies": { - "protocols": "^2.0.0" - } - }, - "node_modules/parse-url": { - "version": "8.1.0", - "resolved": "https://registry.npmjs.org/parse-url/-/parse-url-8.1.0.tgz", - "integrity": "sha512-xDvOoLU5XRrcOZvnI6b8zA6n9O9ejNk/GExuz1yBuWUGn9KA97GI6HTs6u02wKara1CeVmZhH+0TZFdWScR89w==", - "dev": true, - "dependencies": { - "parse-path": "^7.0.0" - } - }, - "node_modules/pascalcase": { - "version": "0.1.1", - "resolved": "https://registry.npmjs.org/pascalcase/-/pascalcase-0.1.1.tgz", - "integrity": "sha512-XHXfu/yOQRy9vYOtUDVMN60OEJjW013GoObG1o+xwQTpB9eYJX/BjXMsdW13ZDPruFhYYn0AG22w0xgQMwl3Nw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/path-dirname": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/path-dirname/-/path-dirname-1.0.2.tgz", - "integrity": "sha512-ALzNPpyNq9AqXMBjeymIjFDAkAFH06mHJH/cSBHAgU0s4vfpBn6b2nf8tiRLvagKD8RbTpq2FKTBg7cl9l3c7Q==", - "dev": true - }, - "node_modules/path-exists": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/path-exists/-/path-exists-4.0.0.tgz", - "integrity": "sha512-ak9Qy5Q7jYb2Wwcey5Fpvg2KoAc/ZIhLSLOSBmRmygPsGwkVVt0fZa0qrtMz+m6tJTAHfZQ8FnmB4MG4LWy7/w==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/path-is-absolute": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/path-is-absolute/-/path-is-absolute-1.0.1.tgz", - "integrity": "sha512-AVbw3UJ2e9bq64vSaS9Am0fje1Pa8pbGqTTsmXfaIiMpnr5DlDhfJOuLj9Sf95ZPVDAUerDfEk88MPmPe7UCQg==", - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/path-key": { - "version": "3.1.1", - "resolved": "https://registry.npmjs.org/path-key/-/path-key-3.1.1.tgz", - "integrity": "sha512-ojmeN0qd+y0jszEtoY48r0Peq5dwMEkIlCOu6Q5f41lfkswXuKtYrhgoTpLnyIcHm24Uhqx+5Tqm2InSwLhE6Q==", - "engines": { - "node": ">=8" - } - }, - "node_modules/path-parse": { - "version": "1.0.7", - "resolved": "https://registry.npmjs.org/path-parse/-/path-parse-1.0.7.tgz", - "integrity": "sha512-LDJzPVEEEPR+y48z93A0Ed0yXb8pAByGWo/k5YYdYgpY2/2EsOsksJrq7lOHxryrVOn1ejG6oAp8ahvOIQD8sw==" - }, - "node_modules/path-root": { - "version": "0.1.1", - "resolved": "https://registry.npmjs.org/path-root/-/path-root-0.1.1.tgz", - "integrity": "sha512-QLcPegTHF11axjfojBIoDygmS2E3Lf+8+jI6wOVmNVenrKSo3mFdSGiIgdSHenczw3wPtlVMQaFVwGmM7BJdtg==", - "dev": true, - "dependencies": { - "path-root-regex": "^0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/path-root-regex": { - "version": "0.1.2", - "resolved": "https://registry.npmjs.org/path-root-regex/-/path-root-regex-0.1.2.tgz", - "integrity": "sha512-4GlJ6rZDhQZFE0DPVKh0e9jmZ5egZfxTkp7bcRDuPlJXbAwhxcl2dINPUAsjLdejqaLsCeg8axcLjIbvBjN4pQ==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/path-scurry": { - "version": "1.7.0", - "resolved": "https://registry.npmjs.org/path-scurry/-/path-scurry-1.7.0.tgz", - "integrity": "sha512-UkZUeDjczjYRE495+9thsgcVgsaCPkaw80slmfVFgllxY+IO8ubTsOpFVjDPROBqJdHfVPUFRHPBV/WciOVfWg==", - "dependencies": { - "lru-cache": "^9.0.0", - "minipass": "^5.0.0" - }, - "engines": { - "node": ">=16 || 14 >=14.17" - }, - "funding": { - "url": "https://github.com/sponsors/isaacs" - } - }, - "node_modules/path-scurry/node_modules/lru-cache": { - "version": "9.1.1", - "resolved": "https://registry.npmjs.org/lru-cache/-/lru-cache-9.1.1.tgz", - "integrity": "sha512-65/Jky17UwSb0BuB9V+MyDpsOtXKmYwzhyl+cOa9XUiI4uV2Ouy/2voFP3+al0BjZbJgMBD8FojMpAf+Z+qn4A==", - "engines": { - "node": "14 || >=16.14" - } - }, - "node_modules/path-scurry/node_modules/minipass": { - "version": "5.0.0", - "resolved": "https://registry.npmjs.org/minipass/-/minipass-5.0.0.tgz", - "integrity": "sha512-3FnjYuehv9k6ovOEbyOswadCDPX1piCfhV8ncmYtHOjuPwylVWsghTLo7rabjC3Rx5xD4HDx8Wm1xnMF7S5qFQ==", - "engines": { - "node": ">=8" - } - }, - "node_modules/path-starts-with": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/path-starts-with/-/path-starts-with-2.0.0.tgz", - "integrity": "sha512-3UHTHbJz5+NLkPafFR+2ycJOjoc4WV2e9qCZCnm71zHiWaFrm1XniLVTkZXvaRgxr1xFh9JsTdicpH2yM03nLA==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/path-type": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/path-type/-/path-type-4.0.0.tgz", - "integrity": "sha512-gDKb8aZMDeD/tZWs9P6+q0J9Mwkdl6xMV8TjnGP3qJVJ06bdMgkbBlLU8IdfOsIsFz2BW1rNVT3XuNEl8zPAvw==", - "engines": { - "node": ">=8" - } - }, - "node_modules/picocolors": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/picocolors/-/picocolors-1.0.0.tgz", - "integrity": "sha512-1fygroTLlHu66zi26VoTDv8yRgm0Fccecssto+MhsZ0D/DGW2sm8E8AjW7NU5VVTRt5GxbeZ5qBuJr+HyLYkjQ==" - }, - "node_modules/picomatch": { - "version": "2.3.1", - "resolved": "https://registry.npmjs.org/picomatch/-/picomatch-2.3.1.tgz", - "integrity": "sha512-JU3teHTNjmE2VCGFzuY8EXzCDVwEqB2a8fsIvwaStHhAWJEeVd1o1QD80CU6+ZdEXXSLbSsuLwJjkCBWqRQUVA==", - "engines": { - "node": ">=8.6" - }, - "funding": { - "url": "https://github.com/sponsors/jonschlinkert" - } - }, - "node_modules/pidtree": { - "version": "0.6.0", - "resolved": "https://registry.npmjs.org/pidtree/-/pidtree-0.6.0.tgz", - "integrity": "sha512-eG2dWTVw5bzqGRztnHExczNxt5VGsE6OwTeCG3fdUf9KBsZzO3R5OIIIzWR+iZA0NtZ+RDVdaoE2dK1cn6jH4g==", - "dev": true, - "bin": { - "pidtree": "bin/pidtree.js" - }, - "engines": { - "node": ">=0.10" - } - }, - "node_modules/pify": { - "version": "2.3.0", - "resolved": "https://registry.npmjs.org/pify/-/pify-2.3.0.tgz", - "integrity": "sha512-udgsAY+fTnvv7kI7aaxbqwWNb0AHiB0qBO89PZKPkoTmGOgdbrHDKD+0B2X4uTfJ/FT1R09r9gTsjUjNJotuog==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/pinkie": { - "version": "2.0.4", - "resolved": "https://registry.npmjs.org/pinkie/-/pinkie-2.0.4.tgz", - "integrity": "sha512-MnUuEycAemtSaeFSjXKW/aroV7akBbY+Sv+RkyqFjgAe73F+MR0TBWKBRDkmfWq/HiFmdavfZ1G7h4SPZXaCSg==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/pinkie-promise": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/pinkie-promise/-/pinkie-promise-2.0.1.tgz", - "integrity": "sha512-0Gni6D4UcLTbv9c57DfxDGdr41XfgUjqWZu492f0cIGr16zDU06BWP/RAEvOuo7CQ0CNjHaLlM59YJJFm3NWlw==", - "dev": true, - "dependencies": { - "pinkie": "^2.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/pirates": { - "version": "4.0.5", - "resolved": "https://registry.npmjs.org/pirates/-/pirates-4.0.5.tgz", - "integrity": "sha512-8V9+HQPupnaXMA23c5hvl69zXvTwTzyAYasnkb0Tts4XvO4CliqONMOnvlq26rkhLC3nWDFBJf73LU1e1VZLaQ==", - "dev": true, - "engines": { - "node": ">= 6" - } - }, - "node_modules/pkg-dir": { - "version": "4.2.0", - "resolved": "https://registry.npmjs.org/pkg-dir/-/pkg-dir-4.2.0.tgz", - "integrity": "sha512-HRDzbaKjC+AOWVXxAU/x54COGeIv9eb+6CkDSQoNTt4XyWoIJvuPsXizxu/Fr23EiekbtZwmh1IcIG/l/a10GQ==", - "dev": true, - "dependencies": { - "find-up": "^4.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/pkg-dir/node_modules/find-up": { - "version": "4.1.0", - "resolved": "https://registry.npmjs.org/find-up/-/find-up-4.1.0.tgz", - "integrity": "sha512-PpOwAdQ/YlXQ2vj8a3h8IipDuYRi3wceVQQGYWxNINccq40Anw7BlsEXCMbt1Zt+OLA6Fq9suIpIWD0OsnISlw==", - "dev": true, - "dependencies": { - "locate-path": "^5.0.0", - "path-exists": "^4.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/pkg-dir/node_modules/locate-path": { - "version": "5.0.0", - "resolved": "https://registry.npmjs.org/locate-path/-/locate-path-5.0.0.tgz", - "integrity": "sha512-t7hw9pI+WvuwNJXwk5zVHpyhIqzg2qTlklJOf0mVxGSbe3Fp2VieZcduNYjaLDoy6p9uGpQEGWG87WpMKlNq8g==", - "dev": true, - "dependencies": { - "p-locate": "^4.1.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/pkg-dir/node_modules/p-limit": { - "version": "2.3.0", - "resolved": "https://registry.npmjs.org/p-limit/-/p-limit-2.3.0.tgz", - "integrity": "sha512-//88mFWSJx8lxCzwdAABTJL2MyWB12+eIY7MDL2SqLmAkeKU9qxRvWuSyTjm3FUmpBEMuFfckAIqEaVGUDxb6w==", - "dev": true, - "dependencies": { - "p-try": "^2.0.0" - }, - "engines": { - "node": ">=6" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/pkg-dir/node_modules/p-locate": { - "version": "4.1.0", - "resolved": "https://registry.npmjs.org/p-locate/-/p-locate-4.1.0.tgz", - "integrity": "sha512-R79ZZ/0wAxKGu3oYMlz8jy/kbhsNrS7SKZ7PxEHBgJ5+F2mtFW2fK2cOtBh1cHYkQsbzFV7I+EoRKe6Yt0oK7A==", - "dev": true, - "dependencies": { - "p-limit": "^2.2.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/plugin-error": { - "version": "0.1.2", - "resolved": "https://registry.npmjs.org/plugin-error/-/plugin-error-0.1.2.tgz", - "integrity": "sha512-WzZHcm4+GO34sjFMxQMqZbsz3xiNEgonCskQ9v+IroMmYgk/tas8dG+Hr2D6IbRPybZ12oWpzE/w3cGJ6FJzOw==", - "dev": true, - "dependencies": { - "ansi-cyan": "^0.1.1", - "ansi-red": "^0.1.1", - "arr-diff": "^1.0.1", - "arr-union": "^2.0.1", - "extend-shallow": "^1.1.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/pluralize": { - "version": "8.0.0", - "resolved": "https://registry.npmjs.org/pluralize/-/pluralize-8.0.0.tgz", - "integrity": "sha512-Nc3IT5yHzflTfbjgqWcCPpo7DaKy4FnpB0l/zCAW0Tc7jxAiuqSxHasntB3D7887LSrA93kDJ9IXovxJYxyLCA==", - "engines": { - "node": ">=4" - } - }, - "node_modules/posix-character-classes": { - "version": "0.1.1", - "resolved": "https://registry.npmjs.org/posix-character-classes/-/posix-character-classes-0.1.1.tgz", - "integrity": "sha512-xTgYBc3fuo7Yt7JbiuFxSYGToMoz8fLoE6TC9Wx1P/u+LfeThMOAqmuyECnlBaaJb+u1m9hHiXUEtwW4OzfUJg==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/prelude-ls": { - "version": "1.2.1", - "resolved": "https://registry.npmjs.org/prelude-ls/-/prelude-ls-1.2.1.tgz", - "integrity": "sha512-vkcDPrRZo1QZLbn5RLGPpg/WmIQ65qoWWhcGKf/b5eplkkarX0m9z8ppCat4mlOqUsWpyNuYgO3VRyrYHSzX5g==", - "dev": true, - "engines": { - "node": ">= 0.8.0" - } - }, - "node_modules/prettier": { - "version": "2.8.8", - "resolved": "https://registry.npmjs.org/prettier/-/prettier-2.8.8.tgz", - "integrity": "sha512-tdN8qQGvNjw4CHbY+XXk0JgCXn9QiF21a55rBe5LJAU+kDyC4WQn4+awm2Xfk2lQMk5fKup9XgzTZtGkjBdP9Q==", - "dev": true, - "bin": { - "prettier": "bin-prettier.js" - }, - "engines": { - "node": ">=10.13.0" - }, - "funding": { - "url": "https://github.com/prettier/prettier?sponsor=1" - } - }, - "node_modules/pretty-format": { - "version": "29.5.0", - "resolved": "https://registry.npmjs.org/pretty-format/-/pretty-format-29.5.0.tgz", - "integrity": "sha512-V2mGkI31qdttvTFX7Mt4efOqHXqJWMu4/r66Xh3Z3BwZaPfPJgp6/gbwoujRpPUtfEF6AUUWx3Jim3GCw5g/Qw==", - "dev": true, - "dependencies": { - "@jest/schemas": "^29.4.3", - "ansi-styles": "^5.0.0", - "react-is": "^18.0.0" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - } - }, - "node_modules/pretty-format/node_modules/ansi-styles": { - "version": "5.2.0", - "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-5.2.0.tgz", - "integrity": "sha512-Cxwpt2SfTzTtXcfOlzGEee8O+c+MmUgGrNiBcXnuWxuFJHe6a5Hz7qwhwe5OgaSYI0IJvkLqWX1ASG+cJOkEiA==", - "dev": true, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/chalk/ansi-styles?sponsor=1" - } - }, - "node_modules/pretty-hrtime": { - "version": "1.0.3", - "resolved": "https://registry.npmjs.org/pretty-hrtime/-/pretty-hrtime-1.0.3.tgz", - "integrity": "sha512-66hKPCr+72mlfiSjlEB1+45IjXSqvVAIy6mocupoww4tBFE9R9IhwwUGoI4G++Tc9Aq+2rxOt0RFU6gPcrte0A==", - "dev": true, - "engines": { - "node": ">= 0.8" - } - }, - "node_modules/process-nextick-args": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/process-nextick-args/-/process-nextick-args-2.0.1.tgz", - "integrity": "sha512-3ouUOpQhtgrbOa17J7+uxOTpITYWaGP7/AhoR3+A+/1e9skrzelGi/dXzEYyvbxubEF6Wn2ypscTKiKJFFn1ag==", - "dev": true - }, - "node_modules/promise.allsettled": { - "version": "1.0.6", - "resolved": "https://registry.npmjs.org/promise.allsettled/-/promise.allsettled-1.0.6.tgz", - "integrity": "sha512-22wJUOD3zswWFqgwjNHa1965LvqTX87WPu/lreY2KSd7SVcERfuZ4GfUaOnJNnvtoIv2yXT/W00YIGMetXtFXg==", - "dev": true, - "dependencies": { - "array.prototype.map": "^1.0.5", - "call-bind": "^1.0.2", - "define-properties": "^1.1.4", - "es-abstract": "^1.20.4", - "get-intrinsic": "^1.1.3", - "iterate-value": "^1.0.2" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/prompts": { - "version": "2.4.2", - "resolved": "https://registry.npmjs.org/prompts/-/prompts-2.4.2.tgz", - "integrity": "sha512-NxNv/kLguCA7p3jE8oL2aEBsrJWgAakBpgmgK6lpPWV+WuOmY6r2/zbAVnP+T8bQlA0nzHXSJSJW0Hq7ylaD2Q==", - "dev": true, - "dependencies": { - "kleur": "^3.0.3", - "sisteransi": "^1.0.5" - }, - "engines": { - "node": ">= 6" - } - }, - "node_modules/proto-list": { - "version": "1.2.4", - "resolved": "https://registry.npmjs.org/proto-list/-/proto-list-1.2.4.tgz", - "integrity": "sha512-vtK/94akxsTMhe0/cbfpR+syPuszcuwhqVjJq26CuNDgFGj682oRBXOP5MJpv2r7JtE8MsiepGIqvvOTBwn2vA==", - "dev": true - }, - "node_modules/protocols": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/protocols/-/protocols-2.0.1.tgz", - "integrity": "sha512-/XJ368cyBJ7fzLMwLKv1e4vLxOju2MNAIokcr7meSaNcVbWz/CPcW22cP04mwxOErdA5mwjA8Q6w/cdAQxVn7Q==", - "dev": true - }, - "node_modules/proxy-agent": { - "version": "5.0.0", - "resolved": "https://registry.npmjs.org/proxy-agent/-/proxy-agent-5.0.0.tgz", - "integrity": "sha512-gkH7BkvLVkSfX9Dk27W6TyNOWWZWRilRfk1XxGNWOYJ2TuedAv1yFpCaU9QSBmBe716XOTNpYNOzhysyw8xn7g==", - "dev": true, - "dependencies": { - "agent-base": "^6.0.0", - "debug": "4", - "http-proxy-agent": "^4.0.0", - "https-proxy-agent": "^5.0.0", - "lru-cache": "^5.1.1", - "pac-proxy-agent": "^5.0.0", - "proxy-from-env": "^1.0.0", - "socks-proxy-agent": "^5.0.0" - }, - "engines": { - "node": ">= 8" - } - }, - "node_modules/proxy-from-env": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/proxy-from-env/-/proxy-from-env-1.1.0.tgz", - "integrity": "sha512-D+zkORCbA9f1tdWRK0RaCR3GPv50cMxcrz4X8k5LTSUD1Dkw47mKJEZQNunItRTkWwgtaUSo1RVFRIG9ZXiFYg==", - "dev": true - }, - "node_modules/pump": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/pump/-/pump-2.0.1.tgz", - "integrity": "sha512-ruPMNRkN3MHP1cWJc9OWr+T/xDP0jhXYCLfJcBuX54hhfIBnaQmAUMfDcG4DM5UMWByBbJY69QSphm3jtDKIkA==", - "dev": true, - "dependencies": { - "end-of-stream": "^1.1.0", - "once": "^1.3.1" - } - }, - "node_modules/pumpify": { - "version": "1.5.1", - "resolved": "https://registry.npmjs.org/pumpify/-/pumpify-1.5.1.tgz", - "integrity": "sha512-oClZI37HvuUJJxSKKrC17bZ9Cu0ZYhEAGPsPUy9KlMUmv9dKX2o77RUmq7f3XjIxbwyGwYzbzQ1L2Ks8sIradQ==", - "dev": true, - "dependencies": { - "duplexify": "^3.6.0", - "inherits": "^2.0.3", - "pump": "^2.0.0" - } - }, - "node_modules/punycode": { - "version": "2.3.0", - "resolved": "https://registry.npmjs.org/punycode/-/punycode-2.3.0.tgz", - "integrity": "sha512-rRV+zQD8tVFys26lAGR9WUuS4iUAngJScM+ZRSKtvl5tKeZ2t5bvdNFdNHBW9FWR4guGHlgmsZ1G7BSm2wTbuA==", - "engines": { - "node": ">=6" - } - }, - "node_modules/pupa": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/pupa/-/pupa-3.1.0.tgz", - "integrity": "sha512-FLpr4flz5xZTSJxSeaheeMKN/EDzMdK7b8PTOC6a5PYFKTucWbdqjgqaEyH0shFiSJrVB1+Qqi4Tk19ccU6Aug==", - "dev": true, - "dependencies": { - "escape-goat": "^4.0.0" - }, - "engines": { - "node": ">=12.20" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/pure-rand": { - "version": "6.0.2", - "resolved": "https://registry.npmjs.org/pure-rand/-/pure-rand-6.0.2.tgz", - "integrity": "sha512-6Yg0ekpKICSjPswYOuC5sku/TSWaRYlA0qsXqJgM/d/4pLPHPuTxK7Nbf7jFKzAeedUhR8C7K9Uv63FBsSo8xQ==", - "dev": true, - "funding": [ - { - "type": "individual", - "url": "https://github.com/sponsors/dubzzz" - }, - { - "type": "opencollective", - "url": "https://opencollective.com/fast-check" - } - ] - }, - "node_modules/q": { - "version": "1.5.1", - "resolved": "https://registry.npmjs.org/q/-/q-1.5.1.tgz", - "integrity": "sha512-kV/CThkXo6xyFEZUugw/+pIOywXcDbFYgSct5cT3gqlbkBE1SJdwy6UQoZvodiWF/ckQLZyDE/Bu1M6gVu5lVw==", - "dev": true, - "engines": { - "node": ">=0.6.0", - "teleport": ">=0.2.0" - } - }, - "node_modules/queue-microtask": { - "version": "1.2.3", - "resolved": "https://registry.npmjs.org/queue-microtask/-/queue-microtask-1.2.3.tgz", - "integrity": "sha512-NuaNSa6flKT5JaSYQzJok04JzTL1CA6aGhv5rfLW3PgqA+M2ChpZQnAC8h8i4ZFkBS8X5RqkDBHA7r4hej3K9A==", - "dev": true, - "funding": [ - { - "type": "github", - "url": "https://github.com/sponsors/feross" - }, - { - "type": "patreon", - "url": "https://www.patreon.com/feross" - }, - { - "type": "consulting", - "url": "https://feross.org/support" - } - ] - }, - "node_modules/quick-lru": { - "version": "4.0.1", - "resolved": "https://registry.npmjs.org/quick-lru/-/quick-lru-4.0.1.tgz", - "integrity": "sha512-ARhCpm70fzdcvNQfPoy49IaanKkTlRWF2JMzqhcJbhSFRZv7nPTvZJdcY7301IPmvW+/p0RgIWnQDLJxifsQ7g==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/randombytes": { - "version": "2.1.0", - "resolved": "https://registry.npmjs.org/randombytes/-/randombytes-2.1.0.tgz", - "integrity": "sha512-vYl3iOX+4CKUWuxGi9Ukhie6fsqXqS9FE2Zaic4tNFD2N2QQaXOMFbuKK4QmDHC0JO6B1Zp41J0LpT0oR68amQ==", - "dependencies": { - "safe-buffer": "^5.1.0" - } - }, - "node_modules/raw-body": { - "version": "2.5.2", - "resolved": "https://registry.npmjs.org/raw-body/-/raw-body-2.5.2.tgz", - "integrity": "sha512-8zGqypfENjCIqGhgXToC8aB2r7YrBX+AQAfIPs/Mlk+BtPTztOvTS01NRW/3Eh60J+a48lt8qsCzirQ6loCVfA==", - "dev": true, - "dependencies": { - "bytes": "3.1.2", - "http-errors": "2.0.0", - "iconv-lite": "0.4.24", - "unpipe": "1.0.0" - }, - "engines": { - "node": ">= 0.8" - } - }, - "node_modules/rc": { - "version": "1.2.8", - "resolved": "https://registry.npmjs.org/rc/-/rc-1.2.8.tgz", - "integrity": "sha512-y3bGgqKj3QBdxLbLkomlohkvsA8gdAiUQlSBJnBhfn+BPxg4bc62d8TcBW15wavDfgexCgccckhcZvywyQYPOw==", - "dev": true, - "dependencies": { - "deep-extend": "^0.6.0", - "ini": "~1.3.0", - "minimist": "^1.2.0", - "strip-json-comments": "~2.0.1" - }, - "bin": { - "rc": "cli.js" - } - }, - "node_modules/rc/node_modules/strip-json-comments": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/strip-json-comments/-/strip-json-comments-2.0.1.tgz", - "integrity": "sha512-4gB8na07fecVVkOI6Rs4e7T6NOTki5EmL7TUduTs6bu3EdnSycntVJ4re8kgZA+wx9IueI2Y11bfbgwtzuE0KQ==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/react-is": { - "version": "18.2.0", - "resolved": "https://registry.npmjs.org/react-is/-/react-is-18.2.0.tgz", - "integrity": "sha512-xWGDIW6x921xtzPkhiULtthJHoJvBbF3q26fzloPCK0hsvxtPVelvftw3zjbHWSkR2km9Z+4uxbDDK/6Zw9B8w==", - "dev": true - }, - "node_modules/read-pkg": { - "version": "5.2.0", - "resolved": "https://registry.npmjs.org/read-pkg/-/read-pkg-5.2.0.tgz", - "integrity": "sha512-Ug69mNOpfvKDAc2Q8DRpMjjzdtrnv9HcSMX+4VsZxD1aZ6ZzrIE7rlzXBtWTyhULSMKg076AW6WR5iZpD0JiOg==", - "dev": true, - "dependencies": { - "@types/normalize-package-data": "^2.4.0", - "normalize-package-data": "^2.5.0", - "parse-json": "^5.0.0", - "type-fest": "^0.6.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/read-pkg-up": { - "version": "7.0.1", - "resolved": "https://registry.npmjs.org/read-pkg-up/-/read-pkg-up-7.0.1.tgz", - "integrity": "sha512-zK0TB7Xd6JpCLmlLmufqykGE+/TlOePD6qKClNW7hHDKFh/J7/7gCWGR7joEQEW1bKq3a3yUZSObOoWLFQ4ohg==", - "dev": true, - "dependencies": { - "find-up": "^4.1.0", - "read-pkg": "^5.2.0", - "type-fest": "^0.8.1" - }, - "engines": { - "node": ">=8" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/read-pkg-up/node_modules/find-up": { - "version": "4.1.0", - "resolved": "https://registry.npmjs.org/find-up/-/find-up-4.1.0.tgz", - "integrity": "sha512-PpOwAdQ/YlXQ2vj8a3h8IipDuYRi3wceVQQGYWxNINccq40Anw7BlsEXCMbt1Zt+OLA6Fq9suIpIWD0OsnISlw==", - "dev": true, - "dependencies": { - "locate-path": "^5.0.0", - "path-exists": "^4.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/read-pkg-up/node_modules/locate-path": { - "version": "5.0.0", - "resolved": "https://registry.npmjs.org/locate-path/-/locate-path-5.0.0.tgz", - "integrity": "sha512-t7hw9pI+WvuwNJXwk5zVHpyhIqzg2qTlklJOf0mVxGSbe3Fp2VieZcduNYjaLDoy6p9uGpQEGWG87WpMKlNq8g==", - "dev": true, - "dependencies": { - "p-locate": "^4.1.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/read-pkg-up/node_modules/p-limit": { - "version": "2.3.0", - "resolved": "https://registry.npmjs.org/p-limit/-/p-limit-2.3.0.tgz", - "integrity": "sha512-//88mFWSJx8lxCzwdAABTJL2MyWB12+eIY7MDL2SqLmAkeKU9qxRvWuSyTjm3FUmpBEMuFfckAIqEaVGUDxb6w==", - "dev": true, - "dependencies": { - "p-try": "^2.0.0" - }, - "engines": { - "node": ">=6" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/read-pkg-up/node_modules/p-locate": { - "version": "4.1.0", - "resolved": "https://registry.npmjs.org/p-locate/-/p-locate-4.1.0.tgz", - "integrity": "sha512-R79ZZ/0wAxKGu3oYMlz8jy/kbhsNrS7SKZ7PxEHBgJ5+F2mtFW2fK2cOtBh1cHYkQsbzFV7I+EoRKe6Yt0oK7A==", - "dev": true, - "dependencies": { - "p-limit": "^2.2.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/read-pkg-up/node_modules/type-fest": { - "version": "0.8.1", - "resolved": "https://registry.npmjs.org/type-fest/-/type-fest-0.8.1.tgz", - "integrity": "sha512-4dbzIzqvjtgiM5rw1k5rEHtBANKmdudhGyBEajN01fEyhaAIhsoKNy6y7+IN93IfpFtwY9iqi7kD+xwKhQsNJA==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/read-pkg/node_modules/hosted-git-info": { - "version": "2.8.9", - "resolved": "https://registry.npmjs.org/hosted-git-info/-/hosted-git-info-2.8.9.tgz", - "integrity": "sha512-mxIDAb9Lsm6DoOJ7xH+5+X4y1LU/4Hi50L9C5sIswK3JzULS4bwk1FvjdBgvYR4bzT4tuUQiC15FE2f5HbLvYw==", - "dev": true - }, - "node_modules/read-pkg/node_modules/normalize-package-data": { - "version": "2.5.0", - "resolved": "https://registry.npmjs.org/normalize-package-data/-/normalize-package-data-2.5.0.tgz", - "integrity": "sha512-/5CMN3T0R4XTj4DcGaexo+roZSdSFW/0AOOTROrjxzCG1wrWXEsGbRKevjlIL+ZDE4sZlJr5ED4YW0yqmkK+eA==", - "dev": true, - "dependencies": { - "hosted-git-info": "^2.1.4", - "resolve": "^1.10.0", - "semver": "2 || 3 || 4 || 5", - "validate-npm-package-license": "^3.0.1" - } - }, - "node_modules/read-pkg/node_modules/semver": { - "version": "5.7.1", - "resolved": "https://registry.npmjs.org/semver/-/semver-5.7.1.tgz", - "integrity": "sha512-sauaDf/PZdVgrLTNYHRtpXa1iRiKcaebiKQ1BJdpQlWH2lCvexQdX55snPFyK7QzpudqbCI0qXFfOasHdyNDGQ==", - "dev": true, - "bin": { - "semver": "bin/semver" - } - }, - "node_modules/read-pkg/node_modules/type-fest": { - "version": "0.6.0", - "resolved": "https://registry.npmjs.org/type-fest/-/type-fest-0.6.0.tgz", - "integrity": "sha512-q+MB8nYR1KDLrgr4G5yemftpMC7/QLqVndBmEEdqzmNj5dcFOO4Oo8qlwZE3ULT3+Zim1F8Kq4cBnikNhlCMlg==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/readable-stream": { - "version": "2.3.8", - "resolved": "https://registry.npmjs.org/readable-stream/-/readable-stream-2.3.8.tgz", - "integrity": "sha512-8p0AUk4XODgIewSi0l8Epjs+EVnWiK7NoDIEGU0HhE7+ZyY8D1IMY7odu5lRrFXGg71L15KG8QrPmum45RTtdA==", - "dev": true, - "dependencies": { - "core-util-is": "~1.0.0", - "inherits": "~2.0.3", - "isarray": "~1.0.0", - "process-nextick-args": "~2.0.0", - "safe-buffer": "~5.1.1", - "string_decoder": "~1.1.1", - "util-deprecate": "~1.0.1" - } - }, - "node_modules/readdirp": { - "version": "3.6.0", - "resolved": "https://registry.npmjs.org/readdirp/-/readdirp-3.6.0.tgz", - "integrity": "sha512-hOS089on8RduqdbhvQ5Z37A0ESjsqz6qnRcffsMU3495FuTdqSm+7bhJ29JvIOsBDEEnan5DPu9t3To9VRlMzA==", - "dependencies": { - "picomatch": "^2.2.1" - }, - "engines": { - "node": ">=8.10.0" - } - }, - "node_modules/rechoir": { - "version": "0.6.2", - "resolved": "https://registry.npmjs.org/rechoir/-/rechoir-0.6.2.tgz", - "integrity": "sha512-HFM8rkZ+i3zrV+4LQjwQ0W+ez98pApMGM3HUrN04j3CqzPOzl9nmP15Y8YXNm8QHGv/eacOVEjqhmWpkRV0NAw==", - "dependencies": { - "resolve": "^1.1.6" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/redent": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/redent/-/redent-3.0.0.tgz", - "integrity": "sha512-6tDA8g98We0zd0GvVeMT9arEOnTw9qM03L9cJXaCjrip1OO764RDBLBfrB4cwzNGDj5OA5ioymC9GkizgWJDUg==", - "dev": true, - "dependencies": { - "indent-string": "^4.0.0", - "strip-indent": "^3.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/regex-not": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/regex-not/-/regex-not-1.0.2.tgz", - "integrity": "sha512-J6SDjUgDxQj5NusnOtdFxDwN/+HWykR8GELwctJ7mdqhcyy1xEc4SRFHUXvxTp661YaVKAjfRLZ9cCqS6tn32A==", - "dev": true, - "dependencies": { - "extend-shallow": "^3.0.2", - "safe-regex": "^1.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/regex-not/node_modules/extend-shallow": { - "version": "3.0.2", - "resolved": "https://registry.npmjs.org/extend-shallow/-/extend-shallow-3.0.2.tgz", - "integrity": "sha512-BwY5b5Ql4+qZoefgMj2NUmx+tehVTH/Kf4k1ZEtOHNFcm2wSxMRo992l6X3TIgni2eZVTZ85xMOjF31fwZAj6Q==", - "dev": true, - "dependencies": { - "assign-symbols": "^1.0.0", - "is-extendable": "^1.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/regexp.prototype.flags": { - "version": "1.5.0", - "resolved": "https://registry.npmjs.org/regexp.prototype.flags/-/regexp.prototype.flags-1.5.0.tgz", - "integrity": "sha512-0SutC3pNudRKgquxGoRGIz946MZVHqbNfPjBdxeOhBrdgDKlRoXmYLQN9xRbrR09ZXWeGAdPuif7egofn6v5LA==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2", - "define-properties": "^1.2.0", - "functions-have-names": "^1.2.3" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/registry-auth-token": { - "version": "5.0.2", - "resolved": "https://registry.npmjs.org/registry-auth-token/-/registry-auth-token-5.0.2.tgz", - "integrity": "sha512-o/3ikDxtXaA59BmZuZrJZDJv8NMDGSj+6j6XaeBmHw8eY1i1qd9+6H+LjVvQXx3HN6aRCGa1cUdJ9RaJZUugnQ==", - "dev": true, - "dependencies": { - "@pnpm/npm-conf": "^2.1.0" - }, - "engines": { - "node": ">=14" - } - }, - "node_modules/registry-url": { - "version": "6.0.1", - "resolved": "https://registry.npmjs.org/registry-url/-/registry-url-6.0.1.tgz", - "integrity": "sha512-+crtS5QjFRqFCoQmvGduwYWEBng99ZvmFvF+cUJkGYF1L1BfU8C6Zp9T7f5vPAwyLkUExpvK+ANVZmGU49qi4Q==", - "dev": true, - "dependencies": { - "rc": "1.2.8" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it": { - "version": "15.10.1", - "resolved": "https://registry.npmjs.org/release-it/-/release-it-15.10.1.tgz", - "integrity": "sha512-Wkk4aFHSo27vQwHIlcEy77lJwnQlh4UDQckc53gh5tKo7F22mAUEAe8SYQZJcFh7icdkf0OV70onhB1dDmeClA==", - "dev": true, - "dependencies": { - "@iarna/toml": "2.2.5", - "@octokit/rest": "19.0.7", - "async-retry": "1.3.3", - "chalk": "5.2.0", - "cosmiconfig": "8.1.3", - "execa": "7.1.1", - "git-url-parse": "13.1.0", - "globby": "13.1.3", - "got": "12.6.0", - "inquirer": "9.1.5", - "is-ci": "3.0.1", - "issue-parser": "6.0.0", - "lodash": "4.17.21", - "mime-types": "2.1.35", - "new-github-release-url": "2.0.0", - "node-fetch": "3.3.1", - "open": "9.1.0", - "ora": "6.3.0", - "os-name": "5.1.0", - "promise.allsettled": "1.0.6", - "proxy-agent": "5.0.0", - "semver": "7.3.8", - "shelljs": "0.8.5", - "update-notifier": "6.0.2", - "url-join": "5.0.0", - "wildcard-match": "5.1.2", - "yargs-parser": "21.1.1" - }, - "bin": { - "release-it": "bin/release-it.js" - }, - "engines": { - "node": ">=14.9" - } - }, - "node_modules/release-it/node_modules/ansi-escapes": { - "version": "6.2.0", - "resolved": "https://registry.npmjs.org/ansi-escapes/-/ansi-escapes-6.2.0.tgz", - "integrity": "sha512-kzRaCqXnpzWs+3z5ABPQiVke+iq0KXkHo8xiWV4RPTi5Yli0l97BEQuhXV1s7+aSU/fu1kUuxgS4MsQ0fRuygw==", - "dev": true, - "dependencies": { - "type-fest": "^3.0.0" - }, - "engines": { - "node": ">=14.16" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/ansi-regex": { - "version": "6.0.1", - "resolved": "https://registry.npmjs.org/ansi-regex/-/ansi-regex-6.0.1.tgz", - "integrity": "sha512-n5M855fKb2SsfMIiFFoVrABHJC8QtHwVx+mHWP3QcEqBHYienj5dHSgjbxtC0WEZXYt4wcD6zrQElDPhFuZgfA==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/chalk/ansi-regex?sponsor=1" - } - }, - "node_modules/release-it/node_modules/ansi-styles": { - "version": "6.2.1", - "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-6.2.1.tgz", - "integrity": "sha512-bN798gFfQX+viw3R7yrGWRqnrN2oRkEkUjjl4JNn4E8GxxbjtG3FbrEIIY3l8/hrwUwIeCZvi4QuOTP4MErVug==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/chalk/ansi-styles?sponsor=1" - } - }, - "node_modules/release-it/node_modules/chalk": { - "version": "5.2.0", - "resolved": "https://registry.npmjs.org/chalk/-/chalk-5.2.0.tgz", - "integrity": "sha512-ree3Gqw/nazQAPuJJEy+avdl7QfZMcUvmHIKgEZkGL+xOBzRvup5Hxo6LHuMceSxOabuJLJm5Yp/92R9eMmMvA==", - "dev": true, - "engines": { - "node": "^12.17.0 || ^14.13 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/chalk/chalk?sponsor=1" - } - }, - "node_modules/release-it/node_modules/cli-cursor": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/cli-cursor/-/cli-cursor-4.0.0.tgz", - "integrity": "sha512-VGtlMu3x/4DOtIUwEkRezxUZ2lBacNJCHash0N0WeZDBS+7Ux1dm3XWAgWYxLJFMMdOeXMHXorshEFhbMSGelg==", - "dev": true, - "dependencies": { - "restore-cursor": "^4.0.0" - }, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/cli-width": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/cli-width/-/cli-width-4.0.0.tgz", - "integrity": "sha512-ZksGS2xpa/bYkNzN3BAw1wEjsLV/ZKOf/CCrJ/QOBsxx6fOARIkwTutxp1XIOIohi6HKmOFjMoK/XaqDVUpEEw==", - "dev": true, - "engines": { - "node": ">= 12" - } - }, - "node_modules/release-it/node_modules/emoji-regex": { - "version": "9.2.2", - "resolved": "https://registry.npmjs.org/emoji-regex/-/emoji-regex-9.2.2.tgz", - "integrity": "sha512-L18DaJsXSUk2+42pv8mLs5jJT2hqFkFE4j21wOmgbUqsZ2hL72NsUU785g9RXgo3s0ZNgVl42TiHp3ZtOv/Vyg==", - "dev": true - }, - "node_modules/release-it/node_modules/escape-string-regexp": { - "version": "5.0.0", - "resolved": "https://registry.npmjs.org/escape-string-regexp/-/escape-string-regexp-5.0.0.tgz", - "integrity": "sha512-/veY75JbMK4j1yjvuUxuVsiS/hr/4iHs9FTT6cgTexxdE0Ly/glccBAkloH/DofkjRbZU3bnoj38mOmhkZ0lHw==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/execa": { - "version": "7.1.1", - "resolved": "https://registry.npmjs.org/execa/-/execa-7.1.1.tgz", - "integrity": "sha512-wH0eMf/UXckdUYnO21+HDztteVv05rq2GXksxT4fCGeHkBhw1DROXh40wcjMcRqDOWE7iPJ4n3M7e2+YFP+76Q==", - "dev": true, - "dependencies": { - "cross-spawn": "^7.0.3", - "get-stream": "^6.0.1", - "human-signals": "^4.3.0", - "is-stream": "^3.0.0", - "merge-stream": "^2.0.0", - "npm-run-path": "^5.1.0", - "onetime": "^6.0.0", - "signal-exit": "^3.0.7", - "strip-final-newline": "^3.0.0" - }, - "engines": { - "node": "^14.18.0 || ^16.14.0 || >=18.0.0" - }, - "funding": { - "url": "https://github.com/sindresorhus/execa?sponsor=1" - } - }, - "node_modules/release-it/node_modules/figures": { - "version": "5.0.0", - "resolved": "https://registry.npmjs.org/figures/-/figures-5.0.0.tgz", - "integrity": "sha512-ej8ksPF4x6e5wvK9yevct0UCXh8TTFlWGVLlgjZuoBH1HwjIfKE/IdL5mq89sFA7zELi1VhKpmtDnrs7zWyeyg==", - "dev": true, - "dependencies": { - "escape-string-regexp": "^5.0.0", - "is-unicode-supported": "^1.2.0" - }, - "engines": { - "node": ">=14" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/globby": { - "version": "13.1.3", - "resolved": "https://registry.npmjs.org/globby/-/globby-13.1.3.tgz", - "integrity": "sha512-8krCNHXvlCgHDpegPzleMq07yMYTO2sXKASmZmquEYWEmCx6J5UTRbp5RwMJkTJGtcQ44YpiUYUiN0b9mzy8Bw==", - "dev": true, - "dependencies": { - "dir-glob": "^3.0.1", - "fast-glob": "^3.2.11", - "ignore": "^5.2.0", - "merge2": "^1.4.1", - "slash": "^4.0.0" - }, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/human-signals": { - "version": "4.3.1", - "resolved": "https://registry.npmjs.org/human-signals/-/human-signals-4.3.1.tgz", - "integrity": "sha512-nZXjEF2nbo7lIw3mgYjItAfgQXog3OjJogSbKa2CQIIvSGWcKgeJnQlNXip6NglNzYH45nSRiEVimMvYL8DDqQ==", - "dev": true, - "engines": { - "node": ">=14.18.0" - } - }, - "node_modules/release-it/node_modules/inquirer": { - "version": "9.1.5", - "resolved": "https://registry.npmjs.org/inquirer/-/inquirer-9.1.5.tgz", - "integrity": "sha512-3ygAIh8gcZavV9bj6MTdYddG2zPSYswP808fKS46NOwlF0zZljVpnLCHODDqItWJDbDpLb3aouAxGaJbkxoppA==", - "dev": true, - "dependencies": { - "ansi-escapes": "^6.0.0", - "chalk": "^5.2.0", - "cli-cursor": "^4.0.0", - "cli-width": "^4.0.0", - "external-editor": "^3.0.3", - "figures": "^5.0.0", - "lodash": "^4.17.21", - "mute-stream": "1.0.0", - "ora": "^6.1.2", - "run-async": "^2.4.0", - "rxjs": "^7.8.0", - "string-width": "^5.1.2", - "strip-ansi": "^7.0.1", - "through": "^2.3.6", - "wrap-ansi": "^8.1.0" - }, - "engines": { - "node": ">=14.18.0" - } - }, - "node_modules/release-it/node_modules/is-interactive": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/is-interactive/-/is-interactive-2.0.0.tgz", - "integrity": "sha512-qP1vozQRI+BMOPcjFzrjXuQvdak2pHNUMZoeG2eRbiSqyvbEf/wQtEOTOX1guk6E3t36RkaqiSt8A/6YElNxLQ==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/is-stream": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/is-stream/-/is-stream-3.0.0.tgz", - "integrity": "sha512-LnQR4bZ9IADDRSkvpqMGvt/tEJWclzklNgSw48V5EAaAeDd6qGvN8ei6k5p0tvxSR171VmGyHuTiAOfxAbr8kA==", - "dev": true, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/is-unicode-supported": { - "version": "1.3.0", - "resolved": "https://registry.npmjs.org/is-unicode-supported/-/is-unicode-supported-1.3.0.tgz", - "integrity": "sha512-43r2mRvz+8JRIKnWJ+3j8JtjRKZ6GmjzfaE/qiBJnikNnYv/6bagRJ1kUhNk8R5EX/GkobD+r+sfxCPJsiKBLQ==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/log-symbols": { - "version": "5.1.0", - "resolved": "https://registry.npmjs.org/log-symbols/-/log-symbols-5.1.0.tgz", - "integrity": "sha512-l0x2DvrW294C9uDCoQe1VSU4gf529FkSZ6leBl4TiqZH/e+0R7hSfHQBNut2mNygDgHwvYHfFLn6Oxb3VWj2rA==", - "dev": true, - "dependencies": { - "chalk": "^5.0.0", - "is-unicode-supported": "^1.1.0" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/macos-release": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/macos-release/-/macos-release-3.1.0.tgz", - "integrity": "sha512-/M/R0gCDgM+Cv1IuBG1XGdfTFnMEG6PZeT+KGWHO/OG+imqmaD9CH5vHBTycEM3+Kc4uG2Il+tFAuUWLqQOeUA==", - "dev": true, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/mimic-fn": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/mimic-fn/-/mimic-fn-4.0.0.tgz", - "integrity": "sha512-vqiC06CuhBTUdZH+RYl8sFrL096vA45Ok5ISO6sE/Mr1jRbGH4Csnhi8f3wKVl7x8mO4Au7Ir9D3Oyv1VYMFJw==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/mute-stream": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/mute-stream/-/mute-stream-1.0.0.tgz", - "integrity": "sha512-avsJQhyd+680gKXyG/sQc0nXaC6rBkPOfyHYcFb9+hdkqQkR9bdnkJ0AMZhke0oesPqIO+mFFJ+IdBc7mst4IA==", - "dev": true, - "engines": { - "node": "^14.17.0 || ^16.13.0 || >=18.0.0" - } - }, - "node_modules/release-it/node_modules/npm-run-path": { - "version": "5.1.0", - "resolved": "https://registry.npmjs.org/npm-run-path/-/npm-run-path-5.1.0.tgz", - "integrity": "sha512-sJOdmRGrY2sjNTRMbSvluQqg+8X7ZK61yvzBEIDhz4f8z1TZFYABsqjjCBd/0PUNE9M6QDgHJXQkGUEm7Q+l9Q==", - "dev": true, - "dependencies": { - "path-key": "^4.0.0" - }, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/onetime": { - "version": "6.0.0", - "resolved": "https://registry.npmjs.org/onetime/-/onetime-6.0.0.tgz", - "integrity": "sha512-1FlR+gjXK7X+AsAHso35MnyN5KqGwJRi/31ft6x0M194ht7S+rWAvd7PHss9xSKMzE0asv1pyIHaJYq+BbacAQ==", - "dev": true, - "dependencies": { - "mimic-fn": "^4.0.0" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/ora": { - "version": "6.3.0", - "resolved": "https://registry.npmjs.org/ora/-/ora-6.3.0.tgz", - "integrity": "sha512-1/D8uRFY0ay2kgBpmAwmSA404w4OoPVhHMqRqtjvrcK/dnzcEZxMJ+V4DUbyICu8IIVRclHcOf5wlD1tMY4GUQ==", - "dev": true, - "dependencies": { - "chalk": "^5.0.0", - "cli-cursor": "^4.0.0", - "cli-spinners": "^2.6.1", - "is-interactive": "^2.0.0", - "is-unicode-supported": "^1.1.0", - "log-symbols": "^5.1.0", - "stdin-discarder": "^0.1.0", - "strip-ansi": "^7.0.1", - "wcwidth": "^1.0.1" - }, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/os-name": { - "version": "5.1.0", - "resolved": "https://registry.npmjs.org/os-name/-/os-name-5.1.0.tgz", - "integrity": "sha512-YEIoAnM6zFmzw3PQ201gCVCIWbXNyKObGlVvpAVvraAeOHnlYVKFssbA/riRX5R40WA6kKrZ7Dr7dWzO3nKSeQ==", - "dev": true, - "dependencies": { - "macos-release": "^3.1.0", - "windows-release": "^5.0.1" - }, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/path-key": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/path-key/-/path-key-4.0.0.tgz", - "integrity": "sha512-haREypq7xkM7ErfgIyA0z+Bj4AGKlMSdlQE2jvJo6huWD1EdkKYV+G/T4nq0YEF2vgTT8kqMFKo1uHn950r4SQ==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/restore-cursor": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/restore-cursor/-/restore-cursor-4.0.0.tgz", - "integrity": "sha512-I9fPXU9geO9bHOt9pHHOhOkYerIMsmVaWB0rA2AI9ERh/+x/i7MV5HKBNrg+ljO5eoPVgCcnFuRjJ9uH6I/3eg==", - "dev": true, - "dependencies": { - "onetime": "^5.1.0", - "signal-exit": "^3.0.2" - }, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/restore-cursor/node_modules/mimic-fn": { - "version": "2.1.0", - "resolved": "https://registry.npmjs.org/mimic-fn/-/mimic-fn-2.1.0.tgz", - "integrity": "sha512-OqbOk5oEQeAZ8WXWydlu9HJjz9WVdEIvamMCcXmuqUYjTknH/sqsWvhQ3vgwKFRR1HpjvNBKQ37nbJgYzGqGcg==", - "dev": true, - "engines": { - "node": ">=6" - } - }, - "node_modules/release-it/node_modules/restore-cursor/node_modules/onetime": { - "version": "5.1.2", - "resolved": "https://registry.npmjs.org/onetime/-/onetime-5.1.2.tgz", - "integrity": "sha512-kbpaSSGJTWdAY5KPVeMOKXSrPtr8C8C7wodJbcsd51jRnmD+GZu8Y0VoU6Dm5Z4vWr0Ig/1NKuWRKf7j5aaYSg==", - "dev": true, - "dependencies": { - "mimic-fn": "^2.1.0" - }, - "engines": { - "node": ">=6" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/rxjs": { - "version": "7.8.1", - "resolved": "https://registry.npmjs.org/rxjs/-/rxjs-7.8.1.tgz", - "integrity": "sha512-AA3TVj+0A2iuIoQkWEK/tqFjBq2j+6PO6Y0zJcvzLAFhEFIO3HL0vls9hWLncZbAAbK0mar7oZ4V079I/qPMxg==", - "dev": true, - "dependencies": { - "tslib": "^2.1.0" - } - }, - "node_modules/release-it/node_modules/slash": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/slash/-/slash-4.0.0.tgz", - "integrity": "sha512-3dOsAHXXUkQTpOYcoAxLIorMTp4gIQr5IW3iVb7A7lFIp0VHhnynm9izx6TssdrIcVIESAlVjtnO2K8bg+Coew==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/string-width": { - "version": "5.1.2", - "resolved": "https://registry.npmjs.org/string-width/-/string-width-5.1.2.tgz", - "integrity": "sha512-HnLOCR3vjcY8beoNLtcjZ5/nxn2afmME6lhrDrebokqMap+XbeW8n9TXpPDOqdGK5qcI3oT0GKTW6wC7EMiVqA==", - "dev": true, - "dependencies": { - "eastasianwidth": "^0.2.0", - "emoji-regex": "^9.2.2", - "strip-ansi": "^7.0.1" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/strip-ansi": { - "version": "7.0.1", - "resolved": "https://registry.npmjs.org/strip-ansi/-/strip-ansi-7.0.1.tgz", - "integrity": "sha512-cXNxvT8dFNRVfhVME3JAe98mkXDYN2O1l7jmcwMnOslDeESg1rF/OZMtK0nRAhiari1unG5cD4jG3rapUAkLbw==", - "dev": true, - "dependencies": { - "ansi-regex": "^6.0.1" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/chalk/strip-ansi?sponsor=1" - } - }, - "node_modules/release-it/node_modules/strip-final-newline": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/strip-final-newline/-/strip-final-newline-3.0.0.tgz", - "integrity": "sha512-dOESqjYr96iWYylGObzd39EuNTa5VJxyvVAEm5Jnh7KGo75V43Hk1odPQkNDyXNmUR6k+gEiDVXnjB8HJ3crXw==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/type-fest": { - "version": "3.9.0", - "resolved": "https://registry.npmjs.org/type-fest/-/type-fest-3.9.0.tgz", - "integrity": "sha512-hR8JP2e8UiH7SME5JZjsobBlEiatFoxpzCP+R3ZeCo7kAaG1jXQE5X/buLzogM6GJu8le9Y4OcfNuIQX0rZskA==", - "dev": true, - "engines": { - "node": ">=14.16" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/windows-release": { - "version": "5.1.0", - "resolved": "https://registry.npmjs.org/windows-release/-/windows-release-5.1.0.tgz", - "integrity": "sha512-CddHecz5dt0ngTjGPP1uYr9Tjl4qq5rEKNk8UGb8XCdngNXI+GRYvqelD055FdiUgqODZz3R/5oZWYldPtXQpA==", - "dev": true, - "dependencies": { - "execa": "^5.1.1" - }, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/windows-release/node_modules/execa": { - "version": "5.1.1", - "resolved": "https://registry.npmjs.org/execa/-/execa-5.1.1.tgz", - "integrity": "sha512-8uSpZZocAZRBAPIEINJj3Lo9HyGitllczc27Eh5YYojjMFMn8yHMDMaUHE2Jqfq05D/wucwI4JGURyXt1vchyg==", - "dev": true, - "dependencies": { - "cross-spawn": "^7.0.3", - "get-stream": "^6.0.0", - "human-signals": "^2.1.0", - "is-stream": "^2.0.0", - "merge-stream": "^2.0.0", - "npm-run-path": "^4.0.1", - "onetime": "^5.1.2", - "signal-exit": "^3.0.3", - "strip-final-newline": "^2.0.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sindresorhus/execa?sponsor=1" - } - }, - "node_modules/release-it/node_modules/windows-release/node_modules/human-signals": { - "version": "2.1.0", - "resolved": "https://registry.npmjs.org/human-signals/-/human-signals-2.1.0.tgz", - "integrity": "sha512-B4FFZ6q/T2jhhksgkbEW3HBvWIfDW85snkQgawt07S7J5QXTk6BkNV+0yAeZrM5QpMAdYlocGoljn0sJ/WQkFw==", - "dev": true, - "engines": { - "node": ">=10.17.0" - } - }, - "node_modules/release-it/node_modules/windows-release/node_modules/is-stream": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/is-stream/-/is-stream-2.0.1.tgz", - "integrity": "sha512-hFoiJiTl63nn+kstHGBtewWSKnQLpyb155KHheA1l39uvtO9nWIop1p3udqPcUd/xbF1VLMO4n7OI6p7RbngDg==", - "dev": true, - "engines": { - "node": ">=8" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/windows-release/node_modules/mimic-fn": { - "version": "2.1.0", - "resolved": "https://registry.npmjs.org/mimic-fn/-/mimic-fn-2.1.0.tgz", - "integrity": "sha512-OqbOk5oEQeAZ8WXWydlu9HJjz9WVdEIvamMCcXmuqUYjTknH/sqsWvhQ3vgwKFRR1HpjvNBKQ37nbJgYzGqGcg==", - "dev": true, - "engines": { - "node": ">=6" - } - }, - "node_modules/release-it/node_modules/windows-release/node_modules/npm-run-path": { - "version": "4.0.1", - "resolved": "https://registry.npmjs.org/npm-run-path/-/npm-run-path-4.0.1.tgz", - "integrity": "sha512-S48WzZW777zhNIrn7gxOlISNAqi9ZC/uQFnRdbeIHhZhCA6UqpkOT8T1G7BvfdgP4Er8gF4sUbaS0i7QvIfCWw==", - "dev": true, - "dependencies": { - "path-key": "^3.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/release-it/node_modules/windows-release/node_modules/onetime": { - "version": "5.1.2", - "resolved": "https://registry.npmjs.org/onetime/-/onetime-5.1.2.tgz", - "integrity": "sha512-kbpaSSGJTWdAY5KPVeMOKXSrPtr8C8C7wodJbcsd51jRnmD+GZu8Y0VoU6Dm5Z4vWr0Ig/1NKuWRKf7j5aaYSg==", - "dev": true, - "dependencies": { - "mimic-fn": "^2.1.0" - }, - "engines": { - "node": ">=6" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/release-it/node_modules/windows-release/node_modules/path-key": { - "version": "3.1.1", - "resolved": "https://registry.npmjs.org/path-key/-/path-key-3.1.1.tgz", - "integrity": "sha512-ojmeN0qd+y0jszEtoY48r0Peq5dwMEkIlCOu6Q5f41lfkswXuKtYrhgoTpLnyIcHm24Uhqx+5Tqm2InSwLhE6Q==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/release-it/node_modules/windows-release/node_modules/strip-final-newline": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/strip-final-newline/-/strip-final-newline-2.0.0.tgz", - "integrity": "sha512-BrpvfNAE3dcvq7ll3xVumzjKjZQ5tI1sEUIKr3Uoks0XUl45St3FlatVqef9prk4jRDzhW6WZg+3bk93y6pLjA==", - "dev": true, - "engines": { - "node": ">=6" - } - }, - "node_modules/release-it/node_modules/wrap-ansi": { - "version": "8.1.0", - "resolved": "https://registry.npmjs.org/wrap-ansi/-/wrap-ansi-8.1.0.tgz", - "integrity": "sha512-si7QWI6zUMq56bESFvagtmzMdGOtoxfR+Sez11Mobfc7tm+VkUckk9bW2UeffTGVUbOksxmSw0AA2gs8g71NCQ==", - "dev": true, - "dependencies": { - "ansi-styles": "^6.1.0", - "string-width": "^5.0.1", - "strip-ansi": "^7.0.1" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/chalk/wrap-ansi?sponsor=1" - } - }, - "node_modules/remove-bom-buffer": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/remove-bom-buffer/-/remove-bom-buffer-3.0.0.tgz", - "integrity": "sha512-8v2rWhaakv18qcvNeli2mZ/TMTL2nEyAKRvzo1WtnZBl15SHyEhrCu2/xKlJyUFKHiHgfXIyuY6g2dObJJycXQ==", - "dev": true, - "dependencies": { - "is-buffer": "^1.1.5", - "is-utf8": "^0.2.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/remove-bom-stream": { - "version": "1.2.0", - "resolved": "https://registry.npmjs.org/remove-bom-stream/-/remove-bom-stream-1.2.0.tgz", - "integrity": "sha512-wigO8/O08XHb8YPzpDDT+QmRANfW6vLqxfaXm1YXhnFf3AkSLyjfG3GEFg4McZkmgL7KvCj5u2KczkvSP6NfHA==", - "dev": true, - "dependencies": { - "remove-bom-buffer": "^3.0.0", - "safe-buffer": "^5.1.0", - "through2": "^2.0.3" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/remove-bom-stream/node_modules/through2": { - "version": "2.0.5", - "resolved": "https://registry.npmjs.org/through2/-/through2-2.0.5.tgz", - "integrity": "sha512-/mrRod8xqpA+IHSLyGCQ2s8SPHiCDEeQJSep1jqLYeEUClOFG2Qsh+4FU6G9VeqpZnGW/Su8LQGc4YKni5rYSQ==", - "dev": true, - "dependencies": { - "readable-stream": "~2.3.6", - "xtend": "~4.0.1" - } - }, - "node_modules/remove-trailing-separator": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/remove-trailing-separator/-/remove-trailing-separator-1.1.0.tgz", - "integrity": "sha512-/hS+Y0u3aOfIETiaiirUFwDBDzmXPvO+jAfKTitUngIPzdKc6Z0LoFjM/CK5PL4C+eKwHohlHAb6H0VFfmmUsw==", - "dev": true - }, - "node_modules/repeat-element": { - "version": "1.1.4", - "resolved": "https://registry.npmjs.org/repeat-element/-/repeat-element-1.1.4.tgz", - "integrity": "sha512-LFiNfRcSu7KK3evMyYOuCzv3L10TW7yC1G2/+StMjK8Y6Vqd2MG7r/Qjw4ghtuCOjFvlnms/iMmLqpvW/ES/WQ==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/repeat-string": { - "version": "1.6.1", - "resolved": "https://registry.npmjs.org/repeat-string/-/repeat-string-1.6.1.tgz", - "integrity": "sha512-PV0dzCYDNfRi1jCDbJzpW7jNNDRuCOG/jI5ctQcGKt/clZD+YcPS3yIlWuTJMmESC8aevCFmWJy5wjAFgNqN6w==", - "dev": true, - "engines": { - "node": ">=0.10" - } - }, - "node_modules/replace-ext": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/replace-ext/-/replace-ext-1.0.1.tgz", - "integrity": "sha512-yD5BHCe7quCgBph4rMQ+0KkIRKwWCrHDOX1p1Gp6HwjPM5kVoCdKGNhN7ydqqsX6lJEnQDKZ/tFMiEdQ1dvPEw==", - "dev": true, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/replace-homedir": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/replace-homedir/-/replace-homedir-1.0.0.tgz", - "integrity": "sha512-CHPV/GAglbIB1tnQgaiysb8H2yCy8WQ7lcEwQ/eT+kLj0QHV8LnJW0zpqpE7RSkrMSRoa+EBoag86clf7WAgSg==", - "dev": true, - "dependencies": { - "homedir-polyfill": "^1.0.1", - "is-absolute": "^1.0.0", - "remove-trailing-separator": "^1.1.0" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/require-directory": { - "version": "2.1.1", - "resolved": "https://registry.npmjs.org/require-directory/-/require-directory-2.1.1.tgz", - "integrity": "sha512-fGxEI7+wsG9xrvdjsrlmL22OMTTiHRwAMroiEeMgq8gzoLC/PQr7RsRDSTLUg/bZAZtF+TVIkHc6/4RIKrui+Q==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/require-from-string": { - "version": "2.0.2", - "resolved": "https://registry.npmjs.org/require-from-string/-/require-from-string-2.0.2.tgz", - "integrity": "sha512-Xf0nWe6RseziFMu+Ap9biiUbmplq6S9/p+7w7YXP/JBHhrUDDUhwa+vANyubuqfZWTveU//DYVGsDG7RKL/vEw==", - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/require-main-filename": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/require-main-filename/-/require-main-filename-1.0.1.tgz", - "integrity": "sha512-IqSUtOVP4ksd1C/ej5zeEh/BIP2ajqpn8c5x+q99gvcIG/Qf0cud5raVnE/Dwd0ua9TXYDoDc0RE5hBSdz22Ug==", - "dev": true - }, - "node_modules/resolve": { - "version": "1.22.2", - "resolved": "https://registry.npmjs.org/resolve/-/resolve-1.22.2.tgz", - "integrity": "sha512-Sb+mjNHOULsBv818T40qSPeRiuWLyaGMa5ewydRLFimneixmVy2zdivRl+AF6jaYPC8ERxGDmFSiqui6SfPd+g==", - "dependencies": { - "is-core-module": "^2.11.0", - "path-parse": "^1.0.7", - "supports-preserve-symlinks-flag": "^1.0.0" - }, - "bin": { - "resolve": "bin/resolve" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/resolve-alpn": { - "version": "1.2.1", - "resolved": "https://registry.npmjs.org/resolve-alpn/-/resolve-alpn-1.2.1.tgz", - "integrity": "sha512-0a1F4l73/ZFZOakJnQ3FvkJ2+gSTQWz/r2KE5OdDY0TxPm5h4GkqkWWfM47T7HsbnOtcJVEF4epCVy6u7Q3K+g==", - "dev": true - }, - "node_modules/resolve-cwd": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/resolve-cwd/-/resolve-cwd-3.0.0.tgz", - "integrity": "sha512-OrZaX2Mb+rJCpH/6CpSqt9xFVpN++x01XnN2ie9g6P5/3xelLAkXWVADpdz1IHD/KFfEXyE6V0U01OQ3UO2rEg==", - "dev": true, - "dependencies": { - "resolve-from": "^5.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/resolve-dir": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/resolve-dir/-/resolve-dir-1.0.1.tgz", - "integrity": "sha512-R7uiTjECzvOsWSfdM0QKFNBVFcK27aHOUwdvK53BcW8zqnGdYp0Fbj82cy54+2A4P2tFM22J5kRfe1R+lM/1yg==", - "dev": true, - "dependencies": { - "expand-tilde": "^2.0.0", - "global-modules": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/resolve-from": { - "version": "5.0.0", - "resolved": "https://registry.npmjs.org/resolve-from/-/resolve-from-5.0.0.tgz", - "integrity": "sha512-qYg9KP24dD5qka9J47d0aVky0N+b4fTU89LN9iDnjB5waksiC49rvMB0PrUJQGoTmH50XPiqOvAjDfaijGxYZw==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/resolve-global": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/resolve-global/-/resolve-global-1.0.0.tgz", - "integrity": "sha512-zFa12V4OLtT5XUX/Q4VLvTfBf+Ok0SPc1FNGM/z9ctUdiU618qwKpWnd0CHs3+RqROfyEg/DhuHbMWYqcgljEw==", - "dev": true, - "dependencies": { - "global-dirs": "^0.1.1" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/resolve-options": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/resolve-options/-/resolve-options-1.1.0.tgz", - "integrity": "sha512-NYDgziiroVeDC29xq7bp/CacZERYsA9bXYd1ZmcJlF3BcrZv5pTb4NG7SjdyKDnXZ84aC4vo2u6sNKIA1LCu/A==", - "dev": true, - "dependencies": { - "value-or-function": "^3.0.0" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/resolve-url": { - "version": "0.2.1", - "resolved": "https://registry.npmjs.org/resolve-url/-/resolve-url-0.2.1.tgz", - "integrity": "sha512-ZuF55hVUQaaczgOIwqWzkEcEidmlD/xl44x1UZnhOXcYuFN2S6+rcxpG+C1N3So0wvNI3DmJICUFfu2SxhBmvg==", - "deprecated": "https://github.com/lydell/resolve-url#deprecated", - "dev": true - }, - "node_modules/resolve.exports": { - "version": "2.0.2", - "resolved": "https://registry.npmjs.org/resolve.exports/-/resolve.exports-2.0.2.tgz", - "integrity": "sha512-X2UW6Nw3n/aMgDVy+0rSqgHlv39WZAlZrXCdnbyEiKm17DSqHX4MmQMaST3FbeWR5FTuRcUwYAziZajji0Y7mg==", - "dev": true, - "engines": { - "node": ">=10" - } - }, - "node_modules/responselike": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/responselike/-/responselike-3.0.0.tgz", - "integrity": "sha512-40yHxbNcl2+rzXvZuVkrYohathsSJlMTXKryG5y8uciHv1+xDLHQpgjG64JUO9nrEq2jGLH6IZ8BcZyw3wrweg==", - "dev": true, - "dependencies": { - "lowercase-keys": "^3.0.0" - }, - "engines": { - "node": ">=14.16" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/restore-cursor": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/restore-cursor/-/restore-cursor-3.1.0.tgz", - "integrity": "sha512-l+sSefzHpj5qimhFSE5a8nufZYAM3sBSVMAPtYkmC+4EH2anSGaEMXSD0izRQbu9nfyQ9y5JrVmp7E8oZrUjvA==", - "dependencies": { - "onetime": "^5.1.0", - "signal-exit": "^3.0.2" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/ret": { - "version": "0.1.15", - "resolved": "https://registry.npmjs.org/ret/-/ret-0.1.15.tgz", - "integrity": "sha512-TTlYpa+OL+vMMNG24xSlQGEJ3B/RzEfUlLct7b5G/ytav+wPrplCpVMFuwzXbkecJrb6IYo1iFb0S9v37754mg==", - "dev": true, - "engines": { - "node": ">=0.12" - } - }, - "node_modules/retry": { - "version": "0.13.1", - "resolved": "https://registry.npmjs.org/retry/-/retry-0.13.1.tgz", - "integrity": "sha512-XQBQ3I8W1Cge0Seh+6gjj03LbmRFWuoszgK9ooCpwYIrhhoO80pfq4cUkU5DkknwfOfFteRwlZ56PYOGYyFWdg==", - "dev": true, - "engines": { - "node": ">= 4" - } - }, - "node_modules/reusify": { - "version": "1.0.4", - "resolved": "https://registry.npmjs.org/reusify/-/reusify-1.0.4.tgz", - "integrity": "sha512-U9nH88a3fc/ekCF1l0/UP1IosiuIjyTh7hBvXVMHYgVcfGvt897Xguj2UOLDeI5BG2m7/uwyaLVT6fbtCwTyzw==", - "dev": true, - "engines": { - "iojs": ">=1.0.0", - "node": ">=0.10.0" - } - }, - "node_modules/rfdc": { - "version": "1.3.0", - "resolved": "https://registry.npmjs.org/rfdc/-/rfdc-1.3.0.tgz", - "integrity": "sha512-V2hovdzFbOi77/WajaSMXk2OLm+xNIeQdMMuB7icj7bk6zi2F8GGAxigcnDFpJHbNyNcgyJDiP+8nOrY5cZGrA==", - "dev": true - }, - "node_modules/rimraf": { - "version": "4.4.1", - "resolved": "https://registry.npmjs.org/rimraf/-/rimraf-4.4.1.tgz", - "integrity": "sha512-Gk8NlF062+T9CqNGn6h4tls3k6T1+/nXdOcSZVikNVtlRdYpA7wRJJMoXmuvOnLW844rPjdQ7JgXCYM6PPC/og==", - "dependencies": { - "glob": "^9.2.0" - }, - "bin": { - "rimraf": "dist/cjs/src/bin.js" - }, - "engines": { - "node": ">=14" - }, - "funding": { - "url": "https://github.com/sponsors/isaacs" - } - }, - "node_modules/rimraf/node_modules/brace-expansion": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/brace-expansion/-/brace-expansion-2.0.1.tgz", - "integrity": "sha512-XnAIvQ8eM+kC6aULx6wuQiwVsnzsi9d3WxzV3FpWTGA19F621kwdbsAcFKXgKUHZWsy+mY6iL1sHTxWEFCytDA==", - "dependencies": { - "balanced-match": "^1.0.0" - } - }, - "node_modules/rimraf/node_modules/glob": { - "version": "9.3.5", - "resolved": "https://registry.npmjs.org/glob/-/glob-9.3.5.tgz", - "integrity": "sha512-e1LleDykUz2Iu+MTYdkSsuWX8lvAjAcs0Xef0lNIu0S2wOAzuTxCJtcd9S3cijlwYF18EsU3rzb8jPVobxDh9Q==", - "dependencies": { - "fs.realpath": "^1.0.0", - "minimatch": "^8.0.2", - "minipass": "^4.2.4", - "path-scurry": "^1.6.1" - }, - "engines": { - "node": ">=16 || 14 >=14.17" - }, - "funding": { - "url": "https://github.com/sponsors/isaacs" - } - }, - "node_modules/rimraf/node_modules/minimatch": { - "version": "8.0.4", - "resolved": "https://registry.npmjs.org/minimatch/-/minimatch-8.0.4.tgz", - "integrity": "sha512-W0Wvr9HyFXZRGIDgCicunpQ299OKXs9RgZfaukz4qAW/pJhcpUfupc9c+OObPOFueNy8VSrZgEmDtk6Kh4WzDA==", - "dependencies": { - "brace-expansion": "^2.0.1" - }, - "engines": { - "node": ">=16 || 14 >=14.17" - }, - "funding": { - "url": "https://github.com/sponsors/isaacs" - } - }, - "node_modules/run-applescript": { - "version": "5.0.0", - "resolved": "https://registry.npmjs.org/run-applescript/-/run-applescript-5.0.0.tgz", - "integrity": "sha512-XcT5rBksx1QdIhlFOCtgZkB99ZEouFZ1E2Kc2LHqNW13U3/74YGdkQRmThTwxy4QIyookibDKYZOPqX//6BlAg==", - "dev": true, - "dependencies": { - "execa": "^5.0.0" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/run-async": { - "version": "2.4.1", - "resolved": "https://registry.npmjs.org/run-async/-/run-async-2.4.1.tgz", - "integrity": "sha512-tvVnVv01b8c1RrA6Ep7JkStj85Guv/YrMcwqYQnwjsAS2cTmmPGBBjAjpCW7RrSodNSoE2/qg9O4bceNvUuDgQ==", - "engines": { - "node": ">=0.12.0" - } - }, - "node_modules/run-parallel": { - "version": "1.2.0", - "resolved": "https://registry.npmjs.org/run-parallel/-/run-parallel-1.2.0.tgz", - "integrity": "sha512-5l4VyZR86LZ/lDxZTR6jqL8AFE2S0IFLMP26AbjsLVADxHdhB/c0GUsH+y39UfCi3dzz8OlQuPmnaJOMoDHQBA==", - "dev": true, - "funding": [ - { - "type": "github", - "url": "https://github.com/sponsors/feross" - }, - { - "type": "patreon", - "url": "https://www.patreon.com/feross" - }, - { - "type": "consulting", - "url": "https://feross.org/support" - } - ], - "dependencies": { - "queue-microtask": "^1.2.2" - } - }, - "node_modules/rxjs": { - "version": "6.6.7", - "resolved": "https://registry.npmjs.org/rxjs/-/rxjs-6.6.7.tgz", - "integrity": "sha512-hTdwr+7yYNIT5n4AMYp85KA6yw2Va0FLa3Rguvbpa4W3I5xynaBZo41cM3XM+4Q6fRMj3sBYIR1VAmZMXYJvRQ==", - "dependencies": { - "tslib": "^1.9.0" - }, - "engines": { - "npm": ">=2.0.0" - } - }, - "node_modules/rxjs/node_modules/tslib": { - "version": "1.14.1", - "resolved": "https://registry.npmjs.org/tslib/-/tslib-1.14.1.tgz", - "integrity": "sha512-Xni35NKzjgMrwevysHTCArtLDpPvye8zV/0E4EyYn43P7/7qvQwPh9BGkHewbMulVntbigmcT7rdX3BNo9wRJg==" - }, - "node_modules/safe-buffer": { - "version": "5.1.2", - "resolved": "https://registry.npmjs.org/safe-buffer/-/safe-buffer-5.1.2.tgz", - "integrity": "sha512-Gd2UZBJDkXlY7GbJxfsE8/nvKkUEU1G38c1siN6QP6a9PT9MmHB8GnpscSmMJSoF8LOIrt8ud/wPtojys4G6+g==" - }, - "node_modules/safe-regex": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/safe-regex/-/safe-regex-1.1.0.tgz", - "integrity": "sha512-aJXcif4xnaNUzvUuC5gcb46oTS7zvg4jpMTnuqtrEPlR3vFr4pxtdTwaF1Qs3Enjn9HK+ZlwQui+a7z0SywIzg==", - "dev": true, - "dependencies": { - "ret": "~0.1.10" - } - }, - "node_modules/safe-regex-test": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/safe-regex-test/-/safe-regex-test-1.0.0.tgz", - "integrity": "sha512-JBUUzyOgEwXQY1NuPtvcj/qcBDbDmEvWufhlnXZIm75DEHp+afM1r1ujJpJsV/gSM4t59tpDyPi1sd6ZaPFfsA==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2", - "get-intrinsic": "^1.1.3", - "is-regex": "^1.1.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/safer-buffer": { - "version": "2.1.2", - "resolved": "https://registry.npmjs.org/safer-buffer/-/safer-buffer-2.1.2.tgz", - "integrity": "sha512-YZo3K82SD7Riyi0E1EQPojLz7kpepnSQI9IyPbHHg1XXXevb5dJI7tpyN2ADxGcQbHG7vcyRHk0cbwqcQriUtg==" - }, - "node_modules/schema-utils": { - "version": "3.1.2", - "resolved": "https://registry.npmjs.org/schema-utils/-/schema-utils-3.1.2.tgz", - "integrity": "sha512-pvjEHOgWc9OWA/f/DE3ohBWTD6EleVLf7iFUkoSwAxttdBhB9QUebQgxER2kWueOvRJXPHNnyrvvh9eZINB8Eg==", - "dependencies": { - "@types/json-schema": "^7.0.8", - "ajv": "^6.12.5", - "ajv-keywords": "^3.5.2" - }, - "engines": { - "node": ">= 10.13.0" - }, - "funding": { - "type": "opencollective", - "url": "https://opencollective.com/webpack" - } - }, - "node_modules/schema-utils/node_modules/ajv": { - "version": "6.12.6", - "resolved": "https://registry.npmjs.org/ajv/-/ajv-6.12.6.tgz", - "integrity": "sha512-j3fVLgvTo527anyYyJOGTYJbG+vnnQYvE0m5mmkc1TK+nxAppkCLMIL0aZ4dblVCNoGShhm+kzE4ZUykBoMg4g==", - "dependencies": { - "fast-deep-equal": "^3.1.1", - "fast-json-stable-stringify": "^2.0.0", - "json-schema-traverse": "^0.4.1", - "uri-js": "^4.2.2" - }, - "funding": { - "type": "github", - "url": "https://github.com/sponsors/epoberezkin" - } - }, - "node_modules/schema-utils/node_modules/ajv-keywords": { - "version": "3.5.2", - "resolved": "https://registry.npmjs.org/ajv-keywords/-/ajv-keywords-3.5.2.tgz", - "integrity": "sha512-5p6WTN0DdTGVQk6VjcEju19IgaHudalcfabD7yhDGeA6bcQnmL+CpveLJq/3hvfwd1aof6L386Ougkx6RfyMIQ==", - "peerDependencies": { - "ajv": "^6.9.1" - } - }, - "node_modules/schema-utils/node_modules/json-schema-traverse": { - "version": "0.4.1", - "resolved": "https://registry.npmjs.org/json-schema-traverse/-/json-schema-traverse-0.4.1.tgz", - "integrity": "sha512-xbbCH5dCYU5T8LcEhhuh7HJ88HXuW3qsI3Y0zOZFKfZEHcpWiHU/Jxzk629Brsab/mMiHQti9wMP+845RPe3Vg==" - }, - "node_modules/semver": { - "version": "7.3.8", - "resolved": "https://registry.npmjs.org/semver/-/semver-7.3.8.tgz", - "integrity": "sha512-NB1ctGL5rlHrPJtFDVIVzTyQylMLu9N9VICA6HSFJo8MCGVTMW6gfpicwKmmK/dAjTOrqu5l63JJOpDSrAis3A==", - "dependencies": { - "lru-cache": "^6.0.0" - }, - "bin": { - "semver": "bin/semver.js" - }, - "engines": { - "node": ">=10" - } - }, - "node_modules/semver-diff": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/semver-diff/-/semver-diff-4.0.0.tgz", - "integrity": "sha512-0Ju4+6A8iOnpL/Thra7dZsSlOHYAHIeMxfhWQRI1/VLcT3WDBZKKtQt/QkBOsiIN9ZpuvHE6cGZ0x4glCMmfiA==", - "dev": true, - "dependencies": { - "semver": "^7.3.5" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/semver-greatest-satisfied-range": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/semver-greatest-satisfied-range/-/semver-greatest-satisfied-range-1.1.0.tgz", - "integrity": "sha512-Ny/iyOzSSa8M5ML46IAx3iXc6tfOsYU2R4AXi2UpHk60Zrgyq6eqPj/xiOfS0rRl/iiQ/rdJkVjw/5cdUyCntQ==", - "dev": true, - "dependencies": { - "sver-compat": "^1.5.0" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/semver/node_modules/lru-cache": { - "version": "6.0.0", - "resolved": "https://registry.npmjs.org/lru-cache/-/lru-cache-6.0.0.tgz", - "integrity": "sha512-Jo6dJ04CmSjuznwJSS3pUeWmd/H0ffTlkXXgwZi+eq1UCmqQwCh+eLsYOYCwY991i2Fah4h1BEMCx4qThGbsiA==", - "dependencies": { - "yallist": "^4.0.0" - }, - "engines": { - "node": ">=10" - } - }, - "node_modules/semver/node_modules/yallist": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/yallist/-/yallist-4.0.0.tgz", - "integrity": "sha512-3wdGidZyq5PB084XLES5TpOSRA3wjXAlIWMhum2kRcv/41Sn2emQ0dycQW4uZXLejwKvg6EsvbdlVL+FYEct7A==" - }, - "node_modules/serialize-javascript": { - "version": "6.0.1", - "resolved": "https://registry.npmjs.org/serialize-javascript/-/serialize-javascript-6.0.1.tgz", - "integrity": "sha512-owoXEFjWRllis8/M1Q+Cw5k8ZH40e3zhp/ovX+Xr/vi1qj6QesbyXXViFbpNvWvPNAD62SutwEXavefrLJWj7w==", - "dependencies": { - "randombytes": "^2.1.0" - } - }, - "node_modules/set-blocking": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/set-blocking/-/set-blocking-2.0.0.tgz", - "integrity": "sha512-KiKBS8AnWGEyLzofFfmvKwpdPzqiy16LvQfK3yv/fVH7Bj13/wl3JSR1J+rfgRE9q7xUJK4qvgS8raSOeLUehw==", - "dev": true - }, - "node_modules/set-value": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/set-value/-/set-value-2.0.1.tgz", - "integrity": "sha512-JxHc1weCN68wRY0fhCoXpyK55m/XPHafOmK4UWD7m2CI14GMcFypt4w/0+NV5f/ZMby2F6S2wwA7fgynh9gWSw==", - "dev": true, - "dependencies": { - "extend-shallow": "^2.0.1", - "is-extendable": "^0.1.1", - "is-plain-object": "^2.0.3", - "split-string": "^3.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/set-value/node_modules/extend-shallow": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/extend-shallow/-/extend-shallow-2.0.1.tgz", - "integrity": "sha512-zCnTtlxNoAiDc3gqY2aYAWFx7XWWiasuF2K8Me5WbN8otHKTUKBwjPtNpRs/rbUZm7KxWAaNj7P1a/p52GbVug==", - "dev": true, - "dependencies": { - "is-extendable": "^0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/set-value/node_modules/is-extendable": { - "version": "0.1.1", - "resolved": "https://registry.npmjs.org/is-extendable/-/is-extendable-0.1.1.tgz", - "integrity": "sha512-5BMULNob1vgFX6EjQw5izWDxrecWK9AM72rugNr0TFldMOi0fj6Jk+zeKIt0xGj4cEfQIJth4w3OKWOJ4f+AFw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/set-value/node_modules/is-plain-object": { - "version": "2.0.4", - "resolved": "https://registry.npmjs.org/is-plain-object/-/is-plain-object-2.0.4.tgz", - "integrity": "sha512-h5PpgXkWitc38BBMYawTYMWJHFZJVnBquFE57xFpjB8pJFiF6gZ+bU+WyI/yqXiFR5mdLsgYNaPe8uao6Uv9Og==", - "dev": true, - "dependencies": { - "isobject": "^3.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/setprototypeof": { - "version": "1.2.0", - "resolved": "https://registry.npmjs.org/setprototypeof/-/setprototypeof-1.2.0.tgz", - "integrity": "sha512-E5LDX7Wrp85Kil5bhZv46j8jOeboKq5JMmYM3gVGdGH8xFpPWXUMsNrlODCrkoxMEeNi/XZIwuRvY4XNwYMJpw==", - "dev": true - }, - "node_modules/shebang-command": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/shebang-command/-/shebang-command-2.0.0.tgz", - "integrity": "sha512-kHxr2zZpYtdmrN1qDjrrX/Z1rR1kG8Dx+gkpK1G4eXmvXswmcE1hTWBWYUzlraYw1/yZp6YuDY77YtvbN0dmDA==", - "dependencies": { - "shebang-regex": "^3.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/shebang-regex": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/shebang-regex/-/shebang-regex-3.0.0.tgz", - "integrity": "sha512-7++dFhtcx3353uBaq8DDR4NuxBetBzC7ZQOhmTQInHEd6bSrXdiEyzCvG07Z44UYdLShWUyXt5M/yhz8ekcb1A==", - "engines": { - "node": ">=8" - } - }, - "node_modules/shelljs": { - "version": "0.8.5", - "resolved": "https://registry.npmjs.org/shelljs/-/shelljs-0.8.5.tgz", - "integrity": "sha512-TiwcRcrkhHvbrZbnRcFYMLl30Dfov3HKqzp5tO5b4pt6G/SezKcYhmDg15zXVBswHmctSAQKznqNW2LO5tTDow==", - "dependencies": { - "glob": "^7.0.0", - "interpret": "^1.0.0", - "rechoir": "^0.6.2" - }, - "bin": { - "shjs": "bin/shjs" - }, - "engines": { - "node": ">=4" - } - }, - "node_modules/side-channel": { - "version": "1.0.4", - "resolved": "https://registry.npmjs.org/side-channel/-/side-channel-1.0.4.tgz", - "integrity": "sha512-q5XPytqFEIKHkGdiMIrY10mvLRvnQh42/+GoBlFW3b2LXLE2xxJpZFdm94we0BaoV3RwJyGqg5wS7epxTv0Zvw==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.0", - "get-intrinsic": "^1.0.2", - "object-inspect": "^1.9.0" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/signal-exit": { - "version": "3.0.7", - "resolved": "https://registry.npmjs.org/signal-exit/-/signal-exit-3.0.7.tgz", - "integrity": "sha512-wnD2ZE+l+SPC/uoS0vXeE9L1+0wuaMqKlfz9AMUo38JsyLSBWSFcHR1Rri62LZc12vLr1gb3jl7iwQhgwpAbGQ==" - }, - "node_modules/sisteransi": { - "version": "1.0.5", - "resolved": "https://registry.npmjs.org/sisteransi/-/sisteransi-1.0.5.tgz", - "integrity": "sha512-bLGGlR1QxBcynn2d5YmDX4MGjlZvy2MRBDRNHLJ8VI6l6+9FUiyTFNJ0IveOSP0bcXgVDPRcfGqA0pjaqUpfVg==", - "dev": true - }, - "node_modules/slash": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/slash/-/slash-3.0.0.tgz", - "integrity": "sha512-g9Q1haeby36OSStwb4ntCGGGaKsaVSjQ68fBxoQcutl5fS1vuY18H3wSt3jFyFtrkx+Kz0V1G85A4MyAdDMi2Q==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/slice-ansi": { - "version": "5.0.0", - "resolved": "https://registry.npmjs.org/slice-ansi/-/slice-ansi-5.0.0.tgz", - "integrity": "sha512-FC+lgizVPfie0kkhqUScwRu1O/lF6NOgJmlCgK+/LYxDCTk8sGelYaHDhFcDN+Sn3Cv+3VSa4Byeo+IMCzpMgQ==", - "dev": true, - "dependencies": { - "ansi-styles": "^6.0.0", - "is-fullwidth-code-point": "^4.0.0" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/chalk/slice-ansi?sponsor=1" - } - }, - "node_modules/slice-ansi/node_modules/ansi-styles": { - "version": "6.2.1", - "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-6.2.1.tgz", - "integrity": "sha512-bN798gFfQX+viw3R7yrGWRqnrN2oRkEkUjjl4JNn4E8GxxbjtG3FbrEIIY3l8/hrwUwIeCZvi4QuOTP4MErVug==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/chalk/ansi-styles?sponsor=1" - } - }, - "node_modules/smart-buffer": { - "version": "4.2.0", - "resolved": "https://registry.npmjs.org/smart-buffer/-/smart-buffer-4.2.0.tgz", - "integrity": "sha512-94hK0Hh8rPqQl2xXc3HsaBoOXKV20MToPkcXvwbISWLEs+64sBq5kFgn2kJDHb1Pry9yrP0dxrCI9RRci7RXKg==", - "dev": true, - "engines": { - "node": ">= 6.0.0", - "npm": ">= 3.0.0" - } - }, - "node_modules/snapdragon": { - "version": "0.8.2", - "resolved": "https://registry.npmjs.org/snapdragon/-/snapdragon-0.8.2.tgz", - "integrity": "sha512-FtyOnWN/wCHTVXOMwvSv26d+ko5vWlIDD6zoUJ7LW8vh+ZBC8QdljveRP+crNrtBwioEUWy/4dMtbBjA4ioNlg==", - "dev": true, - "dependencies": { - "base": "^0.11.1", - "debug": "^2.2.0", - "define-property": "^0.2.5", - "extend-shallow": "^2.0.1", - "map-cache": "^0.2.2", - "source-map": "^0.5.6", - "source-map-resolve": "^0.5.0", - "use": "^3.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/snapdragon-node": { - "version": "2.1.1", - "resolved": "https://registry.npmjs.org/snapdragon-node/-/snapdragon-node-2.1.1.tgz", - "integrity": "sha512-O27l4xaMYt/RSQ5TR3vpWCAB5Kb/czIcqUFOM/C4fYcLnbZUc1PkjTAMjof2pBWaSTwOUd6qUHcFGVGj7aIwnw==", - "dev": true, - "dependencies": { - "define-property": "^1.0.0", - "isobject": "^3.0.0", - "snapdragon-util": "^3.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/snapdragon-node/node_modules/define-property": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/define-property/-/define-property-1.0.0.tgz", - "integrity": "sha512-cZTYKFWspt9jZsMscWo8sc/5lbPC9Q0N5nBLgb+Yd915iL3udB1uFgS3B8YCx66UVHq018DAVFoee7x+gxggeA==", - "dev": true, - "dependencies": { - "is-descriptor": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/snapdragon-util": { - "version": "3.0.1", - "resolved": "https://registry.npmjs.org/snapdragon-util/-/snapdragon-util-3.0.1.tgz", - "integrity": "sha512-mbKkMdQKsjX4BAL4bRYTj21edOf8cN7XHdYUJEe+Zn99hVEYcMvKPct1IqNe7+AZPirn8BCDOQBHQZknqmKlZQ==", - "dev": true, - "dependencies": { - "kind-of": "^3.2.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/snapdragon-util/node_modules/kind-of": { - "version": "3.2.2", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-3.2.2.tgz", - "integrity": "sha512-NOW9QQXMoZGg/oqnVNoNTTIFEIid1627WCffUBJEdMxYApq7mNE7CpzucIPc+ZQg25Phej7IJSmX3hO+oblOtQ==", - "dev": true, - "dependencies": { - "is-buffer": "^1.1.5" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/snapdragon/node_modules/debug": { - "version": "2.6.9", - "resolved": "https://registry.npmjs.org/debug/-/debug-2.6.9.tgz", - "integrity": "sha512-bC7ElrdJaJnPbAP+1EotYvqZsb3ecl5wi6Bfi6BJTUcNowp6cvspg0jXznRTKDjm/E7AdgFBVeAPVMNcKGsHMA==", - "dev": true, - "dependencies": { - "ms": "2.0.0" - } - }, - "node_modules/snapdragon/node_modules/define-property": { - "version": "0.2.5", - "resolved": "https://registry.npmjs.org/define-property/-/define-property-0.2.5.tgz", - "integrity": "sha512-Rr7ADjQZenceVOAKop6ALkkRAmH1A4Gx9hV/7ZujPUN2rkATqFO0JZLZInbAjpZYoJ1gUx8MRMQVkYemcbMSTA==", - "dev": true, - "dependencies": { - "is-descriptor": "^0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/snapdragon/node_modules/extend-shallow": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/extend-shallow/-/extend-shallow-2.0.1.tgz", - "integrity": "sha512-zCnTtlxNoAiDc3gqY2aYAWFx7XWWiasuF2K8Me5WbN8otHKTUKBwjPtNpRs/rbUZm7KxWAaNj7P1a/p52GbVug==", - "dev": true, - "dependencies": { - "is-extendable": "^0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/snapdragon/node_modules/is-accessor-descriptor": { - "version": "0.1.6", - "resolved": "https://registry.npmjs.org/is-accessor-descriptor/-/is-accessor-descriptor-0.1.6.tgz", - "integrity": "sha512-e1BM1qnDbMRG3ll2U9dSK0UMHuWOs3pY3AtcFsmvwPtKL3MML/Q86i+GilLfvqEs4GW+ExB91tQ3Ig9noDIZ+A==", - "dev": true, - "dependencies": { - "kind-of": "^3.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/snapdragon/node_modules/is-accessor-descriptor/node_modules/kind-of": { - "version": "3.2.2", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-3.2.2.tgz", - "integrity": "sha512-NOW9QQXMoZGg/oqnVNoNTTIFEIid1627WCffUBJEdMxYApq7mNE7CpzucIPc+ZQg25Phej7IJSmX3hO+oblOtQ==", - "dev": true, - "dependencies": { - "is-buffer": "^1.1.5" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/snapdragon/node_modules/is-data-descriptor": { - "version": "0.1.4", - "resolved": "https://registry.npmjs.org/is-data-descriptor/-/is-data-descriptor-0.1.4.tgz", - "integrity": "sha512-+w9D5ulSoBNlmw9OHn3U2v51SyoCd0he+bB3xMl62oijhrspxowjU+AIcDY0N3iEJbUEkB15IlMASQsxYigvXg==", - "dev": true, - "dependencies": { - "kind-of": "^3.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/snapdragon/node_modules/is-data-descriptor/node_modules/kind-of": { - "version": "3.2.2", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-3.2.2.tgz", - "integrity": "sha512-NOW9QQXMoZGg/oqnVNoNTTIFEIid1627WCffUBJEdMxYApq7mNE7CpzucIPc+ZQg25Phej7IJSmX3hO+oblOtQ==", - "dev": true, - "dependencies": { - "is-buffer": "^1.1.5" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/snapdragon/node_modules/is-descriptor": { - "version": "0.1.6", - "resolved": "https://registry.npmjs.org/is-descriptor/-/is-descriptor-0.1.6.tgz", - "integrity": "sha512-avDYr0SB3DwO9zsMov0gKCESFYqCnE4hq/4z3TdUlukEy5t9C0YRq7HLrsN52NAcqXKaepeCD0n+B0arnVG3Hg==", - "dev": true, - "dependencies": { - "is-accessor-descriptor": "^0.1.6", - "is-data-descriptor": "^0.1.4", - "kind-of": "^5.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/snapdragon/node_modules/is-extendable": { - "version": "0.1.1", - "resolved": "https://registry.npmjs.org/is-extendable/-/is-extendable-0.1.1.tgz", - "integrity": "sha512-5BMULNob1vgFX6EjQw5izWDxrecWK9AM72rugNr0TFldMOi0fj6Jk+zeKIt0xGj4cEfQIJth4w3OKWOJ4f+AFw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/snapdragon/node_modules/ms": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/ms/-/ms-2.0.0.tgz", - "integrity": "sha512-Tpp60P6IUJDTuOq/5Z8cdskzJujfwqfOTkrwIwj7IRISpnkJnT6SyJ4PCPnGMoFjC9ddhal5KVIYtAt97ix05A==", - "dev": true - }, - "node_modules/snapdragon/node_modules/source-map": { - "version": "0.5.7", - "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.5.7.tgz", - "integrity": "sha512-LbrmJOMUSdEVxIKvdcJzQC+nQhe8FUZQTXQy6+I75skNgn3OoQ0DZA8YnFa7gp8tqtL3KPf1kmo0R5DoApeSGQ==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/socks": { - "version": "2.7.1", - "resolved": "https://registry.npmjs.org/socks/-/socks-2.7.1.tgz", - "integrity": "sha512-7maUZy1N7uo6+WVEX6psASxtNlKaNVMlGQKkG/63nEDdLOWNbiUMoLK7X4uYoLhQstau72mLgfEWcXcwsaHbYQ==", - "dev": true, - "dependencies": { - "ip": "^2.0.0", - "smart-buffer": "^4.2.0" - }, - "engines": { - "node": ">= 10.13.0", - "npm": ">= 3.0.0" - } - }, - "node_modules/socks-proxy-agent": { - "version": "5.0.1", - "resolved": "https://registry.npmjs.org/socks-proxy-agent/-/socks-proxy-agent-5.0.1.tgz", - "integrity": "sha512-vZdmnjb9a2Tz6WEQVIurybSwElwPxMZaIc7PzqbJTrezcKNznv6giT7J7tZDZ1BojVaa1jvO/UiUdhDVB0ACoQ==", - "dev": true, - "dependencies": { - "agent-base": "^6.0.2", - "debug": "4", - "socks": "^2.3.3" - }, - "engines": { - "node": ">= 6" - } - }, - "node_modules/socks/node_modules/ip": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/ip/-/ip-2.0.0.tgz", - "integrity": "sha512-WKa+XuLG1A1R0UWhl2+1XQSi+fZWMsYKffMZTTYsiZaUD8k2yDAj5atimTUD2TZkyCkNEeYE5NhFZmupOGtjYQ==", - "dev": true - }, - "node_modules/source-map": { - "version": "0.7.4", - "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.7.4.tgz", - "integrity": "sha512-l3BikUxvPOcn5E74dZiq5BGsTb5yEwhaTSzccU6t4sDOH8NWJCstKO5QT2CvtFoK6F0saL7p9xHAqHOlCPJygA==", - "engines": { - "node": ">= 8" - } - }, - "node_modules/source-map-resolve": { - "version": "0.5.3", - "resolved": "https://registry.npmjs.org/source-map-resolve/-/source-map-resolve-0.5.3.tgz", - "integrity": "sha512-Htz+RnsXWk5+P2slx5Jh3Q66vhQj1Cllm0zvnaY98+NFx+Dv2CF/f5O/t8x+KaNdrdIAsruNzoh/KpialbqAnw==", - "deprecated": "See https://github.com/lydell/source-map-resolve#deprecated", - "dev": true, - "dependencies": { - "atob": "^2.1.2", - "decode-uri-component": "^0.2.0", - "resolve-url": "^0.2.1", - "source-map-url": "^0.4.0", - "urix": "^0.1.0" - } - }, - "node_modules/source-map-support": { - "version": "0.5.21", - "resolved": "https://registry.npmjs.org/source-map-support/-/source-map-support-0.5.21.tgz", - "integrity": "sha512-uBHU3L3czsIyYXKX88fdrGovxdSCoTGDRZ6SYXtSRxLZUzHg5P/66Ht6uoUlHu9EZod+inXhKo3qQgwXUT/y1w==", - "dependencies": { - "buffer-from": "^1.0.0", - "source-map": "^0.6.0" - } - }, - "node_modules/source-map-support/node_modules/source-map": { - "version": "0.6.1", - "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz", - "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==", - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/source-map-url": { - "version": "0.4.1", - "resolved": "https://registry.npmjs.org/source-map-url/-/source-map-url-0.4.1.tgz", - "integrity": "sha512-cPiFOTLUKvJFIg4SKVScy4ilPPW6rFgMgfuZJPNoDuMs3nC1HbMUycBoJw77xFIp6z1UJQJOfx6C9GMH80DiTw==", - "deprecated": "See https://github.com/lydell/source-map-url#deprecated", - "dev": true - }, - "node_modules/sparkles": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/sparkles/-/sparkles-1.0.1.tgz", - "integrity": "sha512-dSO0DDYUahUt/0/pD/Is3VIm5TGJjludZ0HVymmhYF6eNA53PVLhnUk0znSYbH8IYBuJdCE+1luR22jNLMaQdw==", - "dev": true, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/spdx-correct": { - "version": "3.2.0", - "resolved": "https://registry.npmjs.org/spdx-correct/-/spdx-correct-3.2.0.tgz", - "integrity": "sha512-kN9dJbvnySHULIluDHy32WHRUu3Og7B9sbY7tsFLctQkIqnMh3hErYgdMjTYuqmcXX+lK5T1lnUt3G7zNswmZA==", - "dev": true, - "dependencies": { - "spdx-expression-parse": "^3.0.0", - "spdx-license-ids": "^3.0.0" - } - }, - "node_modules/spdx-exceptions": { - "version": "2.3.0", - "resolved": "https://registry.npmjs.org/spdx-exceptions/-/spdx-exceptions-2.3.0.tgz", - "integrity": "sha512-/tTrYOC7PPI1nUAgx34hUpqXuyJG+DTHJTnIULG4rDygi4xu/tfgmq1e1cIRwRzwZgo4NLySi+ricLkZkw4i5A==", - "dev": true - }, - "node_modules/spdx-expression-parse": { - "version": "3.0.1", - "resolved": "https://registry.npmjs.org/spdx-expression-parse/-/spdx-expression-parse-3.0.1.tgz", - "integrity": "sha512-cbqHunsQWnJNE6KhVSMsMeH5H/L9EpymbzqTQ3uLwNCLZ1Q481oWaofqH7nO6V07xlXwY6PhQdQ2IedWx/ZK4Q==", - "dev": true, - "dependencies": { - "spdx-exceptions": "^2.1.0", - "spdx-license-ids": "^3.0.0" - } - }, - "node_modules/spdx-license-ids": { - "version": "3.0.13", - "resolved": "https://registry.npmjs.org/spdx-license-ids/-/spdx-license-ids-3.0.13.tgz", - "integrity": "sha512-XkD+zwiqXHikFZm4AX/7JSCXA98U5Db4AFd5XUg/+9UNtnH75+Z9KxtpYiJZx36mUDVOwH83pl7yvCer6ewM3w==", - "dev": true - }, - "node_modules/split-string": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/split-string/-/split-string-3.1.0.tgz", - "integrity": "sha512-NzNVhJDYpwceVVii8/Hu6DKfD2G+NrQHlS/V/qgv763EYudVwEcMQNxd2lh+0VrUByXN/oJkl5grOhYWvQUYiw==", - "dev": true, - "dependencies": { - "extend-shallow": "^3.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/split-string/node_modules/extend-shallow": { - "version": "3.0.2", - "resolved": "https://registry.npmjs.org/extend-shallow/-/extend-shallow-3.0.2.tgz", - "integrity": "sha512-BwY5b5Ql4+qZoefgMj2NUmx+tehVTH/Kf4k1ZEtOHNFcm2wSxMRo992l6X3TIgni2eZVTZ85xMOjF31fwZAj6Q==", - "dev": true, - "dependencies": { - "assign-symbols": "^1.0.0", - "is-extendable": "^1.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/split2": { - "version": "3.2.2", - "resolved": "https://registry.npmjs.org/split2/-/split2-3.2.2.tgz", - "integrity": "sha512-9NThjpgZnifTkJpzTZ7Eue85S49QwpNhZTq6GRJwObb6jnLFNGB7Qm73V5HewTROPyxD0C29xqmaI68bQtV+hg==", - "dev": true, - "dependencies": { - "readable-stream": "^3.0.0" - } - }, - "node_modules/split2/node_modules/readable-stream": { - "version": "3.6.2", - "resolved": "https://registry.npmjs.org/readable-stream/-/readable-stream-3.6.2.tgz", - "integrity": "sha512-9u/sniCrY3D5WdsERHzHE4G2YCXqoG5FTHUiCC4SIbr6XcLZBY05ya9EKjYek9O5xOAwjGq+1JdGBAS7Q9ScoA==", - "dev": true, - "dependencies": { - "inherits": "^2.0.3", - "string_decoder": "^1.1.1", - "util-deprecate": "^1.0.1" - }, - "engines": { - "node": ">= 6" - } - }, - "node_modules/sprintf-js": { - "version": "1.0.3", - "resolved": "https://registry.npmjs.org/sprintf-js/-/sprintf-js-1.0.3.tgz", - "integrity": "sha512-D9cPgkvLlV3t3IzL0D0YLvGA9Ahk4PcvVwUbN0dSGr1aP0Nrt4AEnTUbuGvquEC0mA64Gqt1fzirlRs5ibXx8g==", - "dev": true - }, - "node_modules/stack-trace": { - "version": "0.0.10", - "resolved": "https://registry.npmjs.org/stack-trace/-/stack-trace-0.0.10.tgz", - "integrity": "sha512-KGzahc7puUKkzyMt+IqAep+TVNbKP+k2Lmwhub39m1AsTSkaDutx56aDCo+HLDzf/D26BIHTJWNiTG1KAJiQCg==", - "dev": true, - "engines": { - "node": "*" - } - }, - "node_modules/stack-utils": { - "version": "2.0.6", - "resolved": "https://registry.npmjs.org/stack-utils/-/stack-utils-2.0.6.tgz", - "integrity": "sha512-XlkWvfIm6RmsWtNJx+uqtKLS8eqFbxUg0ZzLXqY0caEy9l7hruX8IpiDnjsLavoBgqCCR71TqWO8MaXYheJ3RQ==", - "dev": true, - "dependencies": { - "escape-string-regexp": "^2.0.0" - }, - "engines": { - "node": ">=10" - } - }, - "node_modules/stack-utils/node_modules/escape-string-regexp": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/escape-string-regexp/-/escape-string-regexp-2.0.0.tgz", - "integrity": "sha512-UpzcLCXolUWcNu5HtVMHYdXJjArjsF9C0aNnquZYY4uW/Vu0miy5YoWvbV345HauVvcAUnpRuhMMcqTcGOY2+w==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/static-extend": { - "version": "0.1.2", - "resolved": "https://registry.npmjs.org/static-extend/-/static-extend-0.1.2.tgz", - "integrity": "sha512-72E9+uLc27Mt718pMHt9VMNiAL4LMsmDbBva8mxWUCkT07fSzEGMYUCk0XWY6lp0j6RBAG4cJ3mWuZv2OE3s0g==", - "dev": true, - "dependencies": { - "define-property": "^0.2.5", - "object-copy": "^0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/static-extend/node_modules/define-property": { - "version": "0.2.5", - "resolved": "https://registry.npmjs.org/define-property/-/define-property-0.2.5.tgz", - "integrity": "sha512-Rr7ADjQZenceVOAKop6ALkkRAmH1A4Gx9hV/7ZujPUN2rkATqFO0JZLZInbAjpZYoJ1gUx8MRMQVkYemcbMSTA==", - "dev": true, - "dependencies": { - "is-descriptor": "^0.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/static-extend/node_modules/is-accessor-descriptor": { - "version": "0.1.6", - "resolved": "https://registry.npmjs.org/is-accessor-descriptor/-/is-accessor-descriptor-0.1.6.tgz", - "integrity": "sha512-e1BM1qnDbMRG3ll2U9dSK0UMHuWOs3pY3AtcFsmvwPtKL3MML/Q86i+GilLfvqEs4GW+ExB91tQ3Ig9noDIZ+A==", - "dev": true, - "dependencies": { - "kind-of": "^3.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/static-extend/node_modules/is-accessor-descriptor/node_modules/kind-of": { - "version": "3.2.2", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-3.2.2.tgz", - "integrity": "sha512-NOW9QQXMoZGg/oqnVNoNTTIFEIid1627WCffUBJEdMxYApq7mNE7CpzucIPc+ZQg25Phej7IJSmX3hO+oblOtQ==", - "dev": true, - "dependencies": { - "is-buffer": "^1.1.5" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/static-extend/node_modules/is-data-descriptor": { - "version": "0.1.4", - "resolved": "https://registry.npmjs.org/is-data-descriptor/-/is-data-descriptor-0.1.4.tgz", - "integrity": "sha512-+w9D5ulSoBNlmw9OHn3U2v51SyoCd0he+bB3xMl62oijhrspxowjU+AIcDY0N3iEJbUEkB15IlMASQsxYigvXg==", - "dev": true, - "dependencies": { - "kind-of": "^3.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/static-extend/node_modules/is-data-descriptor/node_modules/kind-of": { - "version": "3.2.2", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-3.2.2.tgz", - "integrity": "sha512-NOW9QQXMoZGg/oqnVNoNTTIFEIid1627WCffUBJEdMxYApq7mNE7CpzucIPc+ZQg25Phej7IJSmX3hO+oblOtQ==", - "dev": true, - "dependencies": { - "is-buffer": "^1.1.5" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/static-extend/node_modules/is-descriptor": { - "version": "0.1.6", - "resolved": "https://registry.npmjs.org/is-descriptor/-/is-descriptor-0.1.6.tgz", - "integrity": "sha512-avDYr0SB3DwO9zsMov0gKCESFYqCnE4hq/4z3TdUlukEy5t9C0YRq7HLrsN52NAcqXKaepeCD0n+B0arnVG3Hg==", - "dev": true, - "dependencies": { - "is-accessor-descriptor": "^0.1.6", - "is-data-descriptor": "^0.1.4", - "kind-of": "^5.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/statuses": { - "version": "2.0.1", - "resolved": "https://registry.npmjs.org/statuses/-/statuses-2.0.1.tgz", - "integrity": "sha512-RwNA9Z/7PrK06rYLIzFMlaF+l73iwpzsqRIFgbMLbTcLD6cOao82TaWefPXQvB2fOC4AjuYSEndS7N/mTCbkdQ==", - "dev": true, - "engines": { - "node": ">= 0.8" - } - }, - "node_modules/stdin-discarder": { - "version": "0.1.0", - "resolved": "https://registry.npmjs.org/stdin-discarder/-/stdin-discarder-0.1.0.tgz", - "integrity": "sha512-xhV7w8S+bUwlPTb4bAOUQhv8/cSS5offJuX8GQGq32ONF0ZtDWKfkdomM3HMRA+LhX6um/FZ0COqlwsjD53LeQ==", - "dev": true, - "dependencies": { - "bl": "^5.0.0" - }, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/stdin-discarder/node_modules/bl": { - "version": "5.1.0", - "resolved": "https://registry.npmjs.org/bl/-/bl-5.1.0.tgz", - "integrity": "sha512-tv1ZJHLfTDnXE6tMHv73YgSJaWR2AFuPwMntBe7XL/GBFHnT0CLnsHMogfk5+GzCDC5ZWarSCYaIGATZt9dNsQ==", - "dev": true, - "dependencies": { - "buffer": "^6.0.3", - "inherits": "^2.0.4", - "readable-stream": "^3.4.0" - } - }, - "node_modules/stdin-discarder/node_modules/buffer": { - "version": "6.0.3", - "resolved": "https://registry.npmjs.org/buffer/-/buffer-6.0.3.tgz", - "integrity": "sha512-FTiCpNxtwiZZHEZbcbTIcZjERVICn9yq/pDFkTl95/AxzD1naBctN7YO68riM/gLSDY7sdrMby8hofADYuuqOA==", - "dev": true, - "funding": [ - { - "type": "github", - "url": "https://github.com/sponsors/feross" - }, - { - "type": "patreon", - "url": "https://www.patreon.com/feross" - }, - { - "type": "consulting", - "url": "https://feross.org/support" - } - ], - "dependencies": { - "base64-js": "^1.3.1", - "ieee754": "^1.2.1" - } - }, - "node_modules/stdin-discarder/node_modules/readable-stream": { - "version": "3.6.2", - "resolved": "https://registry.npmjs.org/readable-stream/-/readable-stream-3.6.2.tgz", - "integrity": "sha512-9u/sniCrY3D5WdsERHzHE4G2YCXqoG5FTHUiCC4SIbr6XcLZBY05ya9EKjYek9O5xOAwjGq+1JdGBAS7Q9ScoA==", - "dev": true, - "dependencies": { - "inherits": "^2.0.3", - "string_decoder": "^1.1.1", - "util-deprecate": "^1.0.1" - }, - "engines": { - "node": ">= 6" - } - }, - "node_modules/stop-iteration-iterator": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/stop-iteration-iterator/-/stop-iteration-iterator-1.0.0.tgz", - "integrity": "sha512-iCGQj+0l0HOdZ2AEeBADlsRC+vsnDsZsbdSiH1yNSjcfKM7fdpCMfqAL/dwF5BLiw/XhRft/Wax6zQbhq2BcjQ==", - "dev": true, - "dependencies": { - "internal-slot": "^1.0.4" - }, - "engines": { - "node": ">= 0.4" - } - }, - "node_modules/stream-exhaust": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/stream-exhaust/-/stream-exhaust-1.0.2.tgz", - "integrity": "sha512-b/qaq/GlBK5xaq1yrK9/zFcyRSTNxmcZwFLGSTG0mXgZl/4Z6GgiyYOXOvY7N3eEvFRAG1bkDRz5EPGSvPYQlw==", - "dev": true - }, - "node_modules/stream-shift": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/stream-shift/-/stream-shift-1.0.1.tgz", - "integrity": "sha512-AiisoFqQ0vbGcZgQPY1cdP2I76glaVA/RauYR4G4thNFgkTqr90yXTo4LYX60Jl+sIlPNHHdGSwo01AvbKUSVQ==", - "dev": true - }, - "node_modules/string_decoder": { - "version": "1.1.1", - "resolved": "https://registry.npmjs.org/string_decoder/-/string_decoder-1.1.1.tgz", - "integrity": "sha512-n/ShnvDi6FHbbVfviro+WojiFzv+s8MPMHBczVePfUpDJLwoLT0ht1l4YwBCbi8pJAveEEdnkHyPyTP/mzRfwg==", - "dependencies": { - "safe-buffer": "~5.1.0" - } - }, - "node_modules/string-argv": { - "version": "0.3.2", - "resolved": "https://registry.npmjs.org/string-argv/-/string-argv-0.3.2.tgz", - "integrity": "sha512-aqD2Q0144Z+/RqG52NeHEkZauTAUWJO8c6yTftGJKO3Tja5tUgIfmIl6kExvhtxSDP7fXB6DvzkfMpCd/F3G+Q==", - "dev": true, - "engines": { - "node": ">=0.6.19" - } - }, - "node_modules/string-length": { - "version": "4.0.2", - "resolved": "https://registry.npmjs.org/string-length/-/string-length-4.0.2.tgz", - "integrity": "sha512-+l6rNN5fYHNhZZy41RXsYptCjA2Igmq4EG7kZAYFQI1E1VTXarr6ZPXBg6eq7Y6eK4FEhY6AJlyuFIb/v/S0VQ==", - "dev": true, - "dependencies": { - "char-regex": "^1.0.2", - "strip-ansi": "^6.0.0" - }, - "engines": { - "node": ">=10" - } - }, - "node_modules/string-width": { - "version": "4.2.3", - "resolved": "https://registry.npmjs.org/string-width/-/string-width-4.2.3.tgz", - "integrity": "sha512-wKyQRQpjJ0sIp62ErSZdGsjMJWsap5oRNihHhu6G7JVO/9jIB6UyevL+tXuOqrng8j/cxKTWyWUwvSTriiZz/g==", - "dependencies": { - "emoji-regex": "^8.0.0", - "is-fullwidth-code-point": "^3.0.0", - "strip-ansi": "^6.0.1" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/string-width/node_modules/is-fullwidth-code-point": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/is-fullwidth-code-point/-/is-fullwidth-code-point-3.0.0.tgz", - "integrity": "sha512-zymm5+u+sCsSWyD9qNaejV3DFvhCKclKdizYaJUuHA83RLjb7nSuGnddCHGv0hk+KY7BMAlsWeK4Ueg6EV6XQg==", - "engines": { - "node": ">=8" - } - }, - "node_modules/string.prototype.trim": { - "version": "1.2.7", - "resolved": "https://registry.npmjs.org/string.prototype.trim/-/string.prototype.trim-1.2.7.tgz", - "integrity": "sha512-p6TmeT1T3411M8Cgg9wBTMRtY2q9+PNy9EV1i2lIXUN/btt763oIfxwN3RR8VU6wHX8j/1CFy0L+YuThm6bgOg==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2", - "define-properties": "^1.1.4", - "es-abstract": "^1.20.4" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/string.prototype.trimend": { - "version": "1.0.6", - "resolved": "https://registry.npmjs.org/string.prototype.trimend/-/string.prototype.trimend-1.0.6.tgz", - "integrity": "sha512-JySq+4mrPf9EsDBEDYMOb/lM7XQLulwg5R/m1r0PXEFqrV0qHvl58sdTilSXtKOflCsK2E8jxf+GKC0T07RWwQ==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2", - "define-properties": "^1.1.4", - "es-abstract": "^1.20.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/string.prototype.trimstart": { - "version": "1.0.6", - "resolved": "https://registry.npmjs.org/string.prototype.trimstart/-/string.prototype.trimstart-1.0.6.tgz", - "integrity": "sha512-omqjMDaY92pbn5HOX7f9IccLA+U1tA9GvtU4JrodiXFfYB7jPzzHpRzpglLAjtUV6bB557zwClJezTqnAiYnQA==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2", - "define-properties": "^1.1.4", - "es-abstract": "^1.20.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/strip-ansi": { - "version": "6.0.1", - "resolved": "https://registry.npmjs.org/strip-ansi/-/strip-ansi-6.0.1.tgz", - "integrity": "sha512-Y38VPSHcqkFrCpFnQ9vuSXmquuv5oXOKpGeT6aGrr3o3Gc9AlVa6JBfUSOCnbxGGZF+/0ooI7KrPuUSztUdU5A==", - "dependencies": { - "ansi-regex": "^5.0.1" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/strip-bom": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/strip-bom/-/strip-bom-4.0.0.tgz", - "integrity": "sha512-3xurFv5tEgii33Zi8Jtp55wEIILR9eh34FAW00PZf+JnSsTmV/ioewSgQl97JHvgjoRGwPShsWm+IdrxB35d0w==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/strip-final-newline": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/strip-final-newline/-/strip-final-newline-2.0.0.tgz", - "integrity": "sha512-BrpvfNAE3dcvq7ll3xVumzjKjZQ5tI1sEUIKr3Uoks0XUl45St3FlatVqef9prk4jRDzhW6WZg+3bk93y6pLjA==", - "engines": { - "node": ">=6" - } - }, - "node_modules/strip-indent": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/strip-indent/-/strip-indent-3.0.0.tgz", - "integrity": "sha512-laJTa3Jb+VQpaC6DseHhF7dXVqHTfJPCRDaEbid/drOhgitgYku/letMUqOXFoWV0zIIUbjpdH2t+tYj4bQMRQ==", - "dev": true, - "dependencies": { - "min-indent": "^1.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/strip-json-comments": { - "version": "3.1.1", - "resolved": "https://registry.npmjs.org/strip-json-comments/-/strip-json-comments-3.1.1.tgz", - "integrity": "sha512-6fPc+R4ihwqP6N/aIv2f1gMH8lOVtWQHoqC4yK6oSDVVocumAsfCqjkXnqiYMhmMwS/mEHLp7Vehlt3ql6lEig==", - "dev": true, - "engines": { - "node": ">=8" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/supports-color": { - "version": "7.2.0", - "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz", - "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==", - "dependencies": { - "has-flag": "^4.0.0" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/supports-preserve-symlinks-flag": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/supports-preserve-symlinks-flag/-/supports-preserve-symlinks-flag-1.0.0.tgz", - "integrity": "sha512-ot0WnXS9fgdkgIcePe6RHNk1WA8+muPa6cSjeR3V8K27q9BB1rTE3R1p7Hv0z1ZyAc8s6Vvv8DIyWf681MAt0w==", - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/sver-compat": { - "version": "1.5.0", - "resolved": "https://registry.npmjs.org/sver-compat/-/sver-compat-1.5.0.tgz", - "integrity": "sha512-aFTHfmjwizMNlNE6dsGmoAM4lHjL0CyiobWaFiXWSlD7cIxshW422Nb8KbXCmR6z+0ZEPY+daXJrDyh/vuwTyg==", - "dev": true, - "dependencies": { - "es6-iterator": "^2.0.1", - "es6-symbol": "^3.1.1" - } - }, - "node_modules/symbol-observable": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/symbol-observable/-/symbol-observable-4.0.0.tgz", - "integrity": "sha512-b19dMThMV4HVFynSAM1++gBHAbk2Tc/osgLIBZMKsyqh34jb2e8Os7T6ZW/Bt3pJFdBTd2JwAnAAEQV7rSNvcQ==", - "engines": { - "node": ">=0.10" - } - }, - "node_modules/tapable": { - "version": "2.2.1", - "resolved": "https://registry.npmjs.org/tapable/-/tapable-2.2.1.tgz", - "integrity": "sha512-GNzQvQTOIP6RyTfE2Qxb8ZVlNmw0n88vp1szwWRimP02mnTsx3Wtn5qRdqY9w2XduFNUgvOwhNnQsjwCp+kqaQ==", - "engines": { - "node": ">=6" - } - }, - "node_modules/terser": { - "version": "5.17.1", - "resolved": "https://registry.npmjs.org/terser/-/terser-5.17.1.tgz", - "integrity": "sha512-hVl35zClmpisy6oaoKALOpS0rDYLxRFLHhRuDlEGTKey9qHjS1w9GMORjuwIMt70Wan4lwsLYyWDVnWgF+KUEw==", - "dependencies": { - "@jridgewell/source-map": "^0.3.2", - "acorn": "^8.5.0", - "commander": "^2.20.0", - "source-map-support": "~0.5.20" - }, - "bin": { - "terser": "bin/terser" - }, - "engines": { - "node": ">=10" - } - }, - "node_modules/terser-webpack-plugin": { - "version": "5.3.7", - "resolved": "https://registry.npmjs.org/terser-webpack-plugin/-/terser-webpack-plugin-5.3.7.tgz", - "integrity": "sha512-AfKwIktyP7Cu50xNjXF/6Qb5lBNzYaWpU6YfoX3uZicTx0zTy0stDDCsvjDapKsSDvOeWo5MEq4TmdBy2cNoHw==", - "dependencies": { - "@jridgewell/trace-mapping": "^0.3.17", - "jest-worker": "^27.4.5", - "schema-utils": "^3.1.1", - "serialize-javascript": "^6.0.1", - "terser": "^5.16.5" - }, - "engines": { - "node": ">= 10.13.0" - }, - "funding": { - "type": "opencollective", - "url": "https://opencollective.com/webpack" - }, - "peerDependencies": { - "webpack": "^5.1.0" - }, - "peerDependenciesMeta": { - "@swc/core": { - "optional": true - }, - "esbuild": { - "optional": true - }, - "uglify-js": { - "optional": true - } - } - }, - "node_modules/terser-webpack-plugin/node_modules/jest-worker": { - "version": "27.5.1", - "resolved": "https://registry.npmjs.org/jest-worker/-/jest-worker-27.5.1.tgz", - "integrity": "sha512-7vuh85V5cdDofPyxn58nrPjBktZo0u9x1g8WtjQol+jZDaE+fhN+cIvTj11GndBnMnyfrUOG1sZQxCdjKh+DKg==", - "dependencies": { - "@types/node": "*", - "merge-stream": "^2.0.0", - "supports-color": "^8.0.0" - }, - "engines": { - "node": ">= 10.13.0" - } - }, - "node_modules/terser-webpack-plugin/node_modules/supports-color": { - "version": "8.1.1", - "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-8.1.1.tgz", - "integrity": "sha512-MpUEN2OodtUzxvKQl72cUF7RQ5EiHsGvSsVG0ia9c5RbWGL2CI4C7EpPS8UTBIplnlzZiNuV56w+FuNxy3ty2Q==", - "dependencies": { - "has-flag": "^4.0.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/chalk/supports-color?sponsor=1" - } - }, - "node_modules/terser/node_modules/commander": { - "version": "2.20.3", - "resolved": "https://registry.npmjs.org/commander/-/commander-2.20.3.tgz", - "integrity": "sha512-GpVkmM8vF2vQUkj2LvZmD35JxeJOLCwJ9cUkugyk2nuhbv3+mJvpLYYt+0+USMxE+oj+ey/lJEnhZw75x/OMcQ==" - }, - "node_modules/test-exclude": { - "version": "6.0.0", - "resolved": "https://registry.npmjs.org/test-exclude/-/test-exclude-6.0.0.tgz", - "integrity": "sha512-cAGWPIyOHU6zlmg88jwm7VRyXnMN7iV68OGAbYDk/Mh/xC/pzVPlQtY6ngoIH/5/tciuhGfvESU8GrHrcxD56w==", - "dev": true, - "dependencies": { - "@istanbuljs/schema": "^0.1.2", - "glob": "^7.1.4", - "minimatch": "^3.0.4" - }, - "engines": { - "node": ">=8" - } - }, - "node_modules/text-extensions": { - "version": "1.9.0", - "resolved": "https://registry.npmjs.org/text-extensions/-/text-extensions-1.9.0.tgz", - "integrity": "sha512-wiBrwC1EhBelW12Zy26JeOUkQ5mRu+5o8rpsJk5+2t+Y5vE7e842qtZDQ2g1NpX/29HdyFeJ4nSIhI47ENSxlQ==", - "dev": true, - "engines": { - "node": ">=0.10" - } - }, - "node_modules/text-table": { - "version": "0.2.0", - "resolved": "https://registry.npmjs.org/text-table/-/text-table-0.2.0.tgz", - "integrity": "sha512-N+8UisAXDGk8PFXP4HAzVR9nbfmVJ3zYLAWiTIoqC5v5isinhr+r5uaO8+7r3BMfuNIufIsA7RdpVgacC2cSpw==", - "dev": true - }, - "node_modules/through": { - "version": "2.3.8", - "resolved": "https://registry.npmjs.org/through/-/through-2.3.8.tgz", - "integrity": "sha512-w89qg7PI8wAdvX60bMDP+bFoD5Dvhm9oLheFp5O4a2QF0cSBGsBX4qZmadPMvVqlLJBBci+WqGGOAPvcDeNSVg==" - }, - "node_modules/through2": { - "version": "4.0.2", - "resolved": "https://registry.npmjs.org/through2/-/through2-4.0.2.tgz", - "integrity": "sha512-iOqSav00cVxEEICeD7TjLB1sueEL+81Wpzp2bY17uZjZN0pWZPuo4suZ/61VujxmqSGFfgOcNuTZ85QJwNZQpw==", - "dev": true, - "dependencies": { - "readable-stream": "3" - } - }, - "node_modules/through2-filter": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/through2-filter/-/through2-filter-3.0.0.tgz", - "integrity": "sha512-jaRjI2WxN3W1V8/FMZ9HKIBXixtiqs3SQSX4/YGIiP3gL6djW48VoZq9tDqeCWs3MT8YY5wb/zli8VW8snY1CA==", - "dev": true, - "dependencies": { - "through2": "~2.0.0", - "xtend": "~4.0.0" - } - }, - "node_modules/through2-filter/node_modules/through2": { - "version": "2.0.5", - "resolved": "https://registry.npmjs.org/through2/-/through2-2.0.5.tgz", - "integrity": "sha512-/mrRod8xqpA+IHSLyGCQ2s8SPHiCDEeQJSep1jqLYeEUClOFG2Qsh+4FU6G9VeqpZnGW/Su8LQGc4YKni5rYSQ==", - "dev": true, - "dependencies": { - "readable-stream": "~2.3.6", - "xtend": "~4.0.1" - } - }, - "node_modules/through2/node_modules/readable-stream": { - "version": "3.6.2", - "resolved": "https://registry.npmjs.org/readable-stream/-/readable-stream-3.6.2.tgz", - "integrity": "sha512-9u/sniCrY3D5WdsERHzHE4G2YCXqoG5FTHUiCC4SIbr6XcLZBY05ya9EKjYek9O5xOAwjGq+1JdGBAS7Q9ScoA==", - "dev": true, - "dependencies": { - "inherits": "^2.0.3", - "string_decoder": "^1.1.1", - "util-deprecate": "^1.0.1" - }, - "engines": { - "node": ">= 6" - } - }, - "node_modules/time-stamp": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/time-stamp/-/time-stamp-1.1.0.tgz", - "integrity": "sha512-gLCeArryy2yNTRzTGKbZbloctj64jkZ57hj5zdraXue6aFgd6PmvVtEyiUU+hvU0v7q08oVv8r8ev0tRo6bvgw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/titleize": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/titleize/-/titleize-3.0.0.tgz", - "integrity": "sha512-KxVu8EYHDPBdUYdKZdKtU2aj2XfEx9AfjXxE/Aj0vT06w2icA09Vus1rh6eSu1y01akYg6BjIK/hxyLJINoMLQ==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/tmp": { - "version": "0.0.33", - "resolved": "https://registry.npmjs.org/tmp/-/tmp-0.0.33.tgz", - "integrity": "sha512-jRCJlojKnZ3addtTOjdIqoRuPEKBvNXcGYqzO6zWZX8KfKEpnGY5jfggJQ3EjKuu8D4bJRr0y+cYJFmYbImXGw==", - "dependencies": { - "os-tmpdir": "~1.0.2" - }, - "engines": { - "node": ">=0.6.0" - } - }, - "node_modules/tmpl": { - "version": "1.0.5", - "resolved": "https://registry.npmjs.org/tmpl/-/tmpl-1.0.5.tgz", - "integrity": "sha512-3f0uOEAQwIqGuWW2MVzYg8fV/QNnc/IpuJNG837rLuczAaLVHslWHZQj4IGiEl5Hs3kkbhwL9Ab7Hrsmuj+Smw==", - "dev": true - }, - "node_modules/to-absolute-glob": { - "version": "2.0.2", - "resolved": "https://registry.npmjs.org/to-absolute-glob/-/to-absolute-glob-2.0.2.tgz", - "integrity": "sha512-rtwLUQEwT8ZeKQbyFJyomBRYXyE16U5VKuy0ftxLMK/PZb2fkOsg5r9kHdauuVDbsNdIBoC/HCthpidamQFXYA==", - "dev": true, - "dependencies": { - "is-absolute": "^1.0.0", - "is-negated-glob": "^1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/to-fast-properties": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/to-fast-properties/-/to-fast-properties-2.0.0.tgz", - "integrity": "sha512-/OaKK0xYrs3DmxRYqL/yDc+FxFUVYhDlXMhRmv3z915w2HF1tnN1omB354j8VUGO/hbRzyD6Y3sA7v7GS/ceog==", - "dev": true, - "engines": { - "node": ">=4" - } - }, - "node_modules/to-object-path": { - "version": "0.3.0", - "resolved": "https://registry.npmjs.org/to-object-path/-/to-object-path-0.3.0.tgz", - "integrity": "sha512-9mWHdnGRuh3onocaHzukyvCZhzvr6tiflAy/JRFXcJX0TjgfWA9pk9t8CMbzmBE4Jfw58pXbkngtBtqYxzNEyg==", - "dev": true, - "dependencies": { - "kind-of": "^3.0.2" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/to-object-path/node_modules/kind-of": { - "version": "3.2.2", - "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-3.2.2.tgz", - "integrity": "sha512-NOW9QQXMoZGg/oqnVNoNTTIFEIid1627WCffUBJEdMxYApq7mNE7CpzucIPc+ZQg25Phej7IJSmX3hO+oblOtQ==", - "dev": true, - "dependencies": { - "is-buffer": "^1.1.5" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/to-regex": { - "version": "3.0.2", - "resolved": "https://registry.npmjs.org/to-regex/-/to-regex-3.0.2.tgz", - "integrity": "sha512-FWtleNAtZ/Ki2qtqej2CXTOayOH9bHDQF+Q48VpWyDXjbYxA4Yz8iDB31zXOBUlOHHKidDbqGVrTUvQMPmBGBw==", - "dev": true, - "dependencies": { - "define-property": "^2.0.2", - "extend-shallow": "^3.0.2", - "regex-not": "^1.0.2", - "safe-regex": "^1.1.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/to-regex-range": { - "version": "5.0.1", - "resolved": "https://registry.npmjs.org/to-regex-range/-/to-regex-range-5.0.1.tgz", - "integrity": "sha512-65P7iz6X5yEr1cwcgvQxbbIw7Uk3gOy5dIdtZ4rDveLqhrdJP+Li/Hx6tyK0NEb+2GCyneCMJiGqrADCSNk8sQ==", - "dependencies": { - "is-number": "^7.0.0" - }, - "engines": { - "node": ">=8.0" - } - }, - "node_modules/to-regex/node_modules/extend-shallow": { - "version": "3.0.2", - "resolved": "https://registry.npmjs.org/extend-shallow/-/extend-shallow-3.0.2.tgz", - "integrity": "sha512-BwY5b5Ql4+qZoefgMj2NUmx+tehVTH/Kf4k1ZEtOHNFcm2wSxMRo992l6X3TIgni2eZVTZ85xMOjF31fwZAj6Q==", - "dev": true, - "dependencies": { - "assign-symbols": "^1.0.0", - "is-extendable": "^1.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/to-through": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/to-through/-/to-through-2.0.0.tgz", - "integrity": "sha512-+QIz37Ly7acM4EMdw2PRN389OneM5+d844tirkGp4dPKzI5OE72V9OsbFp+CIYJDahZ41ZV05hNtcPAQUAm9/Q==", - "dev": true, - "dependencies": { - "through2": "^2.0.3" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/to-through/node_modules/through2": { - "version": "2.0.5", - "resolved": "https://registry.npmjs.org/through2/-/through2-2.0.5.tgz", - "integrity": "sha512-/mrRod8xqpA+IHSLyGCQ2s8SPHiCDEeQJSep1jqLYeEUClOFG2Qsh+4FU6G9VeqpZnGW/Su8LQGc4YKni5rYSQ==", - "dev": true, - "dependencies": { - "readable-stream": "~2.3.6", - "xtend": "~4.0.1" - } - }, - "node_modules/toidentifier": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/toidentifier/-/toidentifier-1.0.1.tgz", - "integrity": "sha512-o5sSPKEkg/DIQNmH43V0/uerLrpzVedkUh8tGNvaeXpfpuwjKenlSox/2O/BTlZUtEe+JG7s5YhEz608PlAHRA==", - "dev": true, - "engines": { - "node": ">=0.6" - } - }, - "node_modules/tr46": { - "version": "0.0.3", - "resolved": "https://registry.npmjs.org/tr46/-/tr46-0.0.3.tgz", - "integrity": "sha512-N3WMsuqV66lT30CrXNbEjx4GEwlow3v6rr4mCcv6prnfwhS01rkgyFdjPNBYd9br7LpXV1+Emh01fHnq2Gdgrw==", - "dev": true - }, - "node_modules/tree-kill": { - "version": "1.2.2", - "resolved": "https://registry.npmjs.org/tree-kill/-/tree-kill-1.2.2.tgz", - "integrity": "sha512-L0Orpi8qGpRG//Nd+H90vFB+3iHnue1zSSGmNOOCh1GLJ7rUKVwV2HvijphGQS2UmhUZewS9VgvxYIdgr+fG1A==", - "bin": { - "tree-kill": "cli.js" - } - }, - "node_modules/trim-newlines": { - "version": "3.0.1", - "resolved": "https://registry.npmjs.org/trim-newlines/-/trim-newlines-3.0.1.tgz", - "integrity": "sha512-c1PTsA3tYrIsLGkJkzHF+w9F2EyxfXGo4UyJc4pFL++FMjnq0HJS69T3M7d//gKrFKwy429bouPescbjecU+Zw==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/ts-jest": { - "version": "29.1.0", - "resolved": "https://registry.npmjs.org/ts-jest/-/ts-jest-29.1.0.tgz", - "integrity": "sha512-ZhNr7Z4PcYa+JjMl62ir+zPiNJfXJN6E8hSLnaUKhOgqcn8vb3e537cpkd0FuAfRK3sR1LSqM1MOhliXNgOFPA==", - "dev": true, - "dependencies": { - "bs-logger": "0.x", - "fast-json-stable-stringify": "2.x", - "jest-util": "^29.0.0", - "json5": "^2.2.3", - "lodash.memoize": "4.x", - "make-error": "1.x", - "semver": "7.x", - "yargs-parser": "^21.0.1" - }, - "bin": { - "ts-jest": "cli.js" - }, - "engines": { - "node": "^14.15.0 || ^16.10.0 || >=18.0.0" - }, - "peerDependencies": { - "@babel/core": ">=7.0.0-beta.0 <8", - "@jest/types": "^29.0.0", - "babel-jest": "^29.0.0", - "jest": "^29.0.0", - "typescript": ">=4.3 <6" - }, - "peerDependenciesMeta": { - "@babel/core": { - "optional": true - }, - "@jest/types": { - "optional": true - }, - "babel-jest": { - "optional": true - }, - "esbuild": { - "optional": true - } - } - }, - "node_modules/ts-loader": { - "version": "9.4.2", - "resolved": "https://registry.npmjs.org/ts-loader/-/ts-loader-9.4.2.tgz", - "integrity": "sha512-OmlC4WVmFv5I0PpaxYb+qGeGOdm5giHU7HwDDUjw59emP2UYMHy9fFSDcYgSNoH8sXcj4hGCSEhlDZ9ULeDraA==", - "dev": true, - "dependencies": { - "chalk": "^4.1.0", - "enhanced-resolve": "^5.0.0", - "micromatch": "^4.0.0", - "semver": "^7.3.4" - }, - "engines": { - "node": ">=12.0.0" - }, - "peerDependencies": { - "typescript": "*", - "webpack": "^5.0.0" - } - }, - "node_modules/ts-node": { - "version": "10.9.1", - "resolved": "https://registry.npmjs.org/ts-node/-/ts-node-10.9.1.tgz", - "integrity": "sha512-NtVysVPkxxrwFGUUxGYhfux8k78pQB3JqYBXlLRZgdGUqTO5wU/UyHop5p70iEbGhB7q5KmiZiU0Y3KlJrScEw==", - "dev": true, - "dependencies": { - "@cspotcode/source-map-support": "^0.8.0", - "@tsconfig/node10": "^1.0.7", - "@tsconfig/node12": "^1.0.7", - "@tsconfig/node14": "^1.0.0", - "@tsconfig/node16": "^1.0.2", - "acorn": "^8.4.1", - "acorn-walk": "^8.1.1", - "arg": "^4.1.0", - "create-require": "^1.1.0", - "diff": "^4.0.1", - "make-error": "^1.1.1", - "v8-compile-cache-lib": "^3.0.1", - "yn": "3.1.1" - }, - "bin": { - "ts-node": "dist/bin.js", - "ts-node-cwd": "dist/bin-cwd.js", - "ts-node-esm": "dist/bin-esm.js", - "ts-node-script": "dist/bin-script.js", - "ts-node-transpile-only": "dist/bin-transpile.js", - "ts-script": "dist/bin-script-deprecated.js" - }, - "peerDependencies": { - "@swc/core": ">=1.2.50", - "@swc/wasm": ">=1.2.50", - "@types/node": "*", - "typescript": ">=2.7" - }, - "peerDependenciesMeta": { - "@swc/core": { - "optional": true - }, - "@swc/wasm": { - "optional": true - } - } - }, - "node_modules/tsconfig-paths": { - "version": "4.2.0", - "resolved": "https://registry.npmjs.org/tsconfig-paths/-/tsconfig-paths-4.2.0.tgz", - "integrity": "sha512-NoZ4roiN7LnbKn9QqE1amc9DJfzvZXxF4xDavcOWt1BPkdx+m+0gJuPM+S0vCe7zTJMYUP0R8pO2XMr+Y8oLIg==", - "dependencies": { - "json5": "^2.2.2", - "minimist": "^1.2.6", - "strip-bom": "^3.0.0" - }, - "engines": { - "node": ">=6" - } - }, - "node_modules/tsconfig-paths-webpack-plugin": { - "version": "4.0.1", - "resolved": "https://registry.npmjs.org/tsconfig-paths-webpack-plugin/-/tsconfig-paths-webpack-plugin-4.0.1.tgz", - "integrity": "sha512-m5//KzLoKmqu2MVix+dgLKq70MnFi8YL8sdzQZ6DblmCdfuq/y3OqvJd5vMndg2KEVCOeNz8Es4WVZhYInteLw==", - "dependencies": { - "chalk": "^4.1.0", - "enhanced-resolve": "^5.7.0", - "tsconfig-paths": "^4.1.2" - }, - "engines": { - "node": ">=10.13.0" - } - }, - "node_modules/tsconfig-paths/node_modules/strip-bom": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/strip-bom/-/strip-bom-3.0.0.tgz", - "integrity": "sha512-vavAMRXOgBVNF6nyEEmL3DBK19iRpDcoIwW+swQ+CbGiu7lju6t+JklA1MHweoWtadgt4ISVUsXLyDq34ddcwA==", - "engines": { - "node": ">=4" - } - }, - "node_modules/tslib": { - "version": "2.5.0", - "resolved": "https://registry.npmjs.org/tslib/-/tslib-2.5.0.tgz", - "integrity": "sha512-336iVw3rtn2BUK7ORdIAHTyxHGRIHVReokCR3XjbckJMK7ms8FysBfhLR8IXnAgy7T0PTPNBWKiH514FOW/WSg==" - }, - "node_modules/tsutils": { - "version": "3.21.0", - "resolved": "https://registry.npmjs.org/tsutils/-/tsutils-3.21.0.tgz", - "integrity": "sha512-mHKK3iUXL+3UF6xL5k0PEhKRUBKPBCv/+RkEOpjRWxxx27KKRBmmA60A9pgOUvMi8GKhRMPEmjBRPzs2W7O1OA==", - "dev": true, - "dependencies": { - "tslib": "^1.8.1" - }, - "engines": { - "node": ">= 6" - }, - "peerDependencies": { - "typescript": ">=2.8.0 || >= 3.2.0-dev || >= 3.3.0-dev || >= 3.4.0-dev || >= 3.5.0-dev || >= 3.6.0-dev || >= 3.6.0-beta || >= 3.7.0-dev || >= 3.7.0-beta" - } - }, - "node_modules/tsutils/node_modules/tslib": { - "version": "1.14.1", - "resolved": "https://registry.npmjs.org/tslib/-/tslib-1.14.1.tgz", - "integrity": "sha512-Xni35NKzjgMrwevysHTCArtLDpPvye8zV/0E4EyYn43P7/7qvQwPh9BGkHewbMulVntbigmcT7rdX3BNo9wRJg==", - "dev": true - }, - "node_modules/type": { - "version": "1.2.0", - "resolved": "https://registry.npmjs.org/type/-/type-1.2.0.tgz", - "integrity": "sha512-+5nt5AAniqsCnu2cEQQdpzCAh33kVx8n0VoFidKpB1dVVLAN/F+bgVOqOJqOnEnrhp222clB5p3vUlD+1QAnfg==", - "dev": true - }, - "node_modules/type-check": { - "version": "0.4.0", - "resolved": "https://registry.npmjs.org/type-check/-/type-check-0.4.0.tgz", - "integrity": "sha512-XleUoc9uwGXqjWwXaUTZAmzMcFZ5858QA2vvx1Ur5xIcixXIP+8LnFDgRplU30us6teqdlskFfu+ae4K79Ooew==", - "dev": true, - "dependencies": { - "prelude-ls": "^1.2.1" - }, - "engines": { - "node": ">= 0.8.0" - } - }, - "node_modules/type-detect": { - "version": "4.0.8", - "resolved": "https://registry.npmjs.org/type-detect/-/type-detect-4.0.8.tgz", - "integrity": "sha512-0fr/mIH1dlO+x7TlcMy+bIDqKPsw/70tVyeHW787goQjhmqaZe10uwLujubK9q9Lg6Fiho1KUKDYz0Z7k7g5/g==", - "dev": true, - "engines": { - "node": ">=4" - } - }, - "node_modules/type-fest": { - "version": "0.20.2", - "resolved": "https://registry.npmjs.org/type-fest/-/type-fest-0.20.2.tgz", - "integrity": "sha512-Ne+eE4r0/iWnpAxD852z3A+N0Bt5RN//NjJwRd2VFHEmrywxf5vsZlh4R6lixl6B+wz/8d+maTSAkN1FIkI3LQ==", - "dev": true, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/typed-array-length": { - "version": "1.0.4", - "resolved": "https://registry.npmjs.org/typed-array-length/-/typed-array-length-1.0.4.tgz", - "integrity": "sha512-KjZypGq+I/H7HI5HlOoGHkWUUGq+Q0TPhQurLbyrVrvnKTBgzLhIJ7j6J/XTQOi0d1RjyZ0wdas8bKs2p0x3Ng==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2", - "for-each": "^0.3.3", - "is-typed-array": "^1.1.9" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/typedarray": { - "version": "0.0.6", - "resolved": "https://registry.npmjs.org/typedarray/-/typedarray-0.0.6.tgz", - "integrity": "sha512-/aCDEGatGvZ2BIk+HmLf4ifCJFwvKFNb9/JeZPMulfgFracn9QFcAf5GO8B/mweUjSoblS5In0cWhqpfs/5PQA==", - "dev": true - }, - "node_modules/typedarray-to-buffer": { - "version": "3.1.5", - "resolved": "https://registry.npmjs.org/typedarray-to-buffer/-/typedarray-to-buffer-3.1.5.tgz", - "integrity": "sha512-zdu8XMNEDepKKR+XYOXAVPtWui0ly0NtohUscw+UmaHiAWT8hrV1rr//H6V+0DvJ3OQ19S979M0laLfX8rm82Q==", - "dev": true, - "dependencies": { - "is-typedarray": "^1.0.0" - } - }, - "node_modules/typescript": { - "version": "4.9.5", - "resolved": "https://registry.npmjs.org/typescript/-/typescript-4.9.5.tgz", - "integrity": "sha512-1FXk9E2Hm+QzZQ7z+McJiHL4NW1F2EzMu9Nq9i3zAaGqibafqYwCVU6WyWAuyQRRzOlxou8xZSyXLEN8oKj24g==", - "bin": { - "tsc": "bin/tsc", - "tsserver": "bin/tsserver" - }, - "engines": { - "node": ">=4.2.0" - } - }, - "node_modules/unbox-primitive": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/unbox-primitive/-/unbox-primitive-1.0.2.tgz", - "integrity": "sha512-61pPlCD9h51VoreyJ0BReideM3MDKMKnh6+V9L08331ipq6Q8OFXZYiqP6n/tbHx4s5I9uRhcye6BrbkizkBDw==", - "dev": true, - "dependencies": { - "call-bind": "^1.0.2", - "has-bigints": "^1.0.2", - "has-symbols": "^1.0.3", - "which-boxed-primitive": "^1.0.2" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/unc-path-regex": { - "version": "0.1.2", - "resolved": "https://registry.npmjs.org/unc-path-regex/-/unc-path-regex-0.1.2.tgz", - "integrity": "sha512-eXL4nmJT7oCpkZsHZUOJo8hcX3GbsiDOa0Qu9F646fi8dT3XuSVopVqAcEiVzSKKH7UoDti23wNX3qGFxcW5Qg==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/undertaker": { - "version": "1.3.0", - "resolved": "https://registry.npmjs.org/undertaker/-/undertaker-1.3.0.tgz", - "integrity": "sha512-/RXwi5m/Mu3H6IHQGww3GNt1PNXlbeCuclF2QYR14L/2CHPz3DFZkvB5hZ0N/QUkiXWCACML2jXViIQEQc2MLg==", - "dev": true, - "dependencies": { - "arr-flatten": "^1.0.1", - "arr-map": "^2.0.0", - "bach": "^1.0.0", - "collection-map": "^1.0.0", - "es6-weak-map": "^2.0.1", - "fast-levenshtein": "^1.0.0", - "last-run": "^1.1.0", - "object.defaults": "^1.0.0", - "object.reduce": "^1.0.0", - "undertaker-registry": "^1.0.0" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/undertaker-registry": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/undertaker-registry/-/undertaker-registry-1.0.1.tgz", - "integrity": "sha512-UR1khWeAjugW3548EfQmL9Z7pGMlBgXteQpr1IZeZBtnkCJQJIJ1Scj0mb9wQaPvUZ9Q17XqW6TIaPchJkyfqw==", - "dev": true, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/undertaker/node_modules/fast-levenshtein": { - "version": "1.1.4", - "resolved": "https://registry.npmjs.org/fast-levenshtein/-/fast-levenshtein-1.1.4.tgz", - "integrity": "sha512-Ia0sQNrMPXXkqVFt6w6M1n1oKo3NfKs+mvaV811Jwir7vAk9a6PVV9VPYf6X3BU97QiLEmuW3uXH9u87zDFfdw==", - "dev": true - }, - "node_modules/union-value": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/union-value/-/union-value-1.0.1.tgz", - "integrity": "sha512-tJfXmxMeWYnczCVs7XAEvIV7ieppALdyepWMkHkwciRpZraG/xwT+s2JN8+pr1+8jCRf80FFzvr+MpQeeoF4Xg==", - "dev": true, - "dependencies": { - "arr-union": "^3.1.0", - "get-value": "^2.0.6", - "is-extendable": "^0.1.1", - "set-value": "^2.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/union-value/node_modules/arr-union": { - "version": "3.1.0", - "resolved": "https://registry.npmjs.org/arr-union/-/arr-union-3.1.0.tgz", - "integrity": "sha512-sKpyeERZ02v1FeCZT8lrfJq5u6goHCtpTAzPwJYe7c8SPFOboNjNg1vz2L4VTn9T4PQxEx13TbXLmYUcS6Ug7Q==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/union-value/node_modules/is-extendable": { - "version": "0.1.1", - "resolved": "https://registry.npmjs.org/is-extendable/-/is-extendable-0.1.1.tgz", - "integrity": "sha512-5BMULNob1vgFX6EjQw5izWDxrecWK9AM72rugNr0TFldMOi0fj6Jk+zeKIt0xGj4cEfQIJth4w3OKWOJ4f+AFw==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/unique-stream": { - "version": "2.3.1", - "resolved": "https://registry.npmjs.org/unique-stream/-/unique-stream-2.3.1.tgz", - "integrity": "sha512-2nY4TnBE70yoxHkDli7DMazpWiP7xMdCYqU2nBRO0UB+ZpEkGsSija7MvmvnZFUeC+mrgiUfcHSr3LmRFIg4+A==", - "dev": true, - "dependencies": { - "json-stable-stringify-without-jsonify": "^1.0.1", - "through2-filter": "^3.0.0" - } - }, - "node_modules/unique-string": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/unique-string/-/unique-string-3.0.0.tgz", - "integrity": "sha512-VGXBUVwxKMBUznyffQweQABPRRW1vHZAbadFZud4pLFAqRGvv/96vafgjWFqzourzr8YonlQiPgH0YCJfawoGQ==", - "dev": true, - "dependencies": { - "crypto-random-string": "^4.0.0" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/universal-user-agent": { - "version": "6.0.0", - "resolved": "https://registry.npmjs.org/universal-user-agent/-/universal-user-agent-6.0.0.tgz", - "integrity": "sha512-isyNax3wXoKaulPDZWHQqbmIx1k2tb9fb3GGDBRxCscfYV2Ch7WxPArBsFEG8s/safwXTT7H4QGhaIkTp9447w==", - "dev": true - }, - "node_modules/universalify": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/universalify/-/universalify-2.0.0.tgz", - "integrity": "sha512-hAZsKq7Yy11Zu1DE0OzWjw7nnLZmJZYTDZZyEFHZdUhV8FkH5MCfoU1XMaxXovpyW5nq5scPqq0ZDP9Zyl04oQ==", - "engines": { - "node": ">= 10.0.0" - } - }, - "node_modules/unpipe": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/unpipe/-/unpipe-1.0.0.tgz", - "integrity": "sha512-pjy2bYhSsufwWlKwPc+l3cN7+wuJlK6uz0YdJEOlQDbl6jo/YlPi4mb8agUkVC8BF7V8NuzeyPNqRksA3hztKQ==", - "dev": true, - "engines": { - "node": ">= 0.8" - } - }, - "node_modules/unset-value": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/unset-value/-/unset-value-1.0.0.tgz", - "integrity": "sha512-PcA2tsuGSF9cnySLHTLSh2qrQiJ70mn+r+Glzxv2TWZblxsxCC52BDlZoPCsz7STd9pN7EZetkWZBAvk4cgZdQ==", - "dev": true, - "dependencies": { - "has-value": "^0.3.1", - "isobject": "^3.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/unset-value/node_modules/has-value": { - "version": "0.3.1", - "resolved": "https://registry.npmjs.org/has-value/-/has-value-0.3.1.tgz", - "integrity": "sha512-gpG936j8/MzaeID5Yif+577c17TxaDmhuyVgSwtnL/q8UUTySg8Mecb+8Cf1otgLoD7DDH75axp86ER7LFsf3Q==", - "dev": true, - "dependencies": { - "get-value": "^2.0.3", - "has-values": "^0.1.4", - "isobject": "^2.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/unset-value/node_modules/has-value/node_modules/isobject": { - "version": "2.1.0", - "resolved": "https://registry.npmjs.org/isobject/-/isobject-2.1.0.tgz", - "integrity": "sha512-+OUdGJlgjOBZDfxnDjYYG6zp487z0JGNQq3cYQYg5f5hKR+syHMsaztzGeml/4kGG55CSpKSpWTY+jYGgsHLgA==", - "dev": true, - "dependencies": { - "isarray": "1.0.0" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/unset-value/node_modules/has-values": { - "version": "0.1.4", - "resolved": "https://registry.npmjs.org/has-values/-/has-values-0.1.4.tgz", - "integrity": "sha512-J8S0cEdWuQbqD9//tlZxiMuMNmxB8PlEwvYwuxsTmR1G5RXUePEX/SJn7aD0GMLieuZYSwNH0cQuJGwnYunXRQ==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/untildify": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/untildify/-/untildify-4.0.0.tgz", - "integrity": "sha512-KK8xQ1mkzZeg9inewmFVDNkg3l5LUhoq9kN6iWYB/CC9YMG8HA+c1Q8HwDe6dEX7kErrEVNVBO3fWsVq5iDgtw==", - "dev": true, - "engines": { - "node": ">=8" - } - }, - "node_modules/upath": { - "version": "1.2.0", - "resolved": "https://registry.npmjs.org/upath/-/upath-1.2.0.tgz", - "integrity": "sha512-aZwGpamFO61g3OlfT7OQCHqhGnW43ieH9WZeP7QxN/G/jS4jfqUkZxoryvJgVPEcrl5NL/ggHsSmLMHuH64Lhg==", - "dev": true, - "engines": { - "node": ">=4", - "yarn": "*" - } - }, - "node_modules/update-browserslist-db": { - "version": "1.0.11", - "resolved": "https://registry.npmjs.org/update-browserslist-db/-/update-browserslist-db-1.0.11.tgz", - "integrity": "sha512-dCwEFf0/oT85M1fHBg4F0jtLwJrutGoHSQXCh7u4o2t1drG+c0a9Flnqww6XUKSfQMPpJBRjU8d4RXB09qtvaA==", - "funding": [ - { - "type": "opencollective", - "url": "https://opencollective.com/browserslist" - }, - { - "type": "tidelift", - "url": "https://tidelift.com/funding/github/npm/browserslist" - }, - { - "type": "github", - "url": "https://github.com/sponsors/ai" - } - ], - "dependencies": { - "escalade": "^3.1.1", - "picocolors": "^1.0.0" - }, - "bin": { - "update-browserslist-db": "cli.js" - }, - "peerDependencies": { - "browserslist": ">= 4.21.0" - } - }, - "node_modules/update-notifier": { - "version": "6.0.2", - "resolved": "https://registry.npmjs.org/update-notifier/-/update-notifier-6.0.2.tgz", - "integrity": "sha512-EDxhTEVPZZRLWYcJ4ZXjGFN0oP7qYvbXWzEgRm/Yql4dHX5wDbvh89YHP6PK1lzZJYrMtXUuZZz8XGK+U6U1og==", - "dev": true, - "dependencies": { - "boxen": "^7.0.0", - "chalk": "^5.0.1", - "configstore": "^6.0.0", - "has-yarn": "^3.0.0", - "import-lazy": "^4.0.0", - "is-ci": "^3.0.1", - "is-installed-globally": "^0.4.0", - "is-npm": "^6.0.0", - "is-yarn-global": "^0.4.0", - "latest-version": "^7.0.0", - "pupa": "^3.1.0", - "semver": "^7.3.7", - "semver-diff": "^4.0.0", - "xdg-basedir": "^5.1.0" - }, - "engines": { - "node": ">=14.16" - }, - "funding": { - "url": "https://github.com/yeoman/update-notifier?sponsor=1" - } - }, - "node_modules/update-notifier/node_modules/chalk": { - "version": "5.2.0", - "resolved": "https://registry.npmjs.org/chalk/-/chalk-5.2.0.tgz", - "integrity": "sha512-ree3Gqw/nazQAPuJJEy+avdl7QfZMcUvmHIKgEZkGL+xOBzRvup5Hxo6LHuMceSxOabuJLJm5Yp/92R9eMmMvA==", - "dev": true, - "engines": { - "node": "^12.17.0 || ^14.13 || >=16.0.0" - }, - "funding": { - "url": "https://github.com/chalk/chalk?sponsor=1" - } - }, - "node_modules/uri-js": { - "version": "4.4.1", - "resolved": "https://registry.npmjs.org/uri-js/-/uri-js-4.4.1.tgz", - "integrity": "sha512-7rKUyy33Q1yc98pQ1DAmLtwX109F7TIfWlW1Ydo8Wl1ii1SeHieeh0HHfPeL2fMXK6z0s8ecKs9frCuLJvndBg==", - "dependencies": { - "punycode": "^2.1.0" - } - }, - "node_modules/urix": { - "version": "0.1.0", - "resolved": "https://registry.npmjs.org/urix/-/urix-0.1.0.tgz", - "integrity": "sha512-Am1ousAhSLBeB9cG/7k7r2R0zj50uDRlZHPGbazid5s9rlF1F/QKYObEKSIunSjIOkJZqwRRLpvewjEkM7pSqg==", - "deprecated": "Please see https://github.com/lydell/urix#deprecated", - "dev": true - }, - "node_modules/url-join": { - "version": "5.0.0", - "resolved": "https://registry.npmjs.org/url-join/-/url-join-5.0.0.tgz", - "integrity": "sha512-n2huDr9h9yzd6exQVnH/jU5mr+Pfx08LRXXZhkLLetAMESRj+anQsTAh940iMrIetKAmry9coFuZQ2jY8/p3WA==", - "dev": true, - "engines": { - "node": "^12.20.0 || ^14.13.1 || >=16.0.0" - } - }, - "node_modules/use": { - "version": "3.1.1", - "resolved": "https://registry.npmjs.org/use/-/use-3.1.1.tgz", - "integrity": "sha512-cwESVXlO3url9YWlFW/TA9cshCEhtu7IKJ/p5soJ/gGpj7vbvFrAY/eIioQ6Dw23KjZhYgiIo8HOs1nQ2vr/oQ==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/util-deprecate": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/util-deprecate/-/util-deprecate-1.0.2.tgz", - "integrity": "sha512-EPD5q1uXyFxJpCrLnCc1nHnq3gOa6DZBocAIiI2TaSCA7VCJ1UJDMagCzIkXNsUYfD1daK//LTEQ8xiIbrHtcw==" - }, - "node_modules/v8-compile-cache-lib": { - "version": "3.0.1", - "resolved": "https://registry.npmjs.org/v8-compile-cache-lib/-/v8-compile-cache-lib-3.0.1.tgz", - "integrity": "sha512-wa7YjyUGfNZngI/vtK0UHAN+lgDCxBPCylVXGp0zu59Fz5aiGtNXaq3DhIov063MorB+VfufLh3JlF2KdTK3xg==", - "dev": true - }, - "node_modules/v8-to-istanbul": { - "version": "9.1.0", - "resolved": "https://registry.npmjs.org/v8-to-istanbul/-/v8-to-istanbul-9.1.0.tgz", - "integrity": "sha512-6z3GW9x8G1gd+JIIgQQQxXuiJtCXeAjp6RaPEPLv62mH3iPHPxV6W3robxtCzNErRo6ZwTmzWhsbNvjyEBKzKA==", - "dev": true, - "dependencies": { - "@jridgewell/trace-mapping": "^0.3.12", - "@types/istanbul-lib-coverage": "^2.0.1", - "convert-source-map": "^1.6.0" - }, - "engines": { - "node": ">=10.12.0" - } - }, - "node_modules/v8-to-istanbul/node_modules/convert-source-map": { - "version": "1.9.0", - "resolved": "https://registry.npmjs.org/convert-source-map/-/convert-source-map-1.9.0.tgz", - "integrity": "sha512-ASFBup0Mz1uyiIjANan1jzLQami9z1PoYSZCiiYW2FczPbenXc45FZdBZLzOT+r6+iciuEModtmCti+hjaAk0A==", - "dev": true - }, - "node_modules/v8flags": { - "version": "3.2.0", - "resolved": "https://registry.npmjs.org/v8flags/-/v8flags-3.2.0.tgz", - "integrity": "sha512-mH8etigqMfiGWdeXpaaqGfs6BndypxusHHcv2qSHyZkGEznCd/qAXCWWRzeowtL54147cktFOC4P5y+kl8d8Jg==", - "dev": true, - "dependencies": { - "homedir-polyfill": "^1.0.1" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/validate-npm-package-license": { - "version": "3.0.4", - "resolved": "https://registry.npmjs.org/validate-npm-package-license/-/validate-npm-package-license-3.0.4.tgz", - "integrity": "sha512-DpKm2Ui/xN7/HQKCtpZxoRWBhZ9Z0kqtygG8XCgNQ8ZlDnxuQmWhj566j8fN4Cu3/JmbhsDo7fcAJq4s9h27Ew==", - "dev": true, - "dependencies": { - "spdx-correct": "^3.0.0", - "spdx-expression-parse": "^3.0.0" - } - }, - "node_modules/value-or-function": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/value-or-function/-/value-or-function-3.0.0.tgz", - "integrity": "sha512-jdBB2FrWvQC/pnPtIqcLsMaQgjhdb6B7tk1MMyTKapox+tQZbdRP4uLxu/JY0t7fbfDCUMnuelzEYv5GsxHhdg==", - "dev": true, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/vinyl": { - "version": "2.2.1", - "resolved": "https://registry.npmjs.org/vinyl/-/vinyl-2.2.1.tgz", - "integrity": "sha512-LII3bXRFBZLlezoG5FfZVcXflZgWP/4dCwKtxd5ky9+LOtM4CS3bIRQsmR1KMnMW07jpE8fqR2lcxPZ+8sJIcw==", - "dev": true, - "dependencies": { - "clone": "^2.1.1", - "clone-buffer": "^1.0.0", - "clone-stats": "^1.0.0", - "cloneable-readable": "^1.0.0", - "remove-trailing-separator": "^1.0.1", - "replace-ext": "^1.0.0" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/vinyl-fs": { - "version": "3.0.3", - "resolved": "https://registry.npmjs.org/vinyl-fs/-/vinyl-fs-3.0.3.tgz", - "integrity": "sha512-vIu34EkyNyJxmP0jscNzWBSygh7VWhqun6RmqVfXePrOwi9lhvRs//dOaGOTRUQr4tx7/zd26Tk5WeSVZitgng==", - "dev": true, - "dependencies": { - "fs-mkdirp-stream": "^1.0.0", - "glob-stream": "^6.1.0", - "graceful-fs": "^4.0.0", - "is-valid-glob": "^1.0.0", - "lazystream": "^1.0.0", - "lead": "^1.0.0", - "object.assign": "^4.0.4", - "pumpify": "^1.3.5", - "readable-stream": "^2.3.3", - "remove-bom-buffer": "^3.0.0", - "remove-bom-stream": "^1.2.0", - "resolve-options": "^1.1.0", - "through2": "^2.0.0", - "to-through": "^2.0.0", - "value-or-function": "^3.0.0", - "vinyl": "^2.0.0", - "vinyl-sourcemap": "^1.1.0" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/vinyl-fs/node_modules/through2": { - "version": "2.0.5", - "resolved": "https://registry.npmjs.org/through2/-/through2-2.0.5.tgz", - "integrity": "sha512-/mrRod8xqpA+IHSLyGCQ2s8SPHiCDEeQJSep1jqLYeEUClOFG2Qsh+4FU6G9VeqpZnGW/Su8LQGc4YKni5rYSQ==", - "dev": true, - "dependencies": { - "readable-stream": "~2.3.6", - "xtend": "~4.0.1" - } - }, - "node_modules/vinyl-sourcemap": { - "version": "1.1.0", - "resolved": "https://registry.npmjs.org/vinyl-sourcemap/-/vinyl-sourcemap-1.1.0.tgz", - "integrity": "sha512-NiibMgt6VJGJmyw7vtzhctDcfKch4e4n9TBeoWlirb7FMg9/1Ov9k+A5ZRAtywBpRPiyECvQRQllYM8dECegVA==", - "dev": true, - "dependencies": { - "append-buffer": "^1.0.2", - "convert-source-map": "^1.5.0", - "graceful-fs": "^4.1.6", - "normalize-path": "^2.1.1", - "now-and-later": "^2.0.0", - "remove-bom-buffer": "^3.0.0", - "vinyl": "^2.0.0" - }, - "engines": { - "node": ">= 0.10" - } - }, - "node_modules/vinyl-sourcemap/node_modules/convert-source-map": { - "version": "1.9.0", - "resolved": "https://registry.npmjs.org/convert-source-map/-/convert-source-map-1.9.0.tgz", - "integrity": "sha512-ASFBup0Mz1uyiIjANan1jzLQami9z1PoYSZCiiYW2FczPbenXc45FZdBZLzOT+r6+iciuEModtmCti+hjaAk0A==", - "dev": true - }, - "node_modules/vinyl-sourcemap/node_modules/normalize-path": { - "version": "2.1.1", - "resolved": "https://registry.npmjs.org/normalize-path/-/normalize-path-2.1.1.tgz", - "integrity": "sha512-3pKJwH184Xo/lnH6oyP1q2pMd7HcypqqmRs91/6/i2CGtWwIKGCkOOMTm/zXbgTEWHw1uNpNi/igc3ePOYHb6w==", - "dev": true, - "dependencies": { - "remove-trailing-separator": "^1.0.1" - }, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/vm2": { - "version": "3.9.17", - "resolved": "https://registry.npmjs.org/vm2/-/vm2-3.9.17.tgz", - "integrity": "sha512-AqwtCnZ/ERcX+AVj9vUsphY56YANXxRuqMb7GsDtAr0m0PcQX3u0Aj3KWiXM0YAHy7i6JEeHrwOnwXbGYgRpAw==", - "dev": true, - "dependencies": { - "acorn": "^8.7.0", - "acorn-walk": "^8.2.0" - }, - "bin": { - "vm2": "bin/vm2" - }, - "engines": { - "node": ">=6.0" - } - }, - "node_modules/walker": { - "version": "1.0.8", - "resolved": "https://registry.npmjs.org/walker/-/walker-1.0.8.tgz", - "integrity": "sha512-ts/8E8l5b7kY0vlWLewOkDXMmPdLcVV4GmOQLyxuSswIJsweeFZtAsMF7k1Nszz+TYBQrlYRmzOnr398y1JemQ==", - "dev": true, - "dependencies": { - "makeerror": "1.0.12" - } - }, - "node_modules/watchpack": { - "version": "2.4.0", - "resolved": "https://registry.npmjs.org/watchpack/-/watchpack-2.4.0.tgz", - "integrity": "sha512-Lcvm7MGST/4fup+ifyKi2hjyIAwcdI4HRgtvTpIUxBRhB+RFtUh8XtDOxUfctVCnhVi+QQj49i91OyvzkJl6cg==", - "dependencies": { - "glob-to-regexp": "^0.4.1", - "graceful-fs": "^4.1.2" - }, - "engines": { - "node": ">=10.13.0" - } - }, - "node_modules/wcwidth": { - "version": "1.0.1", - "resolved": "https://registry.npmjs.org/wcwidth/-/wcwidth-1.0.1.tgz", - "integrity": "sha512-XHPEwS0q6TaxcvG85+8EYkbiCux2XtWG2mkc47Ng2A77BQu9+DqIOJldST4HgPkuea7dvKSj5VgX3P1d4rW8Tg==", - "dependencies": { - "defaults": "^1.0.3" - } - }, - "node_modules/web-streams-polyfill": { - "version": "3.2.1", - "resolved": "https://registry.npmjs.org/web-streams-polyfill/-/web-streams-polyfill-3.2.1.tgz", - "integrity": "sha512-e0MO3wdXWKrLbL0DgGnUV7WHVuw9OUvL4hjgnPkIeEvESk74gAITi5G606JtZPp39cd8HA9VQzCIvA49LpPN5Q==", - "dev": true, - "engines": { - "node": ">= 8" - } - }, - "node_modules/webidl-conversions": { - "version": "3.0.1", - "resolved": "https://registry.npmjs.org/webidl-conversions/-/webidl-conversions-3.0.1.tgz", - "integrity": "sha512-2JAn3z8AR6rjK8Sm8orRC0h/bcl/DqL7tRPdGZ4I1CjdF+EaMLmYxBHyXuKL849eucPFhvBoxMsflfOb8kxaeQ==", - "dev": true - }, - "node_modules/webpack": { - "version": "5.80.0", - "resolved": "https://registry.npmjs.org/webpack/-/webpack-5.80.0.tgz", - "integrity": "sha512-OIMiq37XK1rWO8mH9ssfFKZsXg4n6klTEDL7S8/HqbAOBBaiy8ABvXvz0dDCXeEF9gqwxSvVk611zFPjS8hJxA==", - "dependencies": { - "@types/eslint-scope": "^3.7.3", - "@types/estree": "^1.0.0", - "@webassemblyjs/ast": "^1.11.5", - "@webassemblyjs/wasm-edit": "^1.11.5", - "@webassemblyjs/wasm-parser": "^1.11.5", - "acorn": "^8.7.1", - "acorn-import-assertions": "^1.7.6", - "browserslist": "^4.14.5", - "chrome-trace-event": "^1.0.2", - "enhanced-resolve": "^5.13.0", - "es-module-lexer": "^1.2.1", - "eslint-scope": "5.1.1", - "events": "^3.2.0", - "glob-to-regexp": "^0.4.1", - "graceful-fs": "^4.2.9", - "json-parse-even-better-errors": "^2.3.1", - "loader-runner": "^4.2.0", - "mime-types": "^2.1.27", - "neo-async": "^2.6.2", - "schema-utils": "^3.1.2", - "tapable": "^2.1.1", - "terser-webpack-plugin": "^5.3.7", - "watchpack": "^2.4.0", - "webpack-sources": "^3.2.3" - }, - "bin": { - "webpack": "bin/webpack.js" - }, - "engines": { - "node": ">=10.13.0" - }, - "funding": { - "type": "opencollective", - "url": "https://opencollective.com/webpack" - }, - "peerDependenciesMeta": { - "webpack-cli": { - "optional": true - } - } - }, - "node_modules/webpack-node-externals": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/webpack-node-externals/-/webpack-node-externals-3.0.0.tgz", - "integrity": "sha512-LnL6Z3GGDPht/AigwRh2dvL9PQPFQ8skEpVrWZXLWBYmqcaojHNN0onvHzie6rq7EWKrrBfPYqNEzTJgiwEQDQ==", - "engines": { - "node": ">=6" - } - }, - "node_modules/webpack-sources": { - "version": "3.2.3", - "resolved": "https://registry.npmjs.org/webpack-sources/-/webpack-sources-3.2.3.tgz", - "integrity": "sha512-/DyMEOrDgLKKIG0fmvtz+4dUX/3Ghozwgm6iPp8KRhvn+eQf9+Q7GWxVNMk3+uCPWfdXYC4ExGBckIXdFEfH1w==", - "engines": { - "node": ">=10.13.0" - } - }, - "node_modules/whatwg-url": { - "version": "5.0.0", - "resolved": "https://registry.npmjs.org/whatwg-url/-/whatwg-url-5.0.0.tgz", - "integrity": "sha512-saE57nupxk6v3HY35+jzBwYa0rKSy0XR8JSxZPwgLr7ys0IBzhGviA1/TUGJLmSVqs8pb9AnvICXEuOHLprYTw==", - "dev": true, - "dependencies": { - "tr46": "~0.0.3", - "webidl-conversions": "^3.0.0" - } - }, - "node_modules/which": { - "version": "2.0.2", - "resolved": "https://registry.npmjs.org/which/-/which-2.0.2.tgz", - "integrity": "sha512-BLI3Tl1TW3Pvl70l3yq3Y64i+awpwXqsGBYWkkqMtnbXgrMD+yj7rhW0kuEDxzJaYXGjEW5ogapKNMEKNMjibA==", - "dependencies": { - "isexe": "^2.0.0" - }, - "bin": { - "node-which": "bin/node-which" - }, - "engines": { - "node": ">= 8" - } - }, - "node_modules/which-boxed-primitive": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/which-boxed-primitive/-/which-boxed-primitive-1.0.2.tgz", - "integrity": "sha512-bwZdv0AKLpplFY2KZRX6TvyuN7ojjr7lwkg6ml0roIy9YeuSr7JS372qlNW18UQYzgYK9ziGcerWqZOmEn9VNg==", - "dev": true, - "dependencies": { - "is-bigint": "^1.0.1", - "is-boolean-object": "^1.1.0", - "is-number-object": "^1.0.4", - "is-string": "^1.0.5", - "is-symbol": "^1.0.3" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/which-module": { - "version": "1.0.0", - "resolved": "https://registry.npmjs.org/which-module/-/which-module-1.0.0.tgz", - "integrity": "sha512-F6+WgncZi/mJDrammbTuHe1q0R5hOXv/mBaiNA2TCNT/LTHusX0V+CJnj9XT8ki5ln2UZyyddDgHfCzyrOH7MQ==", - "dev": true - }, - "node_modules/which-typed-array": { - "version": "1.1.9", - "resolved": "https://registry.npmjs.org/which-typed-array/-/which-typed-array-1.1.9.tgz", - "integrity": "sha512-w9c4xkx6mPidwp7180ckYWfMmvxpjlZuIudNtDf4N/tTAUB8VJbX25qZoAsrtGuYNnGw3pa0AXgbGKRB8/EceA==", - "dev": true, - "dependencies": { - "available-typed-arrays": "^1.0.5", - "call-bind": "^1.0.2", - "for-each": "^0.3.3", - "gopd": "^1.0.1", - "has-tostringtag": "^1.0.0", - "is-typed-array": "^1.1.10" - }, - "engines": { - "node": ">= 0.4" - }, - "funding": { - "url": "https://github.com/sponsors/ljharb" - } - }, - "node_modules/widest-line": { - "version": "4.0.1", - "resolved": "https://registry.npmjs.org/widest-line/-/widest-line-4.0.1.tgz", - "integrity": "sha512-o0cyEG0e8GPzT4iGHphIOh0cJOV8fivsXxddQasHPHfoZf1ZexrfeA21w2NaEN1RHE+fXlfISmOE8R9N3u3Qig==", - "dev": true, - "dependencies": { - "string-width": "^5.0.1" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/widest-line/node_modules/ansi-regex": { - "version": "6.0.1", - "resolved": "https://registry.npmjs.org/ansi-regex/-/ansi-regex-6.0.1.tgz", - "integrity": "sha512-n5M855fKb2SsfMIiFFoVrABHJC8QtHwVx+mHWP3QcEqBHYienj5dHSgjbxtC0WEZXYt4wcD6zrQElDPhFuZgfA==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/chalk/ansi-regex?sponsor=1" - } - }, - "node_modules/widest-line/node_modules/emoji-regex": { - "version": "9.2.2", - "resolved": "https://registry.npmjs.org/emoji-regex/-/emoji-regex-9.2.2.tgz", - "integrity": "sha512-L18DaJsXSUk2+42pv8mLs5jJT2hqFkFE4j21wOmgbUqsZ2hL72NsUU785g9RXgo3s0ZNgVl42TiHp3ZtOv/Vyg==", - "dev": true - }, - "node_modules/widest-line/node_modules/string-width": { - "version": "5.1.2", - "resolved": "https://registry.npmjs.org/string-width/-/string-width-5.1.2.tgz", - "integrity": "sha512-HnLOCR3vjcY8beoNLtcjZ5/nxn2afmME6lhrDrebokqMap+XbeW8n9TXpPDOqdGK5qcI3oT0GKTW6wC7EMiVqA==", - "dev": true, - "dependencies": { - "eastasianwidth": "^0.2.0", - "emoji-regex": "^9.2.2", - "strip-ansi": "^7.0.1" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/widest-line/node_modules/strip-ansi": { - "version": "7.0.1", - "resolved": "https://registry.npmjs.org/strip-ansi/-/strip-ansi-7.0.1.tgz", - "integrity": "sha512-cXNxvT8dFNRVfhVME3JAe98mkXDYN2O1l7jmcwMnOslDeESg1rF/OZMtK0nRAhiari1unG5cD4jG3rapUAkLbw==", - "dev": true, - "dependencies": { - "ansi-regex": "^6.0.1" - }, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/chalk/strip-ansi?sponsor=1" - } - }, - "node_modules/wildcard-match": { - "version": "5.1.2", - "resolved": "https://registry.npmjs.org/wildcard-match/-/wildcard-match-5.1.2.tgz", - "integrity": "sha512-qNXwI591Z88c8bWxp+yjV60Ch4F8Riawe3iGxbzquhy8Xs9m+0+SLFBGb/0yCTIDElawtaImC37fYZ+dr32KqQ==", - "dev": true - }, - "node_modules/windows-release": { - "version": "4.0.0", - "resolved": "https://registry.npmjs.org/windows-release/-/windows-release-4.0.0.tgz", - "integrity": "sha512-OxmV4wzDKB1x7AZaZgXMVsdJ1qER1ed83ZrTYd5Bwq2HfJVg3DJS8nqlAG4sMoJ7mu8cuRmLEYyU13BKwctRAg==", - "dependencies": { - "execa": "^4.0.2" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/windows-release/node_modules/execa": { - "version": "4.1.0", - "resolved": "https://registry.npmjs.org/execa/-/execa-4.1.0.tgz", - "integrity": "sha512-j5W0//W7f8UxAn8hXVnwG8tLwdiUy4FJLcSupCg6maBYZDpyBvTApK7KyuI4bKj8KOh1r2YH+6ucuYtJv1bTZA==", - "dependencies": { - "cross-spawn": "^7.0.0", - "get-stream": "^5.0.0", - "human-signals": "^1.1.1", - "is-stream": "^2.0.0", - "merge-stream": "^2.0.0", - "npm-run-path": "^4.0.0", - "onetime": "^5.1.0", - "signal-exit": "^3.0.2", - "strip-final-newline": "^2.0.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sindresorhus/execa?sponsor=1" - } - }, - "node_modules/windows-release/node_modules/get-stream": { - "version": "5.2.0", - "resolved": "https://registry.npmjs.org/get-stream/-/get-stream-5.2.0.tgz", - "integrity": "sha512-nBF+F1rAZVCu/p7rjzgA+Yb4lfYXrpl7a6VmJrU8wF9I1CKvP/QwPNZHnOlwbTkY6dvtFIzFMSyQXbLoTQPRpA==", - "dependencies": { - "pump": "^3.0.0" - }, - "engines": { - "node": ">=8" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/windows-release/node_modules/human-signals": { - "version": "1.1.1", - "resolved": "https://registry.npmjs.org/human-signals/-/human-signals-1.1.1.tgz", - "integrity": "sha512-SEQu7vl8KjNL2eoGBLF3+wAjpsNfA9XMlXAYj/3EdaNfAlxKthD1xjEQfGOUhllCGGJVNY34bRr6lPINhNjyZw==", - "engines": { - "node": ">=8.12.0" - } - }, - "node_modules/windows-release/node_modules/pump": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/pump/-/pump-3.0.0.tgz", - "integrity": "sha512-LwZy+p3SFs1Pytd/jYct4wpv49HiYCqd9Rlc5ZVdk0V+8Yzv6jR5Blk3TRmPL1ft69TxP0IMZGJ+WPFU2BFhww==", - "dependencies": { - "end-of-stream": "^1.1.0", - "once": "^1.3.1" - } - }, - "node_modules/word-wrap": { - "version": "1.2.3", - "resolved": "https://registry.npmjs.org/word-wrap/-/word-wrap-1.2.3.tgz", - "integrity": "sha512-Hz/mrNwitNRh/HUAtM/VT/5VH+ygD6DV7mYKZAtHOrbs8U7lvPS6xf7EJKMF0uW1KJCl0H701g3ZGus+muE5vQ==", - "dev": true, - "engines": { - "node": ">=0.10.0" - } - }, - "node_modules/wrap-ansi": { - "version": "7.0.0", - "resolved": "https://registry.npmjs.org/wrap-ansi/-/wrap-ansi-7.0.0.tgz", - "integrity": "sha512-YVGIj2kamLSTxw6NsZjoBxfSwsn0ycdesmc4p+Q21c5zPuZ1pl+NfxVdxPtdHvmNVOQ6XSYG4AUtyt/Fi7D16Q==", - "dependencies": { - "ansi-styles": "^4.0.0", - "string-width": "^4.1.0", - "strip-ansi": "^6.0.0" - }, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/chalk/wrap-ansi?sponsor=1" - } - }, - "node_modules/wrappy": { - "version": "1.0.2", - "resolved": "https://registry.npmjs.org/wrappy/-/wrappy-1.0.2.tgz", - "integrity": "sha512-l4Sp/DRseor9wL6EvV2+TuQn63dMkPjZ/sp9XkghTEbV9KlPS1xUsZ3u7/IQO4wxtcFB4bgpQPRcR3QCvezPcQ==" - }, - "node_modules/write-file-atomic": { - "version": "4.0.2", - "resolved": "https://registry.npmjs.org/write-file-atomic/-/write-file-atomic-4.0.2.tgz", - "integrity": "sha512-7KxauUdBmSdWnmpaGFg+ppNjKF8uNLry8LyzjauQDOVONfFLNKrKvQOxZ/VuTIcS/gge/YNahf5RIIQWTSarlg==", - "dev": true, - "dependencies": { - "imurmurhash": "^0.1.4", - "signal-exit": "^3.0.7" - }, - "engines": { - "node": "^12.13.0 || ^14.15.0 || >=16.0.0" - } - }, - "node_modules/xdg-basedir": { - "version": "5.1.0", - "resolved": "https://registry.npmjs.org/xdg-basedir/-/xdg-basedir-5.1.0.tgz", - "integrity": "sha512-GCPAHLvrIH13+c0SuacwvRYj2SxJXQ4kaVTT5xgL3kPrz56XxkF21IGhjSE1+W0aw7gpBWRGXLCPnPby6lSpmQ==", - "dev": true, - "engines": { - "node": ">=12" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - }, - "node_modules/xregexp": { - "version": "2.0.0", - "resolved": "https://registry.npmjs.org/xregexp/-/xregexp-2.0.0.tgz", - "integrity": "sha512-xl/50/Cf32VsGq/1R8jJE5ajH1yMCQkpmoS10QbFZWl2Oor4H0Me64Pu2yxvsRWK3m6soJbmGfzSR7BYmDcWAA==", - "dev": true, - "engines": { - "node": "*" - } - }, - "node_modules/xtend": { - "version": "4.0.2", - "resolved": "https://registry.npmjs.org/xtend/-/xtend-4.0.2.tgz", - "integrity": "sha512-LKYU1iAXJXUgAXn9URjiu+MWhyUXHsvfp7mcuYm9dSUKK0/CjtrUwFAxD82/mCWbtLsGjFIad0wIsod4zrTAEQ==", - "dev": true, - "engines": { - "node": ">=0.4" - } - }, - "node_modules/y18n": { - "version": "5.0.8", - "resolved": "https://registry.npmjs.org/y18n/-/y18n-5.0.8.tgz", - "integrity": "sha512-0pfFzegeDWJHJIAmTLRP2DwHjdF5s7jo9tuztdQxAhINCdvS+3nGINqPd00AphqJR/0LhANUS6/+7SCb98YOfA==", - "dev": true, - "engines": { - "node": ">=10" - } - }, - "node_modules/yallist": { - "version": "3.1.1", - "resolved": "https://registry.npmjs.org/yallist/-/yallist-3.1.1.tgz", - "integrity": "sha512-a4UGQaWPH59mOXUYnAG2ewncQS4i4F43Tv3JoAM+s2VDAmS9NsK8GpDMLrCHPksFT7h3K6TOoUNn2pb7RoXx4g==", - "dev": true - }, - "node_modules/yaml": { - "version": "2.2.2", - "resolved": "https://registry.npmjs.org/yaml/-/yaml-2.2.2.tgz", - "integrity": "sha512-CBKFWExMn46Foo4cldiChEzn7S7SRV+wqiluAb6xmueD/fGyRHIhX8m14vVGgeFWjN540nKCNVj6P21eQjgTuA==", - "dev": true, - "engines": { - "node": ">= 14" - } - }, - "node_modules/yargs": { - "version": "17.7.2", - "resolved": "https://registry.npmjs.org/yargs/-/yargs-17.7.2.tgz", - "integrity": "sha512-7dSzzRQ++CKnNI/krKnYRV7JKKPUXMEh61soaHKg9mrWEhzFWhFnxPxGl+69cD1Ou63C13NUPCnmIcrvqCuM6w==", - "dev": true, - "dependencies": { - "cliui": "^8.0.1", - "escalade": "^3.1.1", - "get-caller-file": "^2.0.5", - "require-directory": "^2.1.1", - "string-width": "^4.2.3", - "y18n": "^5.0.5", - "yargs-parser": "^21.1.1" - }, - "engines": { - "node": ">=12" - } - }, - "node_modules/yargs-parser": { - "version": "21.1.1", - "resolved": "https://registry.npmjs.org/yargs-parser/-/yargs-parser-21.1.1.tgz", - "integrity": "sha512-tVpsJW7DdjecAiFpbIB1e3qxIQsE6NoPc5/eTdrbbIC4h0LVsWhnoa3g+m2HclBIujHzsxZ4VJVA+GUuc2/LBw==", - "engines": { - "node": ">=12" - } - }, - "node_modules/yn": { - "version": "3.1.1", - "resolved": "https://registry.npmjs.org/yn/-/yn-3.1.1.tgz", - "integrity": "sha512-Ux4ygGWsu2c7isFWe8Yu1YluJmqVhxqK2cLXNQA5AcC3QfbGNpM7fu0Y8b/z16pXLnFxZYvWhd3fhBY9DLmC6Q==", - "dev": true, - "engines": { - "node": ">=6" - } - }, - "node_modules/yocto-queue": { - "version": "0.1.0", - "resolved": "https://registry.npmjs.org/yocto-queue/-/yocto-queue-0.1.0.tgz", - "integrity": "sha512-rVksvsnNCdJ/ohGc6xgPwyN8eheCxsiLM8mxuE/t/mOVqJewPuO1miLpTHQiRgTKCLexL4MeAFVagts7HmNZ2Q==", - "dev": true, - "engines": { - "node": ">=10" - }, - "funding": { - "url": "https://github.com/sponsors/sindresorhus" - } - } - } -} diff --git a/nixpkgs/pkgs/development/tools/okteto/default.nix b/nixpkgs/pkgs/development/tools/okteto/default.nix index 9459b5c196f2..efaa5dbc056e 100644 --- a/nixpkgs/pkgs/development/tools/okteto/default.nix +++ b/nixpkgs/pkgs/development/tools/okteto/default.nix @@ -2,13 +2,13 @@ buildGoModule rec { pname = "okteto"; - version = "2.19.2"; + version = "2.20.0"; src = fetchFromGitHub { owner = "okteto"; repo = "okteto"; rev = version; - hash = "sha256-kzrjIsyHf/hUo4Axcg97CrIyjeexc2IZ4/bITuUR7NM="; + hash = "sha256-AeZ/pp7zWi8liDu247WXetXK/CurV0GUZ/isVdDF3yQ="; }; vendorHash = "sha256-u1oMX2ZplmDGx7ePfA5vKHUuDmWYVCJrYh2HQ23dTfU="; diff --git a/nixpkgs/pkgs/development/tools/oshka/default.nix b/nixpkgs/pkgs/development/tools/oshka/default.nix index 5e7ca5a7da2a..489f41b718df 100644 --- a/nixpkgs/pkgs/development/tools/oshka/default.nix +++ b/nixpkgs/pkgs/development/tools/oshka/default.nix @@ -14,7 +14,7 @@ buildGoModule rec { sha256 = "sha256-fpWhqFK5h/U7DCC/SyhAlMyCMhjZHRLMlwakvlhOd3w="; }; - vendorSha256 = "sha256-ZBI3WDXfJKBEF2rmUN3LvOOPT1185dHmj88qJKsdUiE="; + vendorHash = "sha256-ZBI3WDXfJKBEF2rmUN3LvOOPT1185dHmj88qJKsdUiE="; ldflags = [ "-w" diff --git a/nixpkgs/pkgs/development/tools/out-of-tree/default.nix b/nixpkgs/pkgs/development/tools/out-of-tree/default.nix index f76c96dd6f81..0fa12e417eda 100644 --- a/nixpkgs/pkgs/development/tools/out-of-tree/default.nix +++ b/nixpkgs/pkgs/development/tools/out-of-tree/default.nix @@ -12,7 +12,7 @@ buildGoModule rec { sha256 = "sha256-D2LiSDnF7g1h0XTulctCnZ+I6FZSLA0XRd9LQLOMP9c="; }; - vendorSha256 = "sha256-p1dqzng3ak9lrnzrEABhE1TP1lM2Ikc8bmvp5L3nUp0="; + vendorHash = "sha256-p1dqzng3ak9lrnzrEABhE1TP1lM2Ikc8bmvp5L3nUp0="; doCheck = false; diff --git a/nixpkgs/pkgs/development/tools/pigeon/default.nix b/nixpkgs/pkgs/development/tools/pigeon/default.nix index 31d668eb853b..74b2a69c4c7c 100644 --- a/nixpkgs/pkgs/development/tools/pigeon/default.nix +++ b/nixpkgs/pkgs/development/tools/pigeon/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-0Cp/OnFvVZj9UZgl3F5MCzemBaHI4smGWU46VQnhLOg="; }; - vendorSha256 = "sha256-JbBXRkxnB7LeeWdBLIQvyjvWo0zZ1EOuEUPXxHWiq+E="; + vendorHash = "sha256-JbBXRkxnB7LeeWdBLIQvyjvWo0zZ1EOuEUPXxHWiq+E="; proxyVendor = true; diff --git a/nixpkgs/pkgs/development/tools/proto-contrib/default.nix b/nixpkgs/pkgs/development/tools/proto-contrib/default.nix index 7fb4e8aca448..5437fd11345a 100644 --- a/nixpkgs/pkgs/development/tools/proto-contrib/default.nix +++ b/nixpkgs/pkgs/development/tools/proto-contrib/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "0ksxic7cypv9gg8q5lkl5bla1n9i65z7b03cx9lwq6252glmf2jk"; }; - vendorSha256 = "sha256-XAFB+DDWN7CLfNxIBqYJy88gUNrUJYExzy2/BFnBe8c="; + vendorHash = "sha256-XAFB+DDWN7CLfNxIBqYJy88gUNrUJYExzy2/BFnBe8c="; doCheck = false; diff --git a/nixpkgs/pkgs/development/tools/protoc-gen-doc/default.nix b/nixpkgs/pkgs/development/tools/protoc-gen-doc/default.nix index 50badf258b08..31e86f85cd08 100644 --- a/nixpkgs/pkgs/development/tools/protoc-gen-doc/default.nix +++ b/nixpkgs/pkgs/development/tools/protoc-gen-doc/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-19CN62AwqQGq5Gb5kQqVYhs+LKsJ9K2L0VAakwzPD5Y="; }; - vendorSha256 = "sha256-K0rZBERSKob5ubZW28QpbcPhgFKOOASkd9UyC9f8gyQ="; + vendorHash = "sha256-K0rZBERSKob5ubZW28QpbcPhgFKOOASkd9UyC9f8gyQ="; meta = with lib; { description = "Documentation generator plugin for Google Protocol Buffers"; diff --git a/nixpkgs/pkgs/development/tools/protoc-gen-twirp_swagger/default.nix b/nixpkgs/pkgs/development/tools/protoc-gen-twirp_swagger/default.nix index 3348759c0113..0b651a6ce0b4 100644 --- a/nixpkgs/pkgs/development/tools/protoc-gen-twirp_swagger/default.nix +++ b/nixpkgs/pkgs/development/tools/protoc-gen-twirp_swagger/default.nix @@ -11,7 +11,7 @@ buildGoModule { sha256 = "sha256-uHU15NbHK7SYgNS3VK21H/OqDo/JyyTZdXw3i9lsgLY="; }; - vendorSha256 = "sha256-g0+9l83Fc0XPzsZAKjLBrjD+tv2+Fot57hcilqAhOZk="; + vendorHash = "sha256-g0+9l83Fc0XPzsZAKjLBrjD+tv2+Fot57hcilqAhOZk="; subPackages = [ "." ]; diff --git a/nixpkgs/pkgs/development/tools/protoc-gen-twirp_typescript/default.nix b/nixpkgs/pkgs/development/tools/protoc-gen-twirp_typescript/default.nix index 921197407527..259b2a8871a7 100644 --- a/nixpkgs/pkgs/development/tools/protoc-gen-twirp_typescript/default.nix +++ b/nixpkgs/pkgs/development/tools/protoc-gen-twirp_typescript/default.nix @@ -12,7 +12,7 @@ buildGoModule { }; proxyVendor = true; - vendorSha256 = "sha256-UyxHa28SY60U8VeL7TbSTyscqN5T7tKGfuN2GIL6QIg"; + vendorHash = "sha256-UyxHa28SY60U8VeL7TbSTyscqN5T7tKGfuN2GIL6QIg"; subPackages = [ "." ]; diff --git a/nixpkgs/pkgs/development/tools/protolint/default.nix b/nixpkgs/pkgs/development/tools/protolint/default.nix index dee2f9c28f5c..47bdd5530e7a 100644 --- a/nixpkgs/pkgs/development/tools/protolint/default.nix +++ b/nixpkgs/pkgs/development/tools/protolint/default.nix @@ -10,7 +10,7 @@ buildGoModule rec { sha256 = "sha256-oKGA5FZpT3E5G7oREGAojdu4Xn8JPd7IYwfueK9QA34="; }; - vendorSha256 = "sha256-iLQwx3B5n21ZXefWiGBBL9roa9LIFByzB8KXLywhvKs="; + vendorHash = "sha256-iLQwx3B5n21ZXefWiGBBL9roa9LIFByzB8KXLywhvKs="; # Something about the way we run tests causes issues. It doesn't happen # when using "go test" directly: diff --git a/nixpkgs/pkgs/development/tools/pulumictl/default.nix b/nixpkgs/pkgs/development/tools/pulumictl/default.nix index fc0361be4c24..3528d689df2f 100644 --- a/nixpkgs/pkgs/development/tools/pulumictl/default.nix +++ b/nixpkgs/pkgs/development/tools/pulumictl/default.nix @@ -2,16 +2,16 @@ buildGoModule rec { pname = "pulumictl"; - version = "0.0.43"; + version = "0.0.44"; src = fetchFromGitHub { owner = "pulumi"; repo = "pulumictl"; rev = "v${version}"; - sha256 = "sha256-iz0ahzR0+CpNZSLbR9zyIRS5k3y1GYbh7BPif9I6n4k="; + sha256 = "sha256-7Q+1shNZ18BZ6W6CslwUZhX0LtxPdTXOSNH5VhBHFxE="; }; - vendorHash = "sha256-WzfTS68YIpoZYbm6i0USxXyEyR4px+hrNRbsCTXdJsk="; + vendorHash = "sha256-XOgHvOaHExazQfsu1brYDq1o2fUh6dZeJlpVhCQX9ns="; ldflags = [ "-s" "-w" "-X=github.com/pulumi/pulumictl/pkg/version.Version=${src.rev}" diff --git a/nixpkgs/pkgs/development/tools/purescript/spago/default.nix b/nixpkgs/pkgs/development/tools/purescript/spago/default.nix index bc4587816ff1..ada0eb350efa 100644 --- a/nixpkgs/pkgs/development/tools/purescript/spago/default.nix +++ b/nixpkgs/pkgs/development/tools/purescript/spago/default.nix @@ -17,8 +17,6 @@ let (haskell.lib.compose.overrideCabal (oldAttrs: { changelog = "https://github.com/purescript/spago/releases/tag/${oldAttrs.version}"; })) - haskell.lib.compose.unmarkBroken - haskell.lib.compose.doDistribute ]; in diff --git a/nixpkgs/pkgs/development/tools/rakkess/default.nix b/nixpkgs/pkgs/development/tools/rakkess/default.nix index a340a042cc2b..83ee3c9ec115 100644 --- a/nixpkgs/pkgs/development/tools/rakkess/default.nix +++ b/nixpkgs/pkgs/development/tools/rakkess/default.nix @@ -10,7 +10,7 @@ buildGoModule rec { rev = "v${version}"; sha256 = "sha256-igovWWk8GfNmOS/NbZWfv9kox6QLNIbM09jdvA/lL3A="; }; - vendorSha256 = "sha256-lVxJ4wFBhHc8JVpkmqphLYPE9Z8Cr6o+aAHvC1naqyE="; + vendorHash = "sha256-lVxJ4wFBhHc8JVpkmqphLYPE9Z8Cr6o+aAHvC1naqyE="; ldflags = [ "-s" "-w" "-X github.com/corneliusweig/rakkess/internal/version.version=v${version}" ]; diff --git a/nixpkgs/pkgs/development/tools/reflex/default.nix b/nixpkgs/pkgs/development/tools/reflex/default.nix index 879131213a03..406740e784f0 100644 --- a/nixpkgs/pkgs/development/tools/reflex/default.nix +++ b/nixpkgs/pkgs/development/tools/reflex/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-/2qVm2xpSFVspA16rkiIw/qckxzXQp/1EGOl0f9KljY="; }; - vendorSha256 = "sha256-JCtVYDHbhH2i7tGNK1jvgHCjU6gMMkNhQ2ZnlTeqtmA="; + vendorHash = "sha256-JCtVYDHbhH2i7tGNK1jvgHCjU6gMMkNhQ2ZnlTeqtmA="; ldflags = [ "-s" "-w" ]; diff --git a/nixpkgs/pkgs/development/tools/refmt/default.nix b/nixpkgs/pkgs/development/tools/refmt/default.nix index 523d14b2a9a3..efcb03a49b8f 100644 --- a/nixpkgs/pkgs/development/tools/refmt/default.nix +++ b/nixpkgs/pkgs/development/tools/refmt/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-HiAWSR2S+3OcIgwdQ0ltW37lcG+OHkDRDUF07rfNcJY="; }; - vendorSha256 = "sha256-MiYUDEF9W0VAiOX6uE8doXtGAekIrA1cfA8A2a7xd2I="; + vendorHash = "sha256-MiYUDEF9W0VAiOX6uE8doXtGAekIrA1cfA8A2a7xd2I="; meta = with lib; { description = "Reformat HCL <-> JSON <-> YAML"; diff --git a/nixpkgs/pkgs/development/tools/ruff/Cargo.lock b/nixpkgs/pkgs/development/tools/ruff/Cargo.lock index 5d9734e49a51..9eae7ab2982a 100644 --- a/nixpkgs/pkgs/development/tools/ruff/Cargo.lock +++ b/nixpkgs/pkgs/development/tools/ruff/Cargo.lock @@ -409,9 +409,9 @@ dependencies = [ [[package]] name = "codspeed" -version = "2.1.0" +version = "2.2.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "5aeec2fbed4969dc38b5ca201115dd5c2614b8ef78e0a7221dd5f0977fb1552b" +checksum = "9b3238416c10f19985b52a937c5b3efc3ed7efe8f7ae263d2aab29a09bca9f57" dependencies = [ "colored", "libc", @@ -420,9 +420,9 @@ dependencies = [ [[package]] name = "codspeed-criterion-compat" -version = "2.1.0" +version = "2.2.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "1b13f0a08d40ce7c95bdf288f725b975e62fcadfa8ba152340943bab6de43af7" +checksum = "fecc18f65b942d2b033545bb3bd8430a23eecbbe53fad3b1342fb0e5514bca7b" dependencies = [ "codspeed", "colored", @@ -522,8 +522,6 @@ dependencies = [ "num-traits", "once_cell", "oorandom", - "plotters", - "rayon", "regex", "serde", "serde_derive", @@ -823,7 +821,7 @@ checksum = "0ce7134b9999ecaf8bcd65542e436736ef32ddca1b3e06094cb6ec5755203b80" [[package]] name = "flake8-to-ruff" -version = "0.0.287" +version = "0.0.289" dependencies = [ "anyhow", "clap", @@ -1114,12 +1112,24 @@ dependencies = [ "lazy_static", "linked-hash-map", "regex", + "serde", "similar", "walkdir", "yaml-rust", ] [[package]] +name = "insta-cmd" +version = "0.4.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "809d3023d1d6e8d5c2206f199251f75cb26180e41f18cb0f22dd119161cb5127" +dependencies = [ + "insta", + "serde", + "serde_json", +] + +[[package]] name = "instant" version = "0.1.12" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -1269,12 +1279,12 @@ checksum = "b4668fb0ea861c1df094127ac5f1da3409a82116a4ba74fca2e58ef927159bb3" [[package]] name = "libcst" version = "0.1.0" -source = "git+https://github.com/Instagram/LibCST.git?rev=3cacca1a1029f05707e50703b49fe3dd860aa839#3cacca1a1029f05707e50703b49fe3dd860aa839" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "7773d520d4292e200ab1838f2daabe2feed7549f93b0a3c7582160a09e79ffde" dependencies = [ "chic", - "itertools", "libcst_derive", - "once_cell", + "memchr", "paste", "peg", "regex", @@ -1284,7 +1294,8 @@ dependencies = [ [[package]] name = "libcst_derive" version = "0.1.0" -source = "git+https://github.com/Instagram/LibCST.git?rev=3cacca1a1029f05707e50703b49fe3dd860aa839#3cacca1a1029f05707e50703b49fe3dd860aa839" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "520197c50ba477f258cd7005ec5ed3a7393693ae6bec664990c7c8d9306a7c0d" dependencies = [ "quote", "syn 1.0.109", @@ -1718,34 +1729,6 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "8afb450f006bf6385ca15ef45d71d2288452bc3683ce2e2cacc0d18e4be60b58" [[package]] -name = "plotters" -version = "0.3.5" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "d2c224ba00d7cadd4d5c660deaf2098e5e80e07846537c51f9cfa4be50c1fd45" -dependencies = [ - "num-traits", - "plotters-backend", - "plotters-svg", - "wasm-bindgen", - "web-sys", -] - -[[package]] -name = "plotters-backend" -version = "0.3.5" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "9e76628b4d3a7581389a35d5b6e2139607ad7c75b17aed325f210aa91f4a9609" - -[[package]] -name = "plotters-svg" -version = "0.3.5" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "38f6d39893cca0701371e3c27294f09797214b86f1fb951b89ade8ec04e2abab" -dependencies = [ - "plotters-backend", -] - -[[package]] name = "pmutil" version = "0.5.3" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -2054,7 +2037,7 @@ dependencies = [ [[package]] name = "ruff" -version = "0.0.287" +version = "0.0.289" dependencies = [ "annotate-snippets 0.9.1", "anyhow", @@ -2152,7 +2135,7 @@ dependencies = [ [[package]] name = "ruff_cli" -version = "0.0.287" +version = "0.0.289" dependencies = [ "annotate-snippets 0.9.1", "anyhow", @@ -2170,6 +2153,7 @@ dependencies = [ "glob", "ignore", "insta", + "insta-cmd", "is-macro", "itertools", "itoa", @@ -2199,6 +2183,7 @@ dependencies = [ "similar", "strum", "tempfile", + "test-case", "thiserror", "tikv-jemallocator", "tracing", @@ -2353,7 +2338,6 @@ dependencies = [ "clap", "countme", "insta", - "is-macro", "itertools", "memchr", "once_cell", @@ -2369,7 +2353,9 @@ dependencies = [ "serde_json", "similar", "smallvec", + "static_assertions", "thiserror", + "tracing", "unicode-width", ] @@ -2415,10 +2401,8 @@ dependencies = [ "ruff_text_size", "rustc-hash", "static_assertions", - "test-case", "tiny-keccak", - "unic-emoji-char", - "unic-ucd-ident", + "unicode-ident", "unicode_names2", ] @@ -2452,6 +2436,9 @@ dependencies = [ [[package]] name = "ruff_python_stdlib" version = "0.0.0" +dependencies = [ + "unicode-ident", +] [[package]] name = "ruff_python_trivia" @@ -2464,7 +2451,7 @@ dependencies = [ "ruff_source_file", "ruff_text_size", "smallvec", - "unic-ucd-ident", + "unicode-ident", ] [[package]] @@ -3263,17 +3250,6 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "80d7ff825a6a654ee85a63e80f92f054f904f21e7d12da4e22f9834a4aaa35bc" [[package]] -name = "unic-emoji-char" -version = "0.9.0" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "0b07221e68897210270a38bde4babb655869637af0f69407f96053a34f76494d" -dependencies = [ - "unic-char-property", - "unic-char-range", - "unic-ucd-version", -] - -[[package]] name = "unic-ucd-category" version = "0.9.0" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -3286,17 +3262,6 @@ dependencies = [ ] [[package]] -name = "unic-ucd-ident" -version = "0.9.0" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "e230a37c0381caa9219d67cf063aa3a375ffed5bf541a452db16e744bdab6987" -dependencies = [ - "unic-char-property", - "unic-char-range", - "unic-ucd-version", -] - -[[package]] name = "unic-ucd-version" version = "0.9.0" source = "registry+https://github.com/rust-lang/crates.io-index" diff --git a/nixpkgs/pkgs/development/tools/ruff/default.nix b/nixpkgs/pkgs/development/tools/ruff/default.nix index 1d9438ffa599..8a2a04932ff4 100644 --- a/nixpkgs/pkgs/development/tools/ruff/default.nix +++ b/nixpkgs/pkgs/development/tools/ruff/default.nix @@ -10,19 +10,18 @@ rustPlatform.buildRustPackage rec { pname = "ruff"; - version = "0.0.287"; + version = "0.0.289"; src = fetchFromGitHub { owner = "astral-sh"; repo = pname; rev = "v${version}"; - hash = "sha256-T7PuhQnb7Ae9mYdaxDBltJWx5ODTscvEP3LcSEcSuLo="; + hash = "sha256-DBYE3UkA30bFqoTCgE7SBs25wJ6bPvY63e31LEPBK7c="; }; cargoLock = { lockFile = ./Cargo.lock; outputHashes = { - "libcst-0.1.0" = "sha256-FgQE8ofRXQs/zHh7AKscXu0deN3IG+Nk/h+a09Co5R8="; "unicode_names2-0.6.0" = "sha256-eWg9+ISm/vztB0KIdjhq5il2ZnwGJQCleCYfznCI3Wg="; }; }; diff --git a/nixpkgs/pkgs/development/tools/rust/cargo-deps/default.nix b/nixpkgs/pkgs/development/tools/rust/cargo-deps/default.nix index 67e33406b6ce..0cbba27cf15f 100644 --- a/nixpkgs/pkgs/development/tools/rust/cargo-deps/default.nix +++ b/nixpkgs/pkgs/development/tools/rust/cargo-deps/default.nix @@ -2,14 +2,14 @@ rustPlatform.buildRustPackage rec { pname = "cargo-deps"; - version = "1.5.0"; + version = "1.5.1"; src = fetchCrate { inherit pname version; - sha256 = "sha256-0zK1qwu+awZGd9hgH2WRrzJMzwpI830Lh//P0wVp6Js="; + hash = "sha256-qnSHG4AhBrleYKZ4SJ4AwHdJyiidj8NTeSSphBRo7gg="; }; - cargoSha256 = "sha256-ZPQIt+TL1OKX3Ch4A17eAELjaSTo2uk+X6YWFAXvWJA="; + cargoHash = "sha256-dpCbFA9AZmIFPx69tw0CqHF+lVw7uhUlwAeVX1+lIK8="; meta = with lib; { description = "Cargo subcommand for building dependency graphs of Rust projects"; diff --git a/nixpkgs/pkgs/development/tools/rust/cargo-edit/Cargo.lock b/nixpkgs/pkgs/development/tools/rust/cargo-edit/Cargo.lock index 86da7d6071e9..de3cfdf9786e 100644 --- a/nixpkgs/pkgs/development/tools/rust/cargo-edit/Cargo.lock +++ b/nixpkgs/pkgs/development/tools/rust/cargo-edit/Cargo.lock @@ -48,9 +48,9 @@ dependencies = [ [[package]] name = "anstyle" -version = "1.0.2" +version = "1.0.3" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "15c4c2c83f81532e5845a733998b6971faca23490340a418e9b72a3ec9de12ea" +checksum = "b84bf0a05bbb2a83e5eb6fa36bb6e87baa08193c35ff52bbf6b38d8af2890e46" [[package]] name = "anstyle-parse" @@ -218,7 +218,7 @@ dependencies = [ [[package]] name = "cargo-edit" -version = "0.12.1" +version = "0.12.2" dependencies = [ "anyhow", "assert_cmd", @@ -227,6 +227,7 @@ dependencies = [ "cargo-test-support", "cargo_metadata", "clap", + "clap-cargo", "concolor-control", "crates-index", "dunce", @@ -347,20 +348,29 @@ checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd" [[package]] name = "clap" -version = "4.4.0" +version = "4.4.2" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "1d5f1946157a96594eb2d2c10eb7ad9a2b27518cb3000209dec700c35df9197d" +checksum = "6a13b88d2c62ff462f88e4a121f17a82c1af05693a2f192b5c38d14de73c19f6" dependencies = [ "clap_builder", "clap_derive", - "once_cell", +] + +[[package]] +name = "clap-cargo" +version = "0.12.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "383f21342a464d4af96e9a4cad22a0b4f2880d4a5b3bbf5c9654dd1d9a224ee4" +dependencies = [ + "anstyle", + "clap", ] [[package]] name = "clap_builder" -version = "4.4.0" +version = "4.4.2" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "78116e32a042dd73c2901f0dc30790d20ff3447f3e3472fad359e8c3d282bcd6" +checksum = "2bb9faaa7c2ef94b2743a21f5a29e6f0010dff4caa69ac8e9d6cf8b6fa74da08" dependencies = [ "anstream 0.5.0", "anstyle", @@ -371,9 +381,9 @@ dependencies = [ [[package]] name = "clap_derive" -version = "4.4.0" +version = "4.4.2" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "c9fd1a5729c4548118d7d70ff234a44868d00489a4b6597b0b020918a0e91a1a" +checksum = "0862016ff20d69b84ef8247369fabf5c008a7417002411897d40ee1f4532b873" dependencies = [ "heck", "proc-macro2", diff --git a/nixpkgs/pkgs/development/tools/rust/cargo-edit/default.nix b/nixpkgs/pkgs/development/tools/rust/cargo-edit/default.nix index da19ac8c9d20..26d8bc1aa172 100644 --- a/nixpkgs/pkgs/development/tools/rust/cargo-edit/default.nix +++ b/nixpkgs/pkgs/development/tools/rust/cargo-edit/default.nix @@ -10,13 +10,13 @@ rustPlatform.buildRustPackage rec { pname = "cargo-edit"; - version = "0.12.1"; + version = "0.12.2"; src = fetchFromGitHub { owner = "killercup"; repo = pname; rev = "v${version}"; - hash = "sha256-YKWJR3JgvLd43BpGHrmG3eFj9eRhwGm2e5sfrHdF90U="; + hash = "sha256-tMYuhUb1e/wTMZGwrAa3bz3INAld/ZtQzJqpeG0w/G8="; }; cargoLock = { diff --git a/nixpkgs/pkgs/development/tools/rust/cargo-fund/default.nix b/nixpkgs/pkgs/development/tools/rust/cargo-fund/default.nix index d5fbb47f7bb4..54a04f29a012 100644 --- a/nixpkgs/pkgs/development/tools/rust/cargo-fund/default.nix +++ b/nixpkgs/pkgs/development/tools/rust/cargo-fund/default.nix @@ -2,16 +2,16 @@ rustPlatform.buildRustPackage rec { pname = "cargo-fund"; - version = "0.2.2"; + version = "0.2.3"; src = fetchFromGitHub { owner = "acfoltzer"; repo = pname; rev = version; - sha256 = "sha256-hUTBDC2XU82jc9TbyCYVKgWxrKG/OIc1a+fEdj5566M="; + hash = "sha256-8mnCwWwReNH9s/gbxIhe7XdJRIA6BSUKm5jzykU5qMU="; }; - cargoSha256 = "sha256-cU/X+oNTMjUSODkdm+P+vVLmBJlkeQ9WTJGvQmUOQKw="; + cargoHash = "sha256-J4AylYE4RTRPTUz5Hek7D34q9HjlFnrc/z/ax0i6lPQ="; # The tests need a GitHub API token. doCheck = false; diff --git a/nixpkgs/pkgs/development/tools/rust/cargo-hack/default.nix b/nixpkgs/pkgs/development/tools/rust/cargo-hack/default.nix index 99a1610381e9..6c34f3a59054 100644 --- a/nixpkgs/pkgs/development/tools/rust/cargo-hack/default.nix +++ b/nixpkgs/pkgs/development/tools/rust/cargo-hack/default.nix @@ -2,14 +2,14 @@ rustPlatform.buildRustPackage rec { pname = "cargo-hack"; - version = "0.6.6"; + version = "0.6.7"; src = fetchCrate { inherit pname version; - sha256 = "sha256-yLxWV9/e+0IAe4z11i+wwNb6yUehzQwV+EYCe3Z1MOM="; + sha256 = "sha256-uS0QDRSitwCGlo36OvtpjJ6ejKetjYEAuNEZpGiplQs="; }; - cargoSha256 = "sha256-/Za1T+HYI7mmKQHn7qm1d6hqh1qyp9DAOOMi32Tev9g="; + cargoSha256 = "sha256-Tro0Yp91P9CB/Md6MqbZGkw03QKUe8gh80357mWKMMY="; # some necessary files are absent in the crate version doCheck = false; diff --git a/nixpkgs/pkgs/development/tools/rust/cargo-release/Cargo.lock b/nixpkgs/pkgs/development/tools/rust/cargo-release/Cargo.lock index dc07a0b57bd6..0e4455addfb7 100644 --- a/nixpkgs/pkgs/development/tools/rust/cargo-release/Cargo.lock +++ b/nixpkgs/pkgs/development/tools/rust/cargo-release/Cargo.lock @@ -10,42 +10,32 @@ checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe" [[package]] name = "aho-corasick" -version = "0.7.20" +version = "1.0.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "cc936419f96fa211c1b9166887b38e5e40b19958e5b895be7c1f93adec7071ac" -dependencies = [ - "memchr", -] - -[[package]] -name = "aho-corasick" -version = "1.0.1" -source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "67fc08ce920c31afb70f013dcce1bfc3a3195de6a228474e45e1f145b36f8d04" +checksum = "0c378d78423fdad8089616f827526ee33c19f2fddbd5de1629152c9593ba4783" dependencies = [ "memchr", ] [[package]] name = "anstream" -version = "0.3.2" +version = "0.5.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "0ca84f3628370c59db74ee214b3263d58f9aadd9b4fe7e711fd87dc452b7f163" +checksum = "b1f58811cfac344940f1a400b6e6231ce35171f614f26439e80f8c1465c5cc0c" dependencies = [ "anstyle", "anstyle-parse", "anstyle-query", "anstyle-wincon", "colorchoice", - "is-terminal", "utf8parse", ] [[package]] name = "anstyle" -version = "1.0.0" +version = "1.0.3" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "41ed9a86bf92ae6580e0a31281f65a1b1d867c0cc68d5346e2ae128dddfa6a7d" +checksum = "b84bf0a05bbb2a83e5eb6fa36bb6e87baa08193c35ff52bbf6b38d8af2890e46" [[package]] name = "anstyle-parse" @@ -67,9 +57,9 @@ dependencies = [ [[package]] name = "anstyle-wincon" -version = "1.0.1" +version = "2.1.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "180abfa45703aebe0093f79badacc01b8fd4ea2e35118747e5811127f926e188" +checksum = "58f54d10c6dfa51283a066ceab3ec1ab78d13fae00aa49243a45e4571fb79dfd" dependencies = [ "anstyle", "windows-sys 0.48.0", @@ -77,9 +67,9 @@ dependencies = [ [[package]] name = "anyhow" -version = "1.0.71" +version = "1.0.75" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "9c7d0618f0e0b7e8ff11427422b64564d5fb0be1940354bfe2e0529b18a9d9b8" +checksum = "a4668cab20f66d8d020e1fbc0ebe47217433c1b6c8f2040faf858554e394ace6" [[package]] name = "assert_fs" @@ -142,12 +132,11 @@ dependencies = [ [[package]] name = "bstr" -version = "1.5.0" +version = "1.6.2" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "a246e68bb43f6cd9db24bea052a53e40405417c5fb372e3d1a8a7f770a564ef5" +checksum = "4c2f7349907b712260e64b0afe2f84692af14a454be26187d9df565c7f69266a" dependencies = [ "memchr", - "once_cell", "regex-automata", "serde", ] @@ -178,7 +167,7 @@ dependencies = [ [[package]] name = "cargo-release" -version = "0.24.11" +version = "0.24.12" dependencies = [ "anyhow", "assert_fs", @@ -198,7 +187,7 @@ dependencies = [ "git2", "globset", "ignore", - "indexmap", + "indexmap 1.9.3", "itertools", "log", "maplit", @@ -271,9 +260,9 @@ dependencies = [ [[package]] name = "cargo_metadata" -version = "0.15.4" +version = "0.17.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "eee4243f1f26fc7a42710e7439c149e2b10b05472f88090acce52632f231a73a" +checksum = "e7daec1a2a2129eeba1644b220b4647ec537b0b5d4bfd6876fcc5a540056b592" dependencies = [ "camino", "cargo-platform", @@ -300,35 +289,33 @@ checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd" [[package]] name = "clap" -version = "4.3.0" +version = "4.4.2" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "93aae7a4192245f70fe75dd9157fc7b4a5bf53e88d30bd4396f7d8f9284d5acc" +checksum = "6a13b88d2c62ff462f88e4a121f17a82c1af05693a2f192b5c38d14de73c19f6" dependencies = [ "clap_builder", "clap_derive", - "once_cell", ] [[package]] name = "clap-cargo" -version = "0.10.0" +version = "0.12.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "eca953650a7350560b61db95a0ab1d9c6f7b74d146a9e08fb258b834f3cf7e2c" +checksum = "383f21342a464d4af96e9a4cad22a0b4f2880d4a5b3bbf5c9654dd1d9a224ee4" dependencies = [ + "anstyle", "cargo_metadata", "clap", - "doc-comment", ] [[package]] name = "clap_builder" -version = "4.3.0" +version = "4.4.2" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "4f423e341edefb78c9caba2d9c7f7687d0e72e89df3ce3394554754393ac3990" +checksum = "2bb9faaa7c2ef94b2743a21f5a29e6f0010dff4caa69ac8e9d6cf8b6fa74da08" dependencies = [ "anstream", "anstyle", - "bitflags", "clap_lex", "strsim", "terminal_size", @@ -336,9 +323,9 @@ dependencies = [ [[package]] name = "clap_derive" -version = "4.3.0" +version = "4.4.2" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "191d9573962933b4027f932c600cd252ce27a8ad5979418fe78e43c07996f27b" +checksum = "0862016ff20d69b84ef8247369fabf5c008a7417002411897d40ee1f4532b873" dependencies = [ "heck", "proc-macro2", @@ -685,6 +672,12 @@ dependencies = [ ] [[package]] +name = "equivalent" +version = "1.0.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5" + +[[package]] name = "errno" version = "0.3.1" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -803,9 +796,9 @@ dependencies = [ [[package]] name = "git-conventional" -version = "0.12.3" +version = "0.12.4" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "232911ef08b47d0a792af61277fc3b8f089f0d5962a2cf8d4ae1cfc547355ff3" +checksum = "4b3db1aca6f1a2607dd99beed5d99df831ac73eae5994ff301dae712928e2dac" dependencies = [ "doc-comment", "unicase", @@ -835,11 +828,11 @@ checksum = "d2fabcfbdc87f4758337ca535fb41a6d701b65693ce38287d856d1674551ec9b" [[package]] name = "globset" -version = "0.4.10" +version = "0.4.13" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "029d74589adefde59de1a0c4f4732695c32805624aec7b68d91503d4dba79afc" +checksum = "759c97c1e17c55525b57192c06a267cda0ac5210b222d6b82189a2338fa1c13d" dependencies = [ - "aho-corasick 0.7.20", + "aho-corasick", "bstr", "fnv", "log", @@ -875,6 +868,12 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888" [[package]] +name = "hashbrown" +version = "0.14.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "2c6201b9ff9fd90a5a3bac2e56a830d0caa509576f0e503818ee82c181b3437a" + +[[package]] name = "heck" version = "0.4.1" source = "registry+https://github.com/rust-lang/crates.io-index" @@ -990,7 +989,17 @@ source = "registry+https://github.com/rust-lang/crates.io-index" checksum = "bd070e393353796e801d209ad339e89596eb4c8d430d18ede6a1cced8fafbd99" dependencies = [ "autocfg", - "hashbrown", + "hashbrown 0.12.3", +] + +[[package]] +name = "indexmap" +version = "2.0.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +checksum = "d5477fe2230a79769d8dc68e0eabf5437907c0457a5614a9e8dddb67f65eb65d" +dependencies = [ + "equivalent", + "hashbrown 0.14.0", ] [[package]] @@ -1130,9 +1139,9 @@ checksum = "3e2e65a1a2e43cfcb47a895c4c8b10d1f4a61097f9f254f183aee60cad9c651d" [[package]] name = "memchr" -version = "2.5.0" +version = "2.6.2" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "2dffe52ecf27772e601905b7522cb4ef790d2cc203488bbd0e2fe85fcb74566d" +checksum = "5486aed0026218e61b8a01d5fbd5a0a134649abb71a0e53b7bc088529dced86e" [[package]] name = "memoffset" @@ -1188,9 +1197,9 @@ dependencies = [ [[package]] name = "once_cell" -version = "1.17.2" +version = "1.18.0" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "9670a07f94779e00908f3e686eab508878ebb390ba6e604d3a284c00e8d0487b" +checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d" [[package]] name = "openssl-probe" @@ -1431,26 +1440,32 @@ dependencies = [ [[package]] name = "regex" -version = "1.8.3" +version = "1.9.4" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "81ca098a9821bd52d6b24fd8b10bd081f47d39c22778cafaa75a2857a62c6390" +checksum = "12de2eff854e5fa4b1295edd650e227e9d8fb0c9e90b12e7f36d6a6811791a29" dependencies = [ - "aho-corasick 1.0.1", + "aho-corasick", "memchr", + "regex-automata", "regex-syntax", ] [[package]] name = "regex-automata" -version = "0.1.10" +version = "0.3.7" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "6c230d73fb8d8c1b9c0b3135c5142a8acee3a0558fb8db5cf1cb65f8d7862132" +checksum = "49530408a136e16e5b486e883fbb6ba058e8e4e8ae6621a77b048b314336e629" +dependencies = [ + "aho-corasick", + "memchr", + "regex-syntax", +] [[package]] name = "regex-syntax" -version = "0.7.2" +version = "0.7.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "436b050e76ed2903236f032a59761c1eb99e1b0aead2c257922771dab1fc8c78" +checksum = "dbb5fb1acd8a1a18b3dd5be62d25485eb770e05afb408a9627d14d451bae12da" [[package]] name = "rfc6979" @@ -1568,9 +1583,9 @@ dependencies = [ [[package]] name = "serde_spanned" -version = "0.6.2" +version = "0.6.3" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "93107647184f6027e3b7dcb2e11034cf95ffa1e3a682c67951963ac69c1c007d" +checksum = "96426c9936fd7a0124915f9185ea1d20aa9445cc9821142f0a73bc9207a2e186" dependencies = [ "serde", ] @@ -1625,9 +1640,9 @@ dependencies = [ [[package]] name = "snapbox" -version = "0.4.11" +version = "0.4.12" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "f6bccd62078347f89a914e3004d94582e13824d4e3d8a816317862884c423835" +checksum = "ad90eb3a2e3a8031d636d45bd4832751aefd58a291b553f7305a2bacae21aff3" dependencies = [ "anstream", "anstyle", @@ -1642,14 +1657,14 @@ dependencies = [ "tempfile", "wait-timeout", "walkdir", - "windows-sys 0.45.0", + "windows-sys 0.48.0", ] [[package]] name = "snapbox-macros" -version = "0.3.4" +version = "0.3.5" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "eaaf09df9f0eeae82be96290918520214530e738a7fe5a351b0f24cf77c0ca31" +checksum = "95f4ffd811b87da98d0e48285134b7847954bd76e843bb794a893b47ca3ee325" dependencies = [ "anstream", ] @@ -1819,9 +1834,9 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20" [[package]] name = "toml" -version = "0.7.4" +version = "0.7.6" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "d6135d499e69981f9ff0ef2167955a5333c35e36f6937d382974566b3d5b94ec" +checksum = "c17e963a819c331dcacd7ab957d80bc2b9a9c1e71c804826d2f283dd65306542" dependencies = [ "serde", "serde_spanned", @@ -1831,20 +1846,20 @@ dependencies = [ [[package]] name = "toml_datetime" -version = "0.6.2" +version = "0.6.3" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "5a76a9312f5ba4c2dec6b9161fdf25d87ad8a09256ccea5a556fef03c706a10f" +checksum = "7cda73e2f1397b1262d6dfdcef8aafae14d1de7748d66822d3bfeeb6d03e5e4b" dependencies = [ "serde", ] [[package]] name = "toml_edit" -version = "0.19.10" +version = "0.19.14" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "2380d56e8670370eee6566b0bfd4265f65b3f432e8c6d85623f728d4fa31f739" +checksum = "f8123f27e969974a3dfba720fdb560be359f57b44302d280ba72e76a74480e8a" dependencies = [ - "indexmap", + "indexmap 2.0.0", "serde", "serde_spanned", "toml_datetime", @@ -1853,9 +1868,9 @@ dependencies = [ [[package]] name = "trycmd" -version = "0.14.16" +version = "0.14.17" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "2925e71868a12b173c1eb166018c2d2f9dfaedfcaec747bdb6ea2246785d258e" +checksum = "bc6a42f89ccf3c6ee115608a68e256c172734d525a4ac36d4a17a6d4a8108149" dependencies = [ "glob", "humantime", @@ -2191,9 +2206,9 @@ checksum = "1a515f5799fe4961cb532f983ce2b23082366b898e52ffbce459c86f67c8378a" [[package]] name = "winnow" -version = "0.4.6" +version = "0.5.15" source = "registry+https://github.com/rust-lang/crates.io-index" -checksum = "61de7bac303dc551fe038e2b3cef0f571087a47571ea6e79a87692ac99b99699" +checksum = "7c2e3184b9c4e92ad5167ca73039d0c42476302ab603e2fec4487511f38ccefc" dependencies = [ "memchr", ] diff --git a/nixpkgs/pkgs/development/tools/rust/cargo-release/default.nix b/nixpkgs/pkgs/development/tools/rust/cargo-release/default.nix index 59652d402205..af3513842376 100644 --- a/nixpkgs/pkgs/development/tools/rust/cargo-release/default.nix +++ b/nixpkgs/pkgs/development/tools/rust/cargo-release/default.nix @@ -12,13 +12,13 @@ rustPlatform.buildRustPackage rec { pname = "cargo-release"; - version = "0.24.11"; + version = "0.24.12"; src = fetchFromGitHub { owner = "crate-ci"; repo = "cargo-release"; rev = "refs/tags/v${version}"; - hash = "sha256-VF0jVk94DncSNB1EnYHGUolVYvykEwluHJiHQShcqQo="; + hash = "sha256-dB5gpaY6OB/IjMvqLUMH41l6Q/xMookxfVGXRcdhcBM="; }; cargoLock = { diff --git a/nixpkgs/pkgs/development/tools/rust/cargo-zigbuild/default.nix b/nixpkgs/pkgs/development/tools/rust/cargo-zigbuild/default.nix index cc625347de9d..2b1e893fd0c4 100644 --- a/nixpkgs/pkgs/development/tools/rust/cargo-zigbuild/default.nix +++ b/nixpkgs/pkgs/development/tools/rust/cargo-zigbuild/default.nix @@ -2,16 +2,16 @@ rustPlatform.buildRustPackage rec { pname = "cargo-zigbuild"; - version = "0.17.2"; + version = "0.17.3"; src = fetchFromGitHub { owner = "messense"; repo = pname; rev = "v${version}"; - hash = "sha256-t71h+s97Ip3Gqs7oCzF8GWpTX0p0ltPt7JT61Gk8xF0="; + hash = "sha256-l9uPn5eLGfCq2E6gogXCefbhxro6iOOYraeIPj9/S50="; }; - cargoHash = "sha256-oJ+zAtTwFSSzwq1gvkRloBj8g30G8Eq7dG2RoaX39lA="; + cargoHash = "sha256-2mbGwElBfo4L/iGZm3iRBR5UGeMFlfaSp79vVvCAIo0="; nativeBuildInputs = [ makeWrapper ]; diff --git a/nixpkgs/pkgs/development/tools/rust/cbindgen/default.nix b/nixpkgs/pkgs/development/tools/rust/cbindgen/default.nix index 396b3f07b17e..2d351adf5ad1 100644 --- a/nixpkgs/pkgs/development/tools/rust/cbindgen/default.nix +++ b/nixpkgs/pkgs/development/tools/rust/cbindgen/default.nix @@ -9,16 +9,16 @@ rustPlatform.buildRustPackage rec { pname = "rust-cbindgen"; - version = "0.25.0"; + version = "0.26.0"; src = fetchFromGitHub { owner = "mozilla"; repo = "cbindgen"; rev = "v${version}"; - hash = "sha256-gljICr0abKEXxJfLCJN3L2OIwUvw/QoIC6T5C7pieEA="; + hash = "sha256-gyNZAuxpeOjuC+Rh9jAyHSBQRRYUlYoIrBKuCFg3Hao="; }; - cargoSha256 = "sha256-agBzn2MibM7158/QlLXI2HBBcYIe0p50rYSF1jBDF8U="; + cargoSha256 = "sha256-pdTxhECAZzBx5C01Yx7y/OGwhhAdlEDpqLBdvQcb8bc="; buildInputs = lib.optional stdenv.isDarwin Security; diff --git a/nixpkgs/pkgs/development/tools/rust/rust-analyzer/default.nix b/nixpkgs/pkgs/development/tools/rust/rust-analyzer/default.nix index 37a58bd40522..2a002feb2aac 100644 --- a/nixpkgs/pkgs/development/tools/rust/rust-analyzer/default.nix +++ b/nixpkgs/pkgs/development/tools/rust/rust-analyzer/default.nix @@ -13,14 +13,14 @@ rustPlatform.buildRustPackage rec { pname = "rust-analyzer-unwrapped"; - version = "2023-09-04"; - cargoSha256 = "sha256-J8eEHv262v21b1rs3e2seTwaKtvoGne5hXqa2jgQmoY="; + version = "2023-09-11"; + cargoSha256 = "sha256-bdF88QG++8ieFLG9H6D6nR6d9GHna36HMskp6TnTA4c="; src = fetchFromGitHub { owner = "rust-lang"; repo = "rust-analyzer"; rev = version; - sha256 = "sha256-Mk35KaY7OkEKNoj3Q3NEJ0PjFhqUd9Ry+NSzd3K1QUw="; + sha256 = "sha256-6GjjGVCn0lNlGQifjM8AqRRMzVxf/KNyQqmAl8a9HME="; }; cargoBuildFlags = [ "--bin" "rust-analyzer" "--bin" "rust-analyzer-proc-macro-srv" ]; diff --git a/nixpkgs/pkgs/development/tools/snazy/default.nix b/nixpkgs/pkgs/development/tools/snazy/default.nix index d7c5f4d7ceee..c3d104e87383 100644 --- a/nixpkgs/pkgs/development/tools/snazy/default.nix +++ b/nixpkgs/pkgs/development/tools/snazy/default.nix @@ -1,32 +1,21 @@ { lib , rustPlatform , fetchFromGitHub -, fetchpatch , installShellFiles }: rustPlatform.buildRustPackage rec { pname = "snazy"; - version = "0.51.2"; + version = "0.52.0"; src = fetchFromGitHub { owner = "chmouel"; repo = pname; rev = version; - hash = "sha256-k8dcALE5+5kqNKhmiLT0Ir8SRYOIp8eV3a/xYWrKpNw="; + hash = "sha256-ax16BO2I+LgaVilqrsVToulBRcyr0C/QUtrdn0nUfBU="; }; - cargoHash = "sha256-mBA2BhGeYR57UrqI1qtByTkTocMymjCWlWhh4+Ko8wY="; - - cargoPatches = [ - # update Cargo.toml to fix the version - # https://github.com/chmouel/snazy/pull/178 - (fetchpatch { - name = "update-version-in-cargo-toml.patch"; - url = "https://github.com/chmouel/snazy/commit/4fd92c7336f51d032a0baf60fd5ab8c1056ad14f.patch"; - hash = "sha256-WT/HHB9HB+X/L5FZdvQAG8K7PrYHQD8F5aWQVaMJuIU="; - }) - ]; + cargoHash = "sha256-go6y/NH3vFb8xtAGVpgy0GQfMfzXfn8hI+tJnUdCFAU="; nativeBuildInputs = [ installShellFiles ]; diff --git a/nixpkgs/pkgs/development/tools/spicy/default.nix b/nixpkgs/pkgs/development/tools/spicy/default.nix index 65385d7fe139..b297053843e7 100644 --- a/nixpkgs/pkgs/development/tools/spicy/default.nix +++ b/nixpkgs/pkgs/development/tools/spicy/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-TodMm4UbnLB+LiyfPVXT7bcVLbyBFbGoOYQSsz3IMfM="; }; - vendorSha256 = "sha256-uy33vfsvyLCep1aN8qO0BMmpPylhzTLhosjjD5ghmHE="; + vendorHash = "sha256-uy33vfsvyLCep1aN8qO0BMmpPylhzTLhosjjD5ghmHE="; ldflags = [ "-s" "-w" ]; diff --git a/nixpkgs/pkgs/development/tools/sqldef/default.nix b/nixpkgs/pkgs/development/tools/sqldef/default.nix index 6ce1d02e9f85..8fac2f9aa0c4 100644 --- a/nixpkgs/pkgs/development/tools/sqldef/default.nix +++ b/nixpkgs/pkgs/development/tools/sqldef/default.nix @@ -2,18 +2,18 @@ buildGoModule rec { pname = "sqldef"; - version = "0.16.4"; + version = "0.16.7"; src = fetchFromGitHub { owner = "k0kubun"; repo = "sqldef"; rev = "v${version}"; - hash = "sha256-HQ6WyeKYRd+pY/P2Bsu7W2eMjgpjUhbwEFE7bADrxDY="; + hash = "sha256-y28dn/LhqQxbszKwOjpiU93oP1tq/H0NL9vonhERLzw="; }; proxyVendor = true; - vendorHash = "sha256-YdZo2XN+425s0K/3COqQx3g1Bpus4uWiwnzrYJ8qdOM="; + vendorHash = "sha256-ugLjaKCVgVl2jhH/blQ44y/c8hxQpbdlxUC4u+FgMGM="; ldflags = [ "-s" "-w" "-X main.version=${version}" ]; diff --git a/nixpkgs/pkgs/development/tools/sshs/default.nix b/nixpkgs/pkgs/development/tools/sshs/default.nix index 804a6a09642f..74eecc7979c0 100644 --- a/nixpkgs/pkgs/development/tools/sshs/default.nix +++ b/nixpkgs/pkgs/development/tools/sshs/default.nix @@ -12,10 +12,10 @@ buildGoModule rec { owner = "quantumsheep"; repo = pname; rev = version; - sha256 = "KD971dGm1oQt9GbiUGZm2k4SJrBAA9rnHj7Gu0t3SJw="; + hash = "sha256-KD971dGm1oQt9GbiUGZm2k4SJrBAA9rnHj7Gu0t3SJw="; }; - vendorSha256 = "OCh37wjSs40Q0VQmoc1nXQ4nWddnoUCrI5xgxpxR/Ec="; + vendorHash = "sha256-OCh37wjSs40Q0VQmoc1nXQ4nWddnoUCrI5xgxpxR/Ec="; ldflags = [ "-s" "-w" "-X github.com/quantumsheep/sshs/cmd.Version=${version}" ]; diff --git a/nixpkgs/pkgs/development/tools/supabase-cli/default.nix b/nixpkgs/pkgs/development/tools/supabase-cli/default.nix index f54475ef6db5..20e2194fed92 100644 --- a/nixpkgs/pkgs/development/tools/supabase-cli/default.nix +++ b/nixpkgs/pkgs/development/tools/supabase-cli/default.nix @@ -9,16 +9,16 @@ buildGoModule rec { pname = "supabase-cli"; - version = "1.88.0"; + version = "1.97.1"; src = fetchFromGitHub { owner = "supabase"; repo = "cli"; rev = "v${version}"; - hash = "sha256-OgeKr0xSWp83Ri3WBNsY3TL6tAWsMtsEdD6KeKdPdCw="; + hash = "sha256-k1/6QAxQ3h5xG+2VBc/PillddYhrvsQrtJK5tXrb0sQ="; }; - vendorSha256 = "sha256-efcgpxvhHe6KtNfNYYc5fYv93fJPf63V39d+5AcCvPQ="; + vendorHash = "sha256-oEIRmkOCHIvIQJX7GQVJD/aURoEJ7V/LJFEg+oltWEY="; ldflags = [ "-s" diff --git a/nixpkgs/pkgs/development/tools/toxiproxy/default.nix b/nixpkgs/pkgs/development/tools/toxiproxy/default.nix index 920afba2a30e..fdeb362ee946 100644 --- a/nixpkgs/pkgs/development/tools/toxiproxy/default.nix +++ b/nixpkgs/pkgs/development/tools/toxiproxy/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-SL3YHsNeFw8K8lPrzJXAoTkHxS+1sTREfzjawBxdnf0="; }; - vendorSha256 = "sha256-CmENxPAdjz0BAyvhLKIaJjSbK/mvRzHGCQOfGIiA3yI="; + vendorHash = "sha256-CmENxPAdjz0BAyvhLKIaJjSbK/mvRzHGCQOfGIiA3yI="; excludedPackages = [ "test/e2e" ]; diff --git a/nixpkgs/pkgs/development/tools/turbogit/default.nix b/nixpkgs/pkgs/development/tools/turbogit/default.nix index 4499ec606e8f..2680d10f1dbb 100644 --- a/nixpkgs/pkgs/development/tools/turbogit/default.nix +++ b/nixpkgs/pkgs/development/tools/turbogit/default.nix @@ -10,7 +10,7 @@ buildGoModule rec { sha256 = "sha256-BHgVJlitRUX/9zYPoK5XfRpzzTZRbLhQVZJcx8KVshk="; }; - vendorSha256 = "sha256-280OcGXZQJD4G6z0b2WnWAS+v7XVptyf2WnlPjG99/0="; + vendorHash = "sha256-280OcGXZQJD4G6z0b2WnWAS+v7XVptyf2WnlPjG99/0="; subPackages = [ "." ]; diff --git a/nixpkgs/pkgs/development/tools/unconvert/default.nix b/nixpkgs/pkgs/development/tools/unconvert/default.nix index 424cafde2f6a..ac49ad1f9696 100644 --- a/nixpkgs/pkgs/development/tools/unconvert/default.nix +++ b/nixpkgs/pkgs/development/tools/unconvert/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-vcRHriFCT5b8SKjtRSg+kZDcCAKySC1cKVq+FMZb+9M="; }; - vendorSha256 = "sha256-p77mLvGtohmC8J+bqqkM5kqc1pMPcFx7GhXOZ4q4jeM="; + vendorHash = "sha256-p77mLvGtohmC8J+bqqkM5kqc1pMPcFx7GhXOZ4q4jeM="; ldflags = [ "-s" "-w" ]; diff --git a/nixpkgs/pkgs/development/tools/vulkan-validation-layers/default.nix b/nixpkgs/pkgs/development/tools/vulkan-validation-layers/default.nix index 377f87b16453..754b2d4a5e0a 100644 --- a/nixpkgs/pkgs/development/tools/vulkan-validation-layers/default.nix +++ b/nixpkgs/pkgs/development/tools/vulkan-validation-layers/default.nix @@ -69,6 +69,8 @@ stdenv.mkDerivation rec { # available in Nix sandbox. Fails with VK_ERROR_INCOMPATIBLE_DRIVER. doCheck = false; + separateDebugInfo = true; + # Include absolute paths to layer libraries in their associated # layer definition json files. preFixup = '' diff --git a/nixpkgs/pkgs/development/tools/wails/default.nix b/nixpkgs/pkgs/development/tools/wails/default.nix index 627fe2ac2393..d33d5bc05fb3 100644 --- a/nixpkgs/pkgs/development/tools/wails/default.nix +++ b/nixpkgs/pkgs/development/tools/wails/default.nix @@ -23,7 +23,7 @@ buildGoModule rec { sha256 = "sha256-jY+2I4SOr6gr2MCLrBBE9H0T1sTB13kEb1OJ717kWqg="; } + "/v2"; - vendorSha256 = "sha256-56LZQQzfFQTa4fo5bdZtK/VzNDBPyI9hDG4RkP38gcI="; + vendorHash = "sha256-56LZQQzfFQTa4fo5bdZtK/VzNDBPyI9hDG4RkP38gcI="; proxyVendor = true; diff --git a/nixpkgs/pkgs/development/tools/wally-cli/default.nix b/nixpkgs/pkgs/development/tools/wally-cli/default.nix index c0ed34770604..547f68bf8f33 100644 --- a/nixpkgs/pkgs/development/tools/wally-cli/default.nix +++ b/nixpkgs/pkgs/development/tools/wally-cli/default.nix @@ -17,7 +17,7 @@ buildGoModule rec { sha256 = "NuyQHEygy4LNqLtrpdwfCR+fNy3ZUxOClVdRen6AXMc="; }; - vendorSha256 = "sha256-HffgkuKmaOjTYi+jQ6vBlC50JqqbYiikURT6TCqL7e0="; + vendorHash = "sha256-HffgkuKmaOjTYi+jQ6vBlC50JqqbYiikURT6TCqL7e0="; meta = with lib; { description = "A tool to flash firmware to mechanical keyboards"; diff --git a/nixpkgs/pkgs/development/tools/wire/default.nix b/nixpkgs/pkgs/development/tools/wire/default.nix index a51252f56696..50e57d07d413 100644 --- a/nixpkgs/pkgs/development/tools/wire/default.nix +++ b/nixpkgs/pkgs/development/tools/wire/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-9xjymiyPFMKbysgZULmcBEMI26naUrLMgTA+d7Q+DA0="; }; - vendorSha256 = "sha256-ZFUX4LgPte6oAf94D82Man/P9VMpx+CDNCTMBwiy9Fc="; + vendorHash = "sha256-ZFUX4LgPte6oAf94D82Man/P9VMpx+CDNCTMBwiy9Fc="; subPackages = [ "cmd/wire" ]; diff --git a/nixpkgs/pkgs/development/web/bun/default.nix b/nixpkgs/pkgs/development/web/bun/default.nix index 81ec06e2d432..d3bf32a1ac24 100644 --- a/nixpkgs/pkgs/development/web/bun/default.nix +++ b/nixpkgs/pkgs/development/web/bun/default.nix @@ -11,7 +11,7 @@ }: stdenvNoCC.mkDerivation rec { - version = "1.0.0"; + version = "1.0.1"; pname = "bun"; src = passthru.sources.${stdenvNoCC.hostPlatform.system} or (throw "Unsupported system: ${stdenvNoCC.hostPlatform.system}"); @@ -35,19 +35,19 @@ stdenvNoCC.mkDerivation rec { sources = { "aarch64-darwin" = fetchurl { url = "https://github.com/oven-sh/bun/releases/download/bun-v${version}/bun-darwin-aarch64.zip"; - hash = "sha256-Bd8KL1IbWBRiMZq4YPhNLdhBOqRReCFeUPAilLfk0TM="; + hash = "sha256-AzjCqfvR4Uad6+ocLo+KfqHKFjxOlZt4//Nw80FRijM="; }; "aarch64-linux" = fetchurl { url = "https://github.com/oven-sh/bun/releases/download/bun-v${version}/bun-linux-aarch64.zip"; - hash = "sha256-CHOiQ47wXjkFyJG9ElE9gBpmWpylMEUf6c+Sm+YCpGc="; + hash = "sha256-EEcKoaaC0KnaAfUqd5QNYpTeArY3ia38l5dkZCooZuw="; }; "x86_64-darwin" = fetchurl { url = "https://github.com/oven-sh/bun/releases/download/bun-v${version}/bun-darwin-x64.zip"; - hash = "sha256-0AT58hjawS60q5YAQd/upVz0vOIs11JM+lc3c1mGyOE="; + hash = "sha256-g4k0NdpWU1K+66Mi/idYAMx8pFLOBbUDk4rVczrxRjg="; }; "x86_64-linux" = fetchurl { url = "https://github.com/oven-sh/bun/releases/download/bun-v${version}/bun-linux-x64.zip"; - hash = "sha256-1ju7ZuW82wRfXEiU24Lx9spCoIhhddJ2p4dTTQmsa7A="; + hash = "sha256-RmgnWTG6kTebYwIa/VAwvvJmbL+ARNC+HkbF4mJPF7o="; }; }; updateScript = writeShellScript "update-bun" '' @@ -66,7 +66,7 @@ stdenvNoCC.mkDerivation rec { }; meta = with lib; { homepage = "https://bun.sh"; - changelog = "https://bun.sh/blog/bun-v1.0"; # 1.0 changelog does not use the full version name, please change this to ${version} in the following releases + changelog = "https://bun.sh/blog/bun-v${version}"; description = "Incredibly fast JavaScript runtime, bundler, transpiler and package manager – all in one"; sourceProvenance = with sourceTypes; [ binaryNativeCode ]; longDescription = '' diff --git a/nixpkgs/pkgs/development/web/flyctl/default.nix b/nixpkgs/pkgs/development/web/flyctl/default.nix index 7bc41ad694b3..8b720153226f 100644 --- a/nixpkgs/pkgs/development/web/flyctl/default.nix +++ b/nixpkgs/pkgs/development/web/flyctl/default.nix @@ -2,16 +2,16 @@ buildGoModule rec { pname = "flyctl"; - version = "0.1.84"; + version = "0.1.90"; src = fetchFromGitHub { owner = "superfly"; repo = "flyctl"; rev = "v${version}"; - hash = "sha256-StcakZFJxHRY36xuxZm/W9xoEye4HRPfC4dtczeT7nk="; + hash = "sha256-dgfPhx2IJxkMji6nw+GSg1xHxyh3xjSr7KLdVv9PbUI="; }; - vendorHash = "sha256-cfgI/kJOtH1Ptd1MUHvt+e2ErU5wL+8Xm4cfQ64iAhc="; + vendorHash = "sha256-DnTjkv3lPUNB1WIQ2ncUaafdUP+y1t0UfaPfV4PW7VM="; subPackages = [ "." ]; diff --git a/nixpkgs/pkgs/development/web/insomnia/default.nix b/nixpkgs/pkgs/development/web/insomnia/default.nix index 286a0444db59..e058c057618d 100644 --- a/nixpkgs/pkgs/development/web/insomnia/default.nix +++ b/nixpkgs/pkgs/development/web/insomnia/default.nix @@ -81,7 +81,10 @@ in stdenv.mkDerivation rec { ''; preFixup = '' - wrapProgram "$out/bin/insomnia" "''${gappsWrapperArgs[@]}" --prefix LD_LIBRARY_PATH : ${runtimeLibs} + wrapProgramShell "$out/bin/insomnia" \ + "''${gappsWrapperArgs[@]}" \ + --add-flags "\''${NIXOS_OZONE_WL:+\''${WAYLAND_DISPLAY:+--ozone-platform=wayland --enable-features=WaylandWindowDecorations}}" \ + --prefix LD_LIBRARY_PATH : ${runtimeLibs} ''; meta = with lib; { diff --git a/nixpkgs/pkgs/development/web/minify/default.nix b/nixpkgs/pkgs/development/web/minify/default.nix index 3e3d139bec4b..1c832bb456db 100644 --- a/nixpkgs/pkgs/development/web/minify/default.nix +++ b/nixpkgs/pkgs/development/web/minify/default.nix @@ -9,16 +9,16 @@ buildGoModule rec { pname = "minify"; - version = "2.12.8"; + version = "2.12.9"; src = fetchFromGitHub { owner = "tdewolff"; repo = pname; rev = "v${version}"; - hash = "sha256-5p1HWXx9kKKaiC0dTQDd1JpRDhKkDGdnDpuz+I0kgpo="; + hash = "sha256-+NBYn+gEsoclROnq2msNB4knviGn/XA9vNAuB0JZNek="; }; - vendorHash = "sha256-RBBCcqahIF1KjnK/Kxr0TZlRJirFffo9Dt40gNk5EQE="; + vendorHash = "sha256-/Pw7fHVXWsovxfyzkWfb6UiRDBmiua82667N4Scl5+A="; nativeBuildInputs = [ installShellFiles ]; @@ -46,5 +46,6 @@ buildGoModule rec { changelog = "https://github.com/tdewolff/minify/releases/tag/v${version}"; license = licenses.mit; maintainers = with maintainers; [ gaelreyrol ]; + mainProgram = "minify"; }; } diff --git a/nixpkgs/pkgs/development/web/shopify-themekit/default.nix b/nixpkgs/pkgs/development/web/shopify-themekit/default.nix index 7c9007ef8e83..5d20a9102475 100644 --- a/nixpkgs/pkgs/development/web/shopify-themekit/default.nix +++ b/nixpkgs/pkgs/development/web/shopify-themekit/default.nix @@ -11,7 +11,7 @@ buildGoModule rec { sha256 = "sha256-HtgA+R6THZ49WYtGlHS1EzekjuuGgPe657Y6ewraD4o="; }; - vendorSha256 = "sha256-8QpkYj0fQb4plzvk6yCrZho8rq9VBiLft/EO3cczciI="; + vendorHash = "sha256-8QpkYj0fQb4plzvk6yCrZho8rq9VBiLft/EO3cczciI="; ldflags = [ "-s" "-w" ]; |