summary refs log tree commit diff
path: root/pkgs/development/compilers/yosys
diff options
context:
space:
mode:
authorAustin Seipp <aseipp@pobox.com>2017-12-06 22:04:38 -0600
committerAustin Seipp <aseipp@pobox.com>2017-12-06 22:04:49 -0600
commitf284f04a740a9337a142b551dfede64f238021e6 (patch)
tree1e2d28d5b09678978b50bc0cce1aaf04f30bce41 /pkgs/development/compilers/yosys
parentc2a4baf9f56313e3748cad7e859b7dbad8675f72 (diff)
downloadnixlib-f284f04a740a9337a142b551dfede64f238021e6.tar
nixlib-f284f04a740a9337a142b551dfede64f238021e6.tar.gz
nixlib-f284f04a740a9337a142b551dfede64f238021e6.tar.bz2
nixlib-f284f04a740a9337a142b551dfede64f238021e6.tar.lz
nixlib-f284f04a740a9337a142b551dfede64f238021e6.tar.xz
nixlib-f284f04a740a9337a142b551dfede64f238021e6.tar.zst
nixlib-f284f04a740a9337a142b551dfede64f238021e6.zip
nixpkgs: yosys 2017.11.05 -> 2017.12.06
Signed-off-by: Austin Seipp <aseipp@pobox.com>
Diffstat (limited to 'pkgs/development/compilers/yosys')
-rw-r--r--pkgs/development/compilers/yosys/default.nix22
1 files changed, 11 insertions, 11 deletions
diff --git a/pkgs/development/compilers/yosys/default.nix b/pkgs/development/compilers/yosys/default.nix
index b1c36f841a4b..117319c1ad5c 100644
--- a/pkgs/development/compilers/yosys/default.nix
+++ b/pkgs/development/compilers/yosys/default.nix
@@ -4,22 +4,22 @@
 
 stdenv.mkDerivation rec {
   name = "yosys-${version}";
-  version = "2017.11.05";
+  version = "2017.12.06";
 
   srcs = [
     (fetchFromGitHub {
-      owner = "cliffordwolf";
-      repo = "yosys";
-      rev = "4f31cb6daddedcee467d85797d81b79360ce1826";
-      sha256 = "1a5n0g5kpjsy8f99f64w81gkrr450wvffp407r1pddl8pmb0c3r7";
-      name = "yosys";
+      owner  = "cliffordwolf";
+      repo   = "yosys";
+      rev    = "8f2638ae2f12a48dcad14f24b0211c16ac724762";
+      sha256 = "0synbskclgn97hp28myvl0hp8pqp66awp37z4cv7zl154ipysfl1";
+      name   = "yosys";
     })
     (fetchFromBitbucket {
-      owner = "alanmi";
-      repo = "abc";
-      rev = "f6838749f234";
-      sha256 = "0n7ywvih958h1c4n7a398a9w3qikhkv885fx5j3y2a0xwqc86m4y";
-      name = "yosys-abc";
+      owner  = "alanmi";
+      repo   = "abc";
+      rev    = "31fc97b0aeed";
+      sha256 = "0ljmclr4hfh3iiyfw7ji0fm8j983la8021xfpnfd20dyc807hh65";
+      name   = "yosys-abc";
     })
   ];
   sourceRoot = "yosys";