summary refs log tree commit diff
path: root/pkgs/development/compilers/yosys
diff options
context:
space:
mode:
authorAustin Seipp <aseipp@pobox.com>2017-09-02 04:01:19 -0500
committerAustin Seipp <aseipp@pobox.com>2017-09-02 04:19:11 -0500
commitcd7b2ec77a8556126eab697b2cc20e26a159f37b (patch)
treeae6ab4ec01544d8d92b50f96024b792d07da5aef /pkgs/development/compilers/yosys
parent32297e1baf4993fbda4240800025acf754f65f55 (diff)
downloadnixlib-cd7b2ec77a8556126eab697b2cc20e26a159f37b.tar
nixlib-cd7b2ec77a8556126eab697b2cc20e26a159f37b.tar.gz
nixlib-cd7b2ec77a8556126eab697b2cc20e26a159f37b.tar.bz2
nixlib-cd7b2ec77a8556126eab697b2cc20e26a159f37b.tar.lz
nixlib-cd7b2ec77a8556126eab697b2cc20e26a159f37b.tar.xz
nixlib-cd7b2ec77a8556126eab697b2cc20e26a159f37b.tar.zst
nixlib-cd7b2ec77a8556126eab697b2cc20e26a159f37b.zip
yosys: 2016.11.25 -> 2017.09.01
Signed-off-by: Austin Seipp <aseipp@pobox.com>
Diffstat (limited to 'pkgs/development/compilers/yosys')
-rw-r--r--pkgs/development/compilers/yosys/default.nix10
1 files changed, 5 insertions, 5 deletions
diff --git a/pkgs/development/compilers/yosys/default.nix b/pkgs/development/compilers/yosys/default.nix
index b91eddee3bfd..ed374ea68229 100644
--- a/pkgs/development/compilers/yosys/default.nix
+++ b/pkgs/development/compilers/yosys/default.nix
@@ -2,21 +2,21 @@
 
 stdenv.mkDerivation rec {
   name = "yosys-${version}";
-  version = "2016.11.25";
+  version = "2017.09.01";
 
   srcs = [
     (fetchFromGitHub {
       owner = "cliffordwolf";
       repo = "yosys";
-      rev = "5c2c78e2dd12a860f830dafd73fbed8edf1a3823";
-      sha256 = "1cvfkg0hllp7k2g52mxczd8d0ad7inlpkg27rrbyani2kg0066bk";
+      rev = "18609f3df82a3403c41d552908183f7e49ff5678";
+      sha256 = "0qdjxqg3l098g8pda5a4cif4bd78rx7vilv3z62r56ppj55mgw96";
       name = "yosys";
     })
     (fetchFromBitbucket {
       owner = "alanmi";
       repo = "abc";
-      rev = "238674cd44f2";
-      sha256 = "18xk7lqai05am11zymixilgam4jvz5f2jwy9cgillz035man2yzw";
+      rev = "ff5be0604997";
+      sha256 = "08gdvxm44dvhgjw6lf2jx0xyk6h4ai37h6b88dysvaa69sx7rh8n";
       name = "yosys-abc";
     })
   ];